CN106468541A - 半导体制造设备中的消耗部件的磨损检测 - Google Patents

半导体制造设备中的消耗部件的磨损检测 Download PDF

Info

Publication number
CN106468541A
CN106468541A CN201610701950.6A CN201610701950A CN106468541A CN 106468541 A CN106468541 A CN 106468541A CN 201610701950 A CN201610701950 A CN 201610701950A CN 106468541 A CN106468541 A CN 106468541A
Authority
CN
China
Prior art keywords
consumable part
sensor
room
distance
transferring arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610701950.6A
Other languages
English (en)
Other versions
CN106468541B (zh
Inventor
布雷特·C·理查森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106468541A publication Critical patent/CN106468541A/zh
Application granted granted Critical
Publication of CN106468541B publication Critical patent/CN106468541B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N3/00Investigating strength properties of solid materials by application of mechanical stress
    • G01N3/56Investigating resistance to wear or abrasion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明涉及半导体制造设备中的消耗部件的磨损检测。方法、系统和计算机程序被提出以用于确定半导体处理装置中的消耗部件的磨损。一种室包括:基准部件、消耗部件、用于传送衬底到所述室内的传送臂、在所述传送臂上的传感器和控制器。所述基准部件在所述室的操作过程中不经受磨损,而所述消耗部件在所述室的操作过程中经受磨损。所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,并且,所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离。所述控制器基于所述第一距离和第二距离来确定所述消耗部件的磨损量。

Description

半导体制造设备中的消耗部件的磨损检测
优先权主张
本申请要求2015年8月21日提交的、名称为“半导体制造设备中的消耗部件的磨损检测”的美国临时申请No.62/208,499的优先权。该临时申请通过引用的方式并入本发明。
技术领域
本发明实施方式涉及检测半导体制造设备中的消耗部件(consumable part)的磨损的方法、系统和程序。
背景技术
长期以来等离子体被用来处理衬底(例如晶片或平板)以形成电子产品(如集成电路或平板显示器)。半导体晶片通常被置于蚀刻室内,具有光致抗蚀剂掩模层,以引导下伏材料的蚀刻。蚀刻处理去除没有被光致抗蚀剂覆盖的下伏材料。
蚀刻系统具有在所述室内的在该室的操作过程中磨损掉的消耗部件。这要求根据规范定期更换消耗部件以保持晶片上的工艺性能,包括CD(关键尺寸)控制、蚀刻均匀性和缺陷。在生产环境中,单一的蚀刻室可用于多种蚀刻处理,每种蚀刻处理可能对室的消耗部件的磨损率具有不同的影响。这使得很难预测部件什么时候会被磨损掉并需要维护,并且如果存在可用来补偿磨损的已知工艺调整,则可能很难知道何时进行实时调整。
一些系统管理员将室处理小时数、运行的晶片的数量、或者超出规格晶片的测量数据作为消耗部件更换的基础。然而,基于时间的维护方案可能会导致室部件的过早更换,因为系统必须考虑最坏情况下的处理条件。进一步,基于晶片计量的维护或工艺调整会遭遇几个小时或几天的反馈响应的延迟,直到蚀刻后计量测试完成,从而在检测工艺故障的同时使晶片承受风险。
本发明的实施方式就是在这个背景下产生的。
发明内容
方法、装置、系统和计算机程序被提出以用于确定半导体处理装置中的消耗部件的磨损。应当理解的是,本发明的实施方式可以多种方式实行,例如以方法、设备、系统、装置或计算机可读介质上的计算机程序执行。以下将描述若干实施方式。
一个或多个计算机的系统可被构造成通过将在运行中导致系统执行运作的软件、固件、硬件、或者它们的组合安装到系统来执行特定的操作或运作。一个或多个计算机程序可以被配置成通过包括在由数据处理装置执行时致使所述装置执行运作的指令来执行特定操作。一个总的方面包括用于处理衬底的室,该室包括:基准部件(a reference part)、消耗部件、传送臂、传感器和控制器。所述基准部件在所述室的操作过程中不经受磨损,而所述消耗部件在所述室的操作过程中经受磨损。所述传送臂用于传送衬底到所述室内,而所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离。此外,所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离。所述控制器被配置为基于所述第一距离和第二距离来确定所述消耗部件的磨损量。该方面的其它实施方式包括相应的计算机系统、以及记录在一个或多个计算机存储设备上的计算机程序,每个都被配置成执行所述方法的运作。
实现方案可以包括下述特征中的一者或多者。所述的室中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离。所述的室中,从最初安装所述消耗部件时开始,所述控制器跟踪所述距离差随时间推移的变化。所述的室中,所述控制器确定当所述距离差参照在最初安装所述消耗部件时测得的所述距离差改变预定量时所述消耗部件应被更换。所述的室中,在无需打开所述室的情况下,确定所述消耗部件上的磨损量,其中该传感器是非接触式距离测量装置。所述的室中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离,其中,所述控制器确定工艺参数的变化,以基于从最初安装所述消耗部件时开始所述距离差随时间推移的变化来补偿所述消耗部件的磨损。所述的室中,所述传感器被耦合到所述传送臂的端部执行器。所述的室中,所述传感器是深度相机、或者共焦色测量装置、或低相干性干涉测量装置、或电容距离传感器、或者颜色改变检测器中的一种。所述的室中,其还包括:在真空传送室中的站或用于存储所述传感器的装载锁,其中,所述传送臂装载从所述真空传送室内的所述站或装载锁装载所述传感器。所述的室中,所述消耗部件是边缘环,其中所述基准部件是在所述室的操作过程中用于保持所述衬底的卡盘。所述的室中,所述传感器被无线连接到所述控制器,其中所述传感器包含电池。所述的室中,所述传感器能安装在所述传送臂的端部执行器上。所述的室中,所述传感器被安装在类似衬底的结构上,使得所述传送臂装载传感器仿佛所述传送臂正装载衬底似的。
一个总的方面包括一种用于确定消耗部件的磨损的方法,所述方法包括:用于将衬底装载到半导体制造室中的传送臂上的操作,所述传送臂包括传感器。所述方法还包括:用于当所述传送臂邻近所述消耗部件行进时利用传感器测量从所述传感器到所述消耗部件的表面的第一距离的操作,所述消耗部件在所述室的操作过程中经受磨损。所述方法还包括:用于当所述传送臂邻近所述基准部件行进时利用传感器测量从所述传感器到所述基准部件的表面的第二距离的操作,所述基准部件在所述室的操作过程中不经受磨损。所述方法还包括:用于基于所述第一距离和所述第二距离确定所述消耗部件的磨损量的操作。
一个总的方面包括一种用于处理衬底的室,该室包括:基准部件、消耗部件、传送臂、传感器和控制器。所述消耗部件在所述室的操作过程中积聚沉积物。此外,所述传送臂用于传送所述衬底到所述室内。所述传感器在所述传送臂上,其中所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,其中所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离。所述控制器被配置为基于所述第一距离和第二距离来确定所述消耗部件上的沉积物的量。该方面的其它实施方式包括相应的计算机系统、以及记录在一个或多个计算机存储设备上的计算机程序,每个都被配置成执行所述方法的运作。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理衬底的室,该室包括:
在室中的基准部件,所述基准部件在所述室的操作过程中不经受磨损;
所述室中的消耗部件,所述消耗部件在所述室的操作过程中经受磨损;
用于传送所述衬底到所述室内的传送臂;
在所述传送臂上的传感器,其中所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,其中所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离;以及
控制器,其被配置为基于所述第一距离和所述第二距离来确定所述消耗部件的磨损量。
2.根据条款1所述的室,其中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离。
3.根据条款2所述的室,其中,从最初安装所述消耗部件时开始,所述控制器跟踪所述距离差随时间推移的变化。
4.根据条款3所述的室,其中,所述控制器确定当所述距离差参照在最初安装所述消耗部件时测得的所述距离差改变预定量时所述消耗部件必须更换。
5.根据条款1所述的室,其中,在无需打开所述室的情况下,确定所述消耗部件上的磨损量,其中所述传感器是非接触式距离测量装置。
6.根据条款1所述的室,其中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离,其中,所述控制器确定工艺参数的变化,以基于从最初安装所述消耗部件时开始所述距离差随时间推移的变化来补偿所述消耗部件的磨损。
7.根据条款1所述的室,其中,所述传感器被耦合到所述传送臂的端部执行器。
8.根据条款1所述的室,其中所述传感器是深度相机、或者共焦色测量装置、或低相干性干涉测量装置、或电容距离传感器、或者颜色改变检测器中的一种。
9.根据条款1所述的室,其还包括:
在用于存储所述传感器的真空传送室或装载锁内的站,其中,所述传送臂装载从所述真空传送室或装载锁内的所述站装载所述传感器。
10.根据条款1所述的室,其中,所述消耗部件是边缘环,其中所述基准部件是在所述室的操作过程中用于保持所述衬底的卡盘。
11.根据条款1所述的室,其中,所述传感器被无线连接到所述控制器,其中所述传感器包含电池。
12.根据条款1所述的室,其中所述传感器能安装在所述传送臂的端部执行器上。
13.根据条款1所述的室,其中所述传感器被安装在类似衬底的结构上,使得所述传送臂装载所述传感器仿佛所述传送臂正装载衬底似的。
14.一种用于确定消耗部件的磨损的方法,所述方法包括:
将衬底装载到半导体制造室中的传送臂上,所述传送臂包括传感器;
当所述传送臂邻近消耗部件行进时利用所述传感器测量从所述传感器到所述消耗部件的表面的第一距离,所述消耗部件在所述室的操作过程中经受磨损;
当所述传送臂邻近基准部件行进时利用所述传感器测量从所述传感器到所述基准部件的表面的第二距离,所述基准部件在所述室的操作过程中不经受磨损;以及
基于所述第一距离和所述第二距离确定所述消耗部件的磨损量。
15.根据条款14所述的方法,其中,确定所述磨损量还包括:计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离。
16.根据条款15所述的方法,还包括:
从最初安装所述消耗部件时开始,跟踪所述距离差随时间推移的变化,其中,当所述距离差参照在最初安装所述消耗部件时测得的所述距离差改变预定量时所述消耗部件必须更换。
17.根据条款14所述的方法,其中,在无需打开所述室的情况下,确定所述消耗部件上的所述磨损量,其中所述传感器是非接触式距离测量装置。
18.根据条款14所述的方法,其中所述传感器是深度相机、或者共焦色测量装置、或低相干性干涉测量装置、或电容距离传感器、或者颜色改变检测器中的一种。
19.一种用于处理衬底的室,该室包括:
在所述室中的基准部件;
在所述室中的消耗部件,所述消耗部件在所述室的操作过程中积聚沉积物;
用于传送所述衬底到所述室内的传送臂;
在所述传送臂上的传感器,其中所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,其中所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离;以及
控制器,其被配置为基于所述第一距离和所述第二距离来确定所述消耗部件上的沉积物的量。
20.根据条款19所述的室,其中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离;
其中,从最初安装所述消耗部件时开始,所述控制器跟踪所述距离差随时间推移的变化;以及
其中,所述控制器确定当所述距离差参照在最初安装所述消耗部件时测
得的所述距离差改变预定量时所述消耗部件必须更换。
通过下面的详细的描述,结合附图,其他一些方面也将变得显而易见。
附图说明
参考下面的说明结合附图可最佳地理解本发明。
图1根据一个实施方式示出了在电容耦合等离子体处理系统中晶片的装载。
图2A是根据一个实施方式的晶片的边缘部分的详细侧视图。
图2B根据一个实施方式示出了具有由于边缘环顶表面的腐蚀而导致的晶片上的等离子体均匀性的缺乏。
图2C根据一个实施方式示出了在装载晶片时从距离传感器到所述边缘环的距离的测量。
图2D根据一个实施方式示出了在装载晶片时从距离传感器到所述卡盘的顶表面的距离的测量。
图3描述了图解与真空传送模块(VTM)接口的各种模块的典型半导体处理群集结构。
图4A根据一个实施方式示出了具有两个端部执行器和距离传感器的传送臂。
图4B根据一个实施方式示出了具有单个端部执行器和距离传感器的传送臂。
图5A根据一个实施方式示出了具有被夹在端部执行器上的距离传感器的传送臂的底视图。
图5B根据一个实施方式示出了具有嵌入式距离传感器的晶片状装置。
图6根据一个实施方式示出了在边缘环和夹盘的顶表面之间的垂直距离随时间推移而变化。
图7是根据一个实施方式的用于确定在半导体处理装置中的消耗部件的磨损的方法的流程图。
图8是用于执行本发明的实施方式的计算机系统的简化示意图。
具体实施方式
一种室包括:用于在处理过程中保持衬底的卡盘;被配置成在处理过程中围绕衬底的边缘环;用于传送衬底到所述卡盘的传送臂;在所述传送臂上的距离传感器;以及控制器。所述距离传感器被配置为当所述传送臂在所述边缘环上行进时测量从所述距离传感器到所述边缘环的顶表面的第一距离,并且所述距离传感器还被配置为当所述传送臂在所述卡盘上行进时测量从所述距离传感器到所述卡盘的顶表面的第二距离。因为所述第一距离和第二距离之间的差是所述边缘环的磨损量的指标,因此所述控制器被配置为基于所述第一距离和第二距离来确定所述边缘环的磨损量。当磨损量超过预定阈值时,就是更换边缘环的时候了。
实施方式提供了原位并采用非接触式表面轮廓计量(例如,共焦色测量、或低相干性干涉测量、或电容距离传感器)来测量蚀刻室内的消耗部件的磨损率和轮廓。距离传感器被放置在传送臂上,或在单独的探针臂上,以及被周期性地通过晶片传送门插入到室内。所关注的部件的磨损轮廓是通过随时间推移测绘(map)从距离传感器到消耗部件的距离而产生。
显而易见,本发明可在没有这些特定细节中的一些或全部的情况下实行。在其他示例中,不详细描述众所周知的处理操作以避免不必要地模糊本发明。
图1根据本发明的一个实施方式示出了电容耦合等离子体处理系统中晶片的装载。所述电容耦合等离子体处理系统包括用于处理等离子体的室114、控制器122、射频(RF)源124、泵126以及一个或多个气体源128。在一些实施方式中,所述室可具有一个或多个耦合到上电极的RF源。所述室114包括用于支撑待处理的衬底102(也称为晶片)的卡盘104(例如,静电卡盘)和边缘环106。在一些实施方式中,所述室114也可包括用于将等离子体约束在室内的约束环138以及室壁盖136。
图1示出了正通过传送臂108被传递到室的衬底102。在传送期间,约束环138向上移动,以使得该传送臂能穿过在室的一侧上的缝隙进入室114内。在室的操作中,降低约束环138,从而约束环138覆盖室壁盖136,以避免与等离子体接触。
在一个实施方式中,传送臂108包括距离传感器112,距离传感器112用于测量从距离传感器112到远程表面的距离而不必接触远程表面。换言之,该距离传感器能够使用光学设备、声学设备或无线电设备测量到远程表面的距离。
室内的部件中的一些是消耗部件,它们由于室中的蚀刻或其他处理的影响,在一定数量的小时的操作之后,因为部件的退化,而不得不被更换。例如,边缘环106、约束环138、室壁盖136是消耗部件的示例,但其他室可包括其他也是易损耗并随着时间的推移需要被更换的部件。本文中所提供的实施方式参照测量边缘环106上的磨损进行描述,但所体现的原理可以被用于测量在室内的任何其他消耗部件上的磨损。
在一个实施方式中,距离d110被限定作为该边缘环106的顶表面和卡盘104的顶表面之间的垂直距离,即距离d110作为在由边缘环106的顶表面和卡盘104的顶表面限定的平面之间的垂直距离来测定。通常,在室的操作过程中,卡盘被衬底102覆盖,所以卡盘104的顶表面通常不会改变,因此,随时间的推移,距离d由于边缘环的磨损而变化。
RF源124可包括多个RF源或能够产生从约100kHz到约300MHz之间的RF信号的多个频率的单一RF源。举例来说,一些RF信号具有约27MHz到约60MHz的频率。RF信号可具有在约50w和约10kw之间的RF功率。举例来说,在约100w和约1500w之间。RF源124可产生脉冲或非脉冲RF信号。
控制器122包括与等离子体处理系统通信、监测和控制等离子体处理系统的处理器、存储器、软件逻辑、硬件逻辑和输入和输出子系统。控制器122还包括一个或多个配方,所述配方包括用于不同的操作参数(例如电压、电流、频率、压强、流速、功率、温度等)的多个设定值以用于操作等离子体处理系统。在一个实施方式中,控制器122被配置为基于通过距离传感器112获得的距离参数确定消耗部件上的磨损。通过比较随着时间的推移获取的距离测量值,所述控制器能够确定在所述部件上的磨损。例如,测得的离边缘环106的距离增大将意味着该边缘环106顶部正被磨损。因此,当边缘环106上的磨损超过预定阈值时,控制器将设置警报来更换边缘环106。
室114还包括上电极116。在操作中,上电极116通常接地但可被偏置或耦合到第二RF源(未示出)。RF源124提供RF信号到卡盘104并且气体源128将所希望的一种/多种处理气体注入到室114内。然后等离子体120形成在上电极116和卡盘104之间。等离子体120可被用于蚀刻衬底102的表面或使形成在室114的不同内表面上的沉积物挥发。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传输到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口的材料搬运中使用的工具通信。
图2A是根据一个实施方式的晶片的边缘部分的详细侧视图。边缘环106包围卡盘104。在一个实施方式中,边缘环106的表面的一部分在衬底102的边缘下面延伸,但在其他实施方式中,边缘环106在不支撑衬底102的情况下可紧挨着衬底102设置。因为衬底102由卡盘104支撑,所以RF功率被驱动通过卡盘并进入晶片内。
在蚀刻处理期间,蚀刻副产物沉积在室114的内表面上。蚀刻副产物可包括聚合物残留物、钛和其他金属化合物以及硅化合物。蚀刻副产物可沉积在室114内的等离子体120解离的处理气体物质可扩散到的任何表面上,包括边缘环106和等离子体处理室的其他内表面。此外,随着时间的推移,室的一些部件会由于蚀刻处理而被腐蚀(例如减少边缘环106的厚度)。
图2A示出了在操作期间边缘环106的顶表面和衬底102的顶表面如何基本上共面。因此,等离子体在室的底部处与覆盖衬底和边缘环的均匀表面接触。因为在该表面上具有连续性,所以等离子体在衬底的整个表面上是均匀的,从而导致对衬底的均匀的处理。
图2B根据一个实施方式示出了由于边缘环顶表面的腐蚀而导致的晶片上的等离子体均匀性的缺乏。在一些室中,存在一些关键部件,这些部件确定在室必须被打开并且可磨损的部件更换前,该室可操作的时间量。这些关键部件中的一个是边缘环106。例如,一些准则指出,通常,在室操作300小时后,边缘环磨损并且必须被更换。有时,室在不同的产品、不同类型的蚀刻工艺等等的混合中操作,这可能会影响在消耗部件必须被更换前消耗部件将持续多久。有些管理员设置在消耗部件更换前室的操作时间数,而不管消耗部件实际上是否已经被磨损掉。
图2B示出了边缘环106,其已经在操作中持续了很长一段时间。相比于在图2A所示的情形下,边缘环106的顶表面较低。在图2B中,因为该边缘环的顶表面上的磨损,边缘环106的顶表面和卡盘的顶表面之间的距离d 110已经降低到约一半。
现在,衬底102的顶表面和所述边缘环的顶表面不共面。因此,产生等离子体的室的底表面是不均匀的,导致晶片的表面上缺乏均匀性,特别是在等离子体的不连续性发生的晶片的边缘。因此,晶片的边缘没有被适当地处理,并且晶片的全部或部分将不会根据规范进行处理。
与其保守地通常是基于最坏的情况设置在更换部件之前室的操作小时数,不如有利地测量相关部件上的磨损(即,侵蚀速率)并且只在绝对必要时才打开室。打开室进行清洁是昂贵的操作,因为这意味着半导体制造设备停机,并且因为室要经历完整的清洁(例如,湿清洁)。此外,室可能必须重新调适确认,这个过程可能需要长达一天或两天,其中机器无法操作。如果机器在清洁之间能够操作的时间可以被延长,则这将导致性能显著改进并且为半导体制造设备的投资提供更好的回报。
图2C根据一个实施方式示出了当装载晶片时,从距离传感器到所述边缘环的距离的测量。在一个实施方式中,距离传感器112(在此也称作距离测量探针)被安装在传送臂108上。当传送臂进出室108移动时,从所述距离传感器到所述室的部件或多个部件的距离被测量并关联于探针的位置,以产生所测量的部件的表面轮廓。
通过监测表面轮廓,消耗部件的磨损率可以接近实时地确定。在一个实施方式中,距离传感器是光学距离测量设备,如共焦色测量系统(a confocal chromaticmeasurement system)或低相干性干涉测量装置。这些设备足够小,并具有检测所关注的变化所需要的分辨率,可以在真空中进行操作,并且可以测量到导电体和非导电体的距离。在另一个实施方式中,电容距离测量探针可能适合于某些应用以测量金属部件或安装在金属部件的介电材料的磨损。
举距离传感器的示例而言,在共焦测量的情况下,多色白色光由多透镜光学系统聚焦到目标表面上。透镜被布置成使得所述白色光通过控制色差被分散到单色光内。通过工厂校准,将到目标的特定距离分配给每个波长。从目标表面反射的光通过共焦孔传递到检测和处理频谱变化的光谱仪上。
当传送臂108进入室内时,传送臂108在边缘环的正上方非常靠近边缘环地通过。在一个实施方式中,当距离传感器202在边缘环106上方通过时,获得在距离传感器112与边缘环106的顶表面之间的距离d1 202的一个或多个测量值。
在一个实施方式中,控制器知道传送臂108的确切位置,所以通过随着时间的推移追踪距离d1 202,可以识别边缘环106的顶表面的位置的变化。通过这种方式,可估计边缘环106的磨损。
在一个实施方式中,当该边缘环最初被放置在室中时,测量距离d1。之后,距离d1被周期性地测量以及与原始的d1比较。当最初的d1和当前的d1的测量值之间的差是在预定阈值t1以上时,则是改变边缘环的时候了。因此,通过识别d1 202的变化,关于边缘环磨损到什么程度的清晰照片可以获得。
在一个实施方式中,当传输臂正在边缘环上移动时,获取距离测量值,但在另一个实施方式中,传送臂停止在边缘环上方,并且在传输臂静止时,获取距离测量值。
应注意的是,所选择的距离传感器的局限性之一是,距离传感器当装载在传送臂时,必须匹配通过让晶片进入室的缝隙,并且距离传感器必须能够避免击中或损坏任何室中的组件。
图2D根据一个实施方式示出了当装载晶片时,从距离传感器到卡盘的顶表面的距离的测量值。在一个实施方式中,距离传感器112获取从距离传感器112到卡盘的顶表面的距离d2 204的第二测量值。然后,边缘环106的顶平面和卡盘的顶表面之间的垂直距离d被计算为d2-d1。卡盘104的顶表面没有随着时间的推移而显著改变,因为虽然是在操作室中,但是衬底覆盖卡盘104。
在一个实施方式中,为了测量边缘环106的磨损,在所述边缘环的顶表面和卡盘的顶表面之间的垂直距离d随时间的推移被监测。由于卡盘不发生变化,所以该卡盘可被用作基准点以测量边缘环106的变化。通过使用卡盘作为基准,由于传送臂的运动而导致的到边缘环的距离的测量值的变化被最小化。
在另一个实施方式中,当边缘环最初被放置在室中时,测量距离d。距离d被周期性地测量并与原始d进行比较。当最初的d和当前的d的测量值之间的差大于预定阈值t2时,则是改变边缘环的时候了。因此,通过识别d1 110的变化,关于边缘环磨损到什么程度的清晰照片可以获得。
在一个实施方式中,传送臂和边缘环的顶部之间的距离可以是在从2mm至5mm的范围内,但其他值也是可行的。在另一个实施方式中,室的顶部窗随时间的推移也遭遇磨损,并且相同的原理可应用于通过设置测量到室的顶部的距离的距离传感器来测量该磨损。从顶部窗口到传输臂的距离可以是在5英寸至6英寸的范围内,但其他值也是可行的。因此,必须选择能在该范围内精确地测量距离的距离传感器。
此外,应当注意,给出的实施方式包括当衬底被装载在传送臂上时进行距离测量,但在衬底没有安装在传送臂上的情况下也可以进行测量。通过这种方式,如果距离传感器是在装载衬底的位置的下方,则衬底不妨碍测量到室的顶部的距离。
图3描述了典型的半导体工艺集群结构,其显示了与真空传送模块338(VTM)连接的多个模块。在多个存储设备和处理模块之间“传送”晶片的传送模块装置可以被称为“集群工具架构”系统。气密室330(也被称为装载锁或传送模块)被显示在VTM 338中,VTM 338带有四个处理模块320a-320d,四个处理模块320a-320d可以被单独优化以执行各种制造工艺。例如,处理模块320a、320b、320c、320d可以被实现来执行变压器耦合等离子体(TCP)衬底蚀刻、层沉积、和/或溅射。当通常地谈论关于气密室330或处理模块320a时,术语“站”有时用于指气密室或处理模块。每个站具有将站与VTM 338连接的小面336(facet 336)。在每个小面内部,传感器被用于检测衬底102在进出各站时的通过。
机械手322将衬底102在各站之间传输。在一个实施方式中,机械手322具有一个臂,而在另一实施方式中,机械手322具有两个臂,其中每个臂具有端部执行器324以拾取晶片以供运输。在大气传送模块(ATM)340中,前端机械手332用于从在装载端口模块(LPM)342中的晶片盒或前开式晶片盒(FOUP)334传送晶片326到气密室330。在ATM 340中的对准器344用于对齐晶片。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的某个地方,或在远程位置,并通过网络连接到集群架构。
图4A根据一个实施方式示出了具有两个端部执行器和距离传感器的传送臂。在一个实施方式中,具有两个端部执行器404a、404b的传送臂402包括耦合到所述端部执行器中的一个的距离传感器408。在一个实施方式中,距离传感器是放置在端部执行器下的轮廓测量仪(profilometer),这意味着当装载晶片时,距离传感器408将位于晶片下方。
在另一个实施方式中,距离传感器是改变光在表面上的焦深并检查反射光的量的共焦色系统。在另一个实施方式中,距离传感器是相机,它可以放置成直接指向下方的表面,并测量在距离传感器内的从光源反射的光的量。在另一个实施方式中,相机可以成角度地放置,并且所反射的光的量根据与反射来自传感器的光的表面的距离而变化。
距离传感器408通过导线406被连接到控制器,其中导线406包括用于距离传感器的功率,以及数据连接以传输数据。
在一个实施方式中,VTM的站中的一个可被用来存储传感器。当测量是期望的时,传送臂从站装载传感器,然后携带传感器继续进入室以进行测量。在另一个实施方式中,距离传感器被永久地安装在端部执行器,并且可以每当从室中装载或卸载晶片时进行测量。
图4B根据一个实施方式示出了具有单个端部执行器以及距离传感器的传送臂。机械手臂422包括连接至机械手结构的枢转点420,从而使得机械手臂422能围绕枢轴点420枢转。在一个实施方式中,一个或多个真空传感器438使用在真空传感器上的抽吸效应确定晶片何时正确地装载在端部执行器上。
距离传感器434被永久地放置在端部执行器下,这意味着当装载衬底时,距离传感器434位于衬底下方。当传送臂进入室中时,距离传感器434在边缘环上以及在卡盘上行进通过,如先前所讨论的,并且与边缘环的顶表面和/或与卡盘的顶表面的距离的测量可以进行。
在其他实施方式中,距离传感器可以位于端部执行器下方的其他位置。例如,距离传感器434可以位于端部执行器的右侧或左边的手指上。在另一个实施方式中,所述端部执行器可位于真空传感器438下面,但是任何其他位置是可能的,只要距离传感器适合穿过缝隙进入室内并且不接触室内的任何其它部件即可。
在又一实施方式中,距离传感器可以被安装在端部执行器的顶表面上,但是当距离传感器在边缘环上方时,晶片未装载在端部执行器上,且端部执行器被引入到室内以在不装载晶片时进行测量。
图5A是根据一个实施方式的具有夹在端部执行器上的距离传感器的传送臂108的底视图。在一个实施方式中,距离传感器502夹在端部执行器的侧边中的一个上。在另一个实施方式(未示出)中,该距离传感器可整合在端部执行器本身内,以及距离传感器具有低的轮廓,以保持尽可能接近端部执行器的轮廓,使得等同于似乎未安装距离传感器。
在一个实施方式中,距离传感器包含电池,其可以是可再充电电池,并且包含无线通信功能以便传输测量数据到所述控制器。这样一来,就无需有线连接距离传感器来传输信息或给距离传感器供电。
在另一个实施方式中,通过测量在室的壁上的颜色变化来测量室的壁上的侵蚀或磨损。沉积膜会是非常薄的,因此准确测量沉积膜的厚度可能是困难的。然而,通过测量壁的颜色变化,有可能可以确定壁何时需要更换或清洁。
图5B根据一个实施方式示出了具有嵌入式距离传感器的晶片状装置。在一个实施方式中,距离传感器506被安装在看起来像晶片的结构上至端部执行器,即,距离传感器506被嵌入晶片状结构504中。通过这种方式,现有的传递臂108不必为了进行距离测量而进行修改。
每次进行测量时,端部执行器装载晶片状结构504并将其引入到室中。没有必要将该结构装载在卡盘上,因此,在进行一个或多个测量后,传送臂离开室并卸载晶片状结构。
在一个实施方式中,晶片状结构被存储在晶片站内的缓冲区内,并且端部执行器从缓冲区装载它。在另一个实施方式中,晶片状结构可以被存储在传送气密室内的缝隙中的一个内。
图6根据一个实施方式示出了在边缘环和卡盘的顶表面之间的垂直距离随时间推移的变化。应该注意的是,当只测量离边缘环的距离时,该图表看起来与在图6中的一个相似,但代替测量卡盘和边缘环之间的相对距离,该图表将显示距离传感器和所述边缘环之间的距离。
首先,将新边缘环放置在室内后,垂直距离d0的第一测量在时间t0进行。然后,定期测量以检查距离d的值。随着室的操作时间累积,边缘环将由于暴露于室中的等离子体而继续其顶表面上的侵蚀。因此,距离d将逐渐减小。在一个实施方式中,边缘环具有3至4毫米的宽度,但其它的值也是可能的。
当距离d达到预定阈值drepl时,那么在室的操作受到在晶片边缘的非均匀性影响之前变更边缘环的时间到了。侵蚀率可能是或可能不是线性的,具体取决于该室内部进行的不同工艺,因为某些工艺相比于其他工艺会更快地侵蚀边缘环。
如前面所讨论的,边缘环随着时间的推移而磨损,但卡盘没有,所以计量边缘环的顶表面和卡盘的顶表面之间的垂直距离的距离d的变化归因于边缘环上的侵蚀。
上面介绍的相同原理也可以用于测量消耗部件上的沉积物。然而,过程是相反的,即随着时间的推移,从边缘环的顶部到卡盘的顶部的距离由于该边缘环上的沉积而会增大。定义积累物阈值,其转化为距离阈值,并且当d大于距离阈值时,则由于该边缘环上的过度沉积而导致的需要更换或清洁边缘环的时间到了。
图7是根据一个实施方式的用于判定半导体处理装置中的消耗部件的磨损的方法的流程图。虽然在该流程图中的各个操作被依次呈现和描述,但一个普通技术人员将理解,所述操作中的一些或全部可以以不同顺序执行、被组合或省略、或者被并行地执行。
如上所讨论的,实施方式利用接近实时的反馈执行消耗部件磨损的原位测量。这种方法比所使用的典型的方法具有优势,典型的方法仅仅基于时间或通过观察在以后的晶片检测步骤的工艺偏移(process shift)而预测寿命的结束。原位实时测量可用于预测消耗部件的寿命以进行可预测的维护。它可以潜在地与反馈算法一起使用以基于部件磨损而进行工艺调整,以改善晶片到晶片(wafer to wafer)的重复性,延长部件寿命以及提高生产系统的可用性。
在操作702中,衬底在半导体制造室中被装载在传送臂上,其中所述传送臂包括距离传感器。从操作702开始,该方法进行到操作704,以在传送臂邻近消耗部件行进时利用距离传感器测量从距离传感器到消耗部件的表面上的第一距离。在室的操作过程中,消耗部件经受磨损。
从操作704开始,该方法进行到操作706,以在传送臂邻近基准部件行进时利用距离传感器测量从距离传感器到基准部件的表面上的第二距离。在室的操作过程中,基准部件不经受磨损。
从操作706开始,该方法进行到操作708,其中消耗部件的磨损量基于第一距离和第二距离确定。在一个实施方式中,磨损基于第二距离和第一距离之间的差来确定,该差是消耗部件的顶表面和基准部件的顶表面之间的垂直距离。随时间的推移,监测这些顶表面之间的距离,以便确定消耗部件何时被磨损掉,并且需要更换。在一个实施方式中,消耗部件是边缘环,而基准部件是被配置成在处理期间保持衬底的卡盘。
图8是用于实现本公开的实施方式的计算机系统800的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统包括中央处理单元(CPU)804,其通过总线810耦合到随机存取存储器(RAM)828、只读存储器(ROM)812和大容量存储设备814。系统控制器程序808驻留在RAM806内,但也可以驻留在大容量存储设备814内。
大容量存储设备814表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口830提供经由网络832的连接,允许与其它设备通信。但应当理解的是,CPU 804可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口提供与不同的外围设备的通信,并且通过总线810与CPU 804、RAM 828、ROM 812和大容量存储设备814连接。外围设备实例包括显示器818、键盘822、光标控制824、可移动媒体设备834,等等。
显示器818被配置成显示本文所描述的用户接口。键盘822、光标控制824、可移除媒体设备834和其它外围设备被耦合到I/O接口820,以便在命令选择中向CPU 804传送信息。应该理解的是,出入外部设备的数据可通过I/O接口820传输。实施方式也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方式可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方式也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方式,应当理解的是,实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方式一部分的本文所描述的任何操作是有用的机器操作。实施方式还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地激活或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方式也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
虽然为了清楚理解的目的而对前述实施方式已经在一些细节上进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。因此,这些实施方式应被认为是说明性的而不是限制性的,并且实施方式并不限于本文所给出的细节,而是可以在所附权利要求的范围和等同方案内进行修改。

Claims (10)

1.一种用于处理衬底的室,该室包括:
在室中的基准部件,所述基准部件在所述室的操作过程中不经受磨损;
所述室中的消耗部件,所述消耗部件在所述室的操作过程中经受磨损;
用于传送所述衬底到所述室内的传送臂;
在所述传送臂上的传感器,其中所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,其中所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离;以及
控制器,其被配置为基于所述第一距离和所述第二距离来确定所述消耗部件的磨损量。
2.根据权利要求1所述的室,其中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离。
3.根据权利要求2所述的室,其中,从最初安装所述消耗部件时开始,所述控制器跟踪所述距离差随时间推移的变化。
4.根据权利要求3所述的室,其中,所述控制器确定当所述距离差参照在最初安装所述消耗部件时测得的所述距离差改变预定量时所述消耗部件必须更换。
5.根据权利要求1所述的室,其中,在无需打开所述室的情况下,确定所述消耗部件上的磨损量,其中所述传感器是非接触式距离测量装置。
6.根据权利要求1所述的室,其中,所述控制器计算所述消耗部件的所述表面的平面和所述基准部件的所述表面的平面之间的距离差,所述距离差等于所述第一距离减去所述第二距离,其中,所述控制器确定工艺参数的变化,以基于从最初安装所述消耗部件时开始所述距离差随时间推移的变化来补偿所述消耗部件的磨损。
7.根据权利要求1所述的室,其中,所述传感器被耦合到所述传送臂的端部执行器。
8.根据权利要求1所述的室,其中所述传感器是深度相机、或者共焦色测量装置、或低相干性干涉测量装置、或电容距离传感器、或者颜色改变检测器中的一种。
9.一种用于确定消耗部件的磨损的方法,所述方法包括:
将衬底装载到半导体制造室中的传送臂上,所述传送臂包括传感器;
当所述传送臂邻近消耗部件行进时利用所述传感器测量从所述传感器到所述消耗部件的表面的第一距离,所述消耗部件在所述室的操作过程中经受磨损;
当所述传送臂邻近基准部件行进时利用所述传感器测量从所述传感器到所述基准部件的表面的第二距离,所述基准部件在所述室的操作过程中不经受磨损;以及
基于所述第一距离和所述第二距离确定所述消耗部件的磨损量。
10.一种用于处理衬底的室,该室包括:
在所述室中的基准部件;
在所述室中的消耗部件,所述消耗部件在所述室的操作过程中积聚沉积物;
用于传送所述衬底到所述室内的传送臂;
在所述传送臂上的传感器,其中所述传感器被配置为当所述传送臂邻近所述消耗部件行进时测量从所述传感器到所述消耗部件的表面的第一距离,其中所述传感器被配置为当所述传送臂邻近所述基准部件行进时测量从所述传感器到所述基准部件的表面的第二距离;以及
控制器,其被配置为基于所述第一距离和所述第二距离来确定所述消耗部件上的沉积物的量。
CN201610701950.6A 2015-08-21 2016-08-22 半导体制造设备中的消耗部件的磨损检测 Active CN106468541B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562208499P 2015-08-21 2015-08-21
US62/208,499 2015-08-21
US14/846,635 US10014198B2 (en) 2015-08-21 2015-09-04 Wear detection of consumable part in semiconductor manufacturing equipment
US14/846,635 2015-09-04

Publications (2)

Publication Number Publication Date
CN106468541A true CN106468541A (zh) 2017-03-01
CN106468541B CN106468541B (zh) 2019-07-05

Family

ID=58157592

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610701950.6A Active CN106468541B (zh) 2015-08-21 2016-08-22 半导体制造设备中的消耗部件的磨损检测

Country Status (5)

Country Link
US (1) US10014198B2 (zh)
JP (1) JP6598745B2 (zh)
KR (1) KR102546407B1 (zh)
CN (1) CN106468541B (zh)
TW (1) TWI709173B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108375608A (zh) * 2018-03-12 2018-08-07 昆山国显光电有限公司 基板检测装置
CN108927261A (zh) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 一种反击式破碎机
CN109283184A (zh) * 2018-09-03 2019-01-29 浙江大学 一种基于光谱共焦传感器的表面疵病测量方法
CN109839076A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 晶片制程腔室以及用于检查晶片制程腔室的设备和方法
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
TWI759960B (zh) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 半導體部件的更換監控裝置以及其部件更換監控方法
CN114466728A (zh) * 2019-07-26 2022-05-10 朗姆研究公司 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10190865B2 (en) * 2016-01-27 2019-01-29 Lam Research Corporation Verifying end effector flatness using electrical continuity
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
WO2019112903A1 (en) * 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
JP2019201125A (ja) * 2018-05-17 2019-11-21 三菱電機株式会社 ウエハ研削装置およびウエハ研削方法
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
JP6999241B2 (ja) * 2018-07-25 2022-01-18 株式会社ディスコ プラズマエッチング装置
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP7357453B2 (ja) 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR102232666B1 (ko) * 2019-06-27 2021-03-30 세메스 주식회사 기판 처리 장치 및 부품 상태 검출 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
KR20220117313A (ko) * 2019-12-19 2022-08-23 램 리써치 코포레이션 소모성 챔버 부품 내에 캡슐화된 (encapsulate) RFID
US20210305027A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Plasma processing apparatus and wear amount measurement method
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
JP6989980B2 (ja) * 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
KR102349160B1 (ko) * 2020-07-03 2022-01-12 한국전력공사 디스크 커터의 마모도 측정 장치, 디스크 커터의 마모 관리 시스템, 및 그 구동방법
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102585286B1 (ko) * 2020-10-15 2023-10-05 세메스 주식회사 기판 처리 장치 및 소모성 부품의 마모도 측정 방법
TWI759913B (zh) * 2020-10-16 2022-04-01 天虹科技股份有限公司 原子層沉積薄膜厚度的檢測系統及檢測方法
JP7153362B2 (ja) * 2020-12-08 2022-10-14 アダプティブ プラズマ テクノロジー コーポレーション 半導体部品の交換監視装置及びこれによる部品交換監視方法
KR102591723B1 (ko) * 2020-12-09 2023-10-20 세메스 주식회사 기판 처리 시스템 및 이를 포함하는 오토 티칭 시스템
JP2022174626A (ja) 2021-05-11 2022-11-24 東京エレクトロン株式会社 基板処理システム及び環状部材の高さ推定方法
KR102632552B1 (ko) 2021-07-23 2024-02-02 한국표준과학연구원 플라즈마 진단기능 및 유전체 두께 측정기능을 갖는 센서, 이를 구비하는 공정장치 및 공정시스템
US20230236569A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Estimation of chamber component conditions using substrate measurements
JP7305076B1 (ja) * 2022-09-01 2023-07-07 三菱電機株式会社 データ収集分析システム、測定データ収集ユニット、および、データ収集分析方法
DE102022209644B3 (de) * 2022-09-14 2024-02-01 Carl Zeiss Smt Gmbh Verfahren zum Charakterisieren eines Abschirmelements einer Teilchenstrahlvorrichtung, Mittel zum Charakterisieren des Abschirmelements, eine Teilchenstrahlvorrichtung und ein entsprechendes Computerprogramm

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
CN102235852A (zh) * 2010-03-29 2011-11-09 东京毅力科创株式会社 消耗量测量方法
CN104103477A (zh) * 2013-04-05 2014-10-15 朗姆研究公司 用于半导体制造的内部等离子体格栅
CN104160496A (zh) * 2011-09-09 2014-11-19 朗姆研究公司 用于处理晶片状物品的表面的装置
CN104517829A (zh) * 2013-10-04 2015-04-15 朗姆研究公司 用于倒角蚀刻器的可调的上等离子体禁区环

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009245988A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd プラズマ処理装置、チャンバ内部品及びチャンバ内部品の寿命検出方法
KR20150091346A (ko) * 2012-11-30 2015-08-10 어플라이드 머티어리얼스, 인코포레이티드 진동-제어되는 기판 핸들링 로봇, 시스템들, 및 방법들
JP6231370B2 (ja) * 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
CN102235852A (zh) * 2010-03-29 2011-11-09 东京毅力科创株式会社 消耗量测量方法
CN104160496A (zh) * 2011-09-09 2014-11-19 朗姆研究公司 用于处理晶片状物品的表面的装置
CN104103477A (zh) * 2013-04-05 2014-10-15 朗姆研究公司 用于半导体制造的内部等离子体格栅
CN104517829A (zh) * 2013-10-04 2015-04-15 朗姆研究公司 用于倒角蚀刻器的可调的上等离子体禁区环

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108927261A (zh) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 一种反击式破碎机
CN109839076A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 晶片制程腔室以及用于检查晶片制程腔室的设备和方法
CN109839076B (zh) * 2017-11-28 2021-09-07 台湾积体电路制造股份有限公司 晶片制程腔室以及用于检查晶片制程腔室的设备和方法
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
CN108375608A (zh) * 2018-03-12 2018-08-07 昆山国显光电有限公司 基板检测装置
CN109283184A (zh) * 2018-09-03 2019-01-29 浙江大学 一种基于光谱共焦传感器的表面疵病测量方法
CN114466728A (zh) * 2019-07-26 2022-05-10 朗姆研究公司 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程
TWI759960B (zh) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 半導體部件的更換監控裝置以及其部件更換監控方法

Also Published As

Publication number Publication date
KR102546407B1 (ko) 2023-06-21
US10014198B2 (en) 2018-07-03
TW201719749A (zh) 2017-06-01
JP6598745B2 (ja) 2019-10-30
TWI709173B (zh) 2020-11-01
KR20170022907A (ko) 2017-03-02
CN106468541B (zh) 2019-07-05
JP2017050535A (ja) 2017-03-09
US20170053819A1 (en) 2017-02-23

Similar Documents

Publication Publication Date Title
CN106468541B (zh) 半导体制造设备中的消耗部件的磨损检测
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
TWI744342B (zh) 具有電容微感測器的晶圓處理裝備
TW202126853A (zh) 使用光干涉量度法及反射量度法的半導體設備的自動製程控制及最佳化之系統及方法
US9123582B2 (en) Methods of in-situ measurements of wafer bow
TW201834128A (zh) 使用環動態對準資料之邊緣環定心方法
US7490010B2 (en) Data collection method, substrate processing apparatus, and substrate processing system
US20220270901A1 (en) Integrated hardware-software computer vision system for autonomous control and inspection of substrate processing systems
TW202101654A (zh) 用於基板傳送機器人自動校正的器具
TW202230062A (zh) 自主基板處理系統
JP2023534596A (ja) 製造プロセス性能を向上させるための統合された基板測定システム
JP5105399B2 (ja) データ収集方法,基板処理装置,基板処理システム
US11708635B2 (en) Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US20230011537A1 (en) Encapsulated rfid in consumable chamber parts
TW202217233A (zh) 通過處理腔室壁內的透明晶體和透明基板進行薄膜原位測量
TW202200834A (zh) 用於監測半導體製程的系統及方法
US12000041B2 (en) Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US20240009856A1 (en) Collaborative robot system on a mobile cart with a chamber docking system
CN116802780B (zh) 自主基板处理系统
TW202330377A (zh) 用於將感測器與傳輸腔室機器人耦合的方法和機構
TW202412143A (zh) 原位整合的晶圓參數偵測系統
TW202135205A (zh) 用於控制不均勻性的系統及方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant