JP2017050535A - 半導体製造機器内の消耗部品の摩耗検出 - Google Patents

半導体製造機器内の消耗部品の摩耗検出 Download PDF

Info

Publication number
JP2017050535A
JP2017050535A JP2016160065A JP2016160065A JP2017050535A JP 2017050535 A JP2017050535 A JP 2017050535A JP 2016160065 A JP2016160065 A JP 2016160065A JP 2016160065 A JP2016160065 A JP 2016160065A JP 2017050535 A JP2017050535 A JP 2017050535A
Authority
JP
Japan
Prior art keywords
chamber
distance
sensor
consumable part
transfer arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016160065A
Other languages
English (en)
Other versions
JP2017050535A5 (ja
JP6598745B2 (ja
Inventor
ブレット・シー.・リチャードソン
C Richardson Brett
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017050535A publication Critical patent/JP2017050535A/ja
Publication of JP2017050535A5 publication Critical patent/JP2017050535A5/ja
Application granted granted Critical
Publication of JP6598745B2 publication Critical patent/JP6598745B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N3/00Investigating strength properties of solid materials by application of mechanical stress
    • G01N3/56Investigating resistance to wear or abrasion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Abstract

【課題】半導体処理装置内の消耗部品の摩耗を決定する方法、デバイス、システムおよびコンピュータ・プログラムを提供する。【解決手段】容量結合プラズマ処理システムにおいて、1つのチャンバは、基準部品、消耗部品、基板をチャンバ内へ移送する移送アーム108、移送アーム上の距離センサ112およびコントローラ122を含む。基準部品は、チャンバの作動中に摩耗せず、消耗部品(エッジ・リング106、閉じ込めリング138、チャンバ壁カバー136)は摩耗する。この距離センサは、移送アームが消耗部品の近くを移動するときに、センサから消耗部品の表面までの第1の距離を測定するように構成されており、移送アームが基準部品の近くを移動するときに、距離センサから基準部品の表面までの第2の距離を測定するように構成されている。コントローラは、第1の距離および第2の距離に基づいて消耗部品の摩耗量を決定する。【選択図】図1

Description

優先権の主張
本出願は、2015年8月21日に出願された、発明の名称を「WEAR DETECTION OF CONSUMABLE PART IN SEMICONDUCTOR MANUFACTURING EQUIPMENT」とする米国仮特許出願第62/208,499号に基づく優先権を主張する。この仮出願は、参照によって本明細書に組み込まれる。
1.発明の分野
本明細書の実施形態は、半導体製造機器内の消耗部品の摩耗を検出する方法、システムおよびプログラムに関する。
2.関連技術の説明
プラズマは、基板(例えばウェーハまたはフラット・パネル)を処理して、電子製品(例えば集積回路またはフラット・パネル・ディスプレイ)を形成する目的に長く使用されている。通常、半導体ウェーハは、その下の材料のエッチングを誘導するフォトレジスト・マスク層とともにエッチング・チャンバ(etch chamber)内に置かれる。このエッチング・プロセスは、フォトレジストによって覆われてないその下の材料を除去する。
エッチング・システムは、チャンバ内に、チャンバの作動中に摩耗する消耗部品を有する。CD(微小寸法(Criticl Dimension))制御、エッチング均一性およびディフェクティビティ(defectivity)を含む仕様に従ってオンウェーハ・プロセス性能(on−wafer process performance)を維持するため、これは、消耗部品の定期的な交換を必要とする。生産環境では、単一のエッチング・チャンバが多数のエッチング・プロセスに対して使用されることがあり、それらのエッチング・プロセスはそれぞれ潜在的に、チャンバ消耗部品の摩耗速度に対して異なる影響を有する。これは、部品が摩耗し保守を必要とするときを予測することを難しくし、摩耗を補償する目的に使用可能な公知のプロセス調整がある場合には、調整をいつ実施すべきかをリアルタイムで知ることが難しいことがある。
一部のシステム管理者は、チャンバ処理時間数、処理されたウェーハの数または仕様から外れたウェーハ計測データに基づいて消耗部品を交換する。しかしながら、時間に基づく保守計画は、最悪の場合のプロセス条件をシステムが考慮しなければならないため、早過ぎるチャンバ部品交換につながることがある。また、ウェーハの計測に基づく保守またはプロセス調整では、エッチング後計測試験が完了するまで、数時間または数日のフィードバック応答の遅延が生じることがあり、このことは、プロセス故障を検出する間、ウェーハを危険にさらす。
実施形態はこの文脈で提供される。
半導体処理装置内の消耗部品の摩耗を決定する方法、デバイス、システムおよびコンピュータ・プログラムが提示される。本明細書の実施形態は、方法、装置、システム、デバイス、コンピュータ可読媒体上のコンピュータ・プログラムなど、多数の様式で実現することができることを理解すべきである。いくつかの実施形態を以下で説明する。
1つまたは複数のコンピュータのシステムは、操作時に動作をそのシステムに実行させるソフトウェア、ファームウェア、ハードウェアまたはこれらの組合せをそのシステムにインストールすることにより、特定の操作または操作を実行するように構成され得る。1つまたは複数のコンピュータ・プログラムは、データ処理装置によって実行された際に、装置に動作を実行させる命令を含むことにより特定の操作を実行するように構成され得る。一般的な1つの態様は、基板を処理するチャンバを含み、このチャンバは、基準部品、消耗部品、移送アーム、センサおよびコントローラを含む。基準部品は、チャンバの作動中に摩耗せず、消耗部品は、チャンバの作動中に摩耗する。移送アームは、基板をチャンバ内へ移送するためのものであり、センサは、移送アームが消耗部品の近くを移動するときに、センサから消耗部品の表面までの第1の距離を測定するように構成されている。センサはさらに、移送アームが基準部品の近くを移動するときに、センサから基準部品の表面までの第2の距離を測定するように構成されている。コントローラは、第1の距離および第2の距離に基づいて消耗部品の摩耗量を決定するように構成されている。この態様の他の実施形態は、対応するコンピュータ・システム、および1つまたは複数のコンピュータ記憶デバイス上に記録されたコンピュータ・プログラムを含み、これらはそれぞれ、本発明の方法のアクションを実行するように構成されている。
実施態様は、以下の特徴のうちの1つまたは複数の特徴を含むことができる。コントローラが、消耗部品の表面の平面と基準部品の表面の平面との距離差(distance difference)を計算し、この距離差が、第1の距離から第2の距離を減じたものに等しい、ことを備えるチャンバ。コントローラが、消耗部品が最初に取り付けられた時から開始する距離差の経時変化を追跡する、ことを備えるチャンバ。この距離差が、消耗部品が最初に取り付けられた時に測定された距離差から所定の量だけ変化すると、コントローラは、消耗部品を交換すべきであると判定する、ことを備えるチャンバ。消耗部品上の摩耗量は、チャンバを開く必要なしに決定され、センサは、非接触遠方測定デバイスである、ことを備えるチャンバ。コントローラが、消耗部品の表面の平面と基準部品の表面の平面との距離差を計算し、この距離差が、第1の距離から第2の距離を減じたものに等しく、コントローラが、消耗部品が最初に取り付けられたときに始まる距離差の経時変化に基づいて、消耗部品の摩耗を補償するためのプロセス・パラメータ変更を決定する、ことを備えるチャンバ。センサが、移送アームのエンド・エフェクタに結合された、記載されたチャンバ。センサが、デプス・カメラ(depth camera)、または共焦点クロマチック測定デバイス(confocal chromatic measurement device)、または低コヒーレンス・インターフェロメトリ(low coherence interferometry)測定デバイス、または静電容量距離センサ(capacitance distance sensor)、または変色検出器(color change detector)のうちの1つである、ことを備えるチャンバ。センサを格納するための真空移送チャンバ内のステーションまたはロード・ロックをさらに含み、移送アームが、センサを載置して、真空移送チャンバ内のステーションまたはロード・ロックから取り出す、ことを備えるチャンバ。消耗部品がエッジ・リング(edge ring)であり、基準部品が、チャンバの作動中、基板を保持するチャックである、記載されたチャンバ。センサがコントローラに無線で接続されており、センサが電池を含む、ことを備えるチャンバ。センサが、移送アームのエンド・エフェクタに装着可能である、ことを備えるチャンバ。基板を載置しているかのように移送アームがセンサを載置するように、基板に類似する構造上にセンサが装着されている、ことを備えるチャンバ。
一般的な1つの態様は、消耗部品の摩耗を決定する方法を含み、この方法は、半導体製造チャンバ内において移送アーム上に基板を載置する操作を含み、移送アームはセンサを含む。この方法はさらに、移送アームが消耗部品の近くを移動するときに、センサから消耗部品の表面までの第1の距離を、センサを用いて測定する操作を含み、消耗部品は、チャンバの作動中に摩耗する。この方法はさらに、移送アームが基準部品の近くを移動するときに、センサから基準部品の表面までの第2の距離を、センサを用いて測定する操作を含み、基準部品は、チャンバの作動中に摩耗しない。この方法はさらに、第1の距離および第2の距離に基づいて消耗部品の摩耗量を決定する操作を含む。
一般的な1つの態様は、基板を処理するチャンバを含み、このチャンバは、基準部品、消耗部品、移送アーム、センサおよびコントローラを含む。消耗部品は、チャンバの作動中に堆積物を蓄積する。さらに、移送アームは、基板をチャンバ内へ移送するためのものである。センサは移送アーム上にあり、移送アームが消耗部品の近くを移動するときに、センサから消耗部品の表面までの第1の距離を測定するように構成されており、また、移送アームが基準部品の近くを移動するときに、センサから基準部品の表面までの第2の距離を測定するように構成されている。コントローラは、第1の距離および第2の距離に基づいて消耗部品上の堆積物の量を決定するように構成されている。この態様の他の実施形態は、対応するコンピュータ・システム、装置、および1つまたは複数のコンピュータ記憶デバイス上に記録されたコンピュータ・プログラムを含み、これらはそれぞれ、本発明の方法のアクションを実行するように構成されている。
他の態様は、添付図面に関して書かれた以下の詳細な説明から明らかになるであろう。
実施形態は、添付図面に関して書かれた以下の説明を参照することによって最もよく理解することができる。
一実施形態に基づく、容量結合プラズマ処理システム内でのウェーハの載置を示す図である。
一実施形態に基づく、ウェーハの縁部分の詳細側面図である。
一実施形態に基づく、エッジ・リングの上面の腐食に起因する、ウェーハの表面全体にわたるプラズマ均一性の欠如を示す図である。
一実施形態に基づく、ウェーハを載置しているときの距離センサからエッジ・リングまでの距離の測定を示す図である。
一実施形態に基づく、ウェーハを載置しているときの距離センサからチャックの上面までの距離の測定を示す図である。
真空移送モジュール(vacuum transfer module:VTM)とインタフェースするさまざまなモジュールを示す、典型的な半導体プロセス・クラスタ・アーキテクチャを示す図である。
2つのエンド・エフェクタおよび距離センサを備える一実施形態に基づく移送アームを示す図である。
単一のエンド・エフェクタおよび距離センサを備える一実施形態に基づく移送アームを示す図である。
エンド・エフェクタに距離センサがクリップ留めされた一実施形態に基づく移送アームの底面図である。
一実施形態に基づく、埋め込まれた距離センサを備えるウェーハ状デバイス(wafer−like device)を示す図である。
一実施形態に基づく、エッジ・リングの上面とチャックとの間の垂直距離の、時間の経過に伴う変化を示す図である。
半導体処理装置の消耗部品の摩耗を決定する一実施形態に基づく方法の流れ図である。
本開示の実施形態を実現するコンピュータ・システムの簡略化された概要図である。
1つのチャンバは、処理の間、基板を保持するチャック、処理の間、基板を取り囲むように構成されたエッジ・リング、基板をチャックへ移送する移送アーム、移送アーム上の距離センサ、およびコントローラを含む。距離センサは、移送アームがエッジ・リングの上を移動するときに、距離センサからエッジ・リングの上面までの第1の距離を測定するように構成されており、距離センサはさらに、移送アームがチャックの上を移動するときに、距離センサからチャックの上面までの第2の距離を測定するように構成されている。コントローラは、第1の距離および第2の距離に基づいてエッジ・リングの摩耗量を決定するように構成されており、これは、第1の距離と第2の距離との差がエッジ・リングの摩耗量の指標であるためである。この摩耗量が所定のしきい値を超えたとき、それがエッジ・リングを交換する時機である。
実施形態は、エッチング・チャンバ内の消耗部品の摩耗速度およびプロファイル(profile)を、in−situで、非接触表面プロフィロメトリ(non−contact surface profilometry)(例えば共焦点クロマチック測定、低コヒーレンス・インターフェロメトリまたは静電容量距離センサ)を使用して測定する方法を提示する。距離センサは、移送アーム上または別個のプローブ・アーム内に置かれ、ウェーハ移送扉を通してチャンバに定期的に挿入される。距離センサから消耗部品までの経時的な距離を追跡してマップすることによって、関心の部品の摩耗プロファイルが生成される。
これらの特定の詳細の一部または全部がなくても、本明細書の実施形態を実施することができることが明白になるであろう。また、本明細書の実施形態を不必要に不明瞭にしないため、周知のプロセス操作については詳細には記載しない。
図1は、一実施形態に基づく、容量結合プラズマ処理システム内でのウェーハの載置を示す。この容量結合プラズマ処理システムは、プラズマを処理するチャンバ114、コントローラ122、高周波(RF)源124、ポンプ126、および1つまたは複数のガス源128を含む。いくつかの実施形態では、このチャンバが、上部電極に結合された1つまたは複数のRF源を有する。チャンバ114は、処理対象の、ウェーハとも呼ばれる基板102を支持するチャック104(例えば静電チャック)、およびエッジ・リング106を含む。いくつかの実施形態では、チャンバ114がさらに、チャンバ内にプラズマを閉じ込める閉じ込めリング138およびチャンバ壁カバー136を含む。
図1は、移送アーム108によってチャンバ内へ移送されている基板102を示す。チャンバの側面のスロットを通って移送アームがチャンバ114に入ることを可能にするため、移送中は、閉じ込めリング138を上方へ移動させる。チャンバの作動中は閉じ込めリング138を下げ、閉じ込めリング138がチャンバ壁カバー136を保護して、プラズマと接触しないようにする。
一実施形態では、移送アーム108が距離センサ112を含み、距離センサ112は、距離センサ112から遠隔表面までの距離を、遠隔表面に接触する必要なしに測定する。言い換えると、この距離センサは、光学、音響または無線デバイスを使用して遠隔表面までの距離を測定することができる。
チャンバ内の部品のいくつかは消耗部品であり、それらの消耗部品は、チャンバ内でのエッチング・プロセスまたは他のプロセスの影響により、ある動作時間数の後に、部品の劣化のため交換しなければならない。例えば、エッジ・リング106、閉じ込めリング138、チャンバ壁カバー136は消耗部品の例だが、他のチャンバは、やはり消耗部品であり、時間が経過したら交換する必要がある追加の部品を含むことがある。本明細書に提示された実施形態は、エッジ・リング106上の摩耗を測定することに関して説明されるが、提示された原理を利用して、チャンバ内の他の消耗部品上の摩耗を測定することもできる。
一実施形態では、エッジ・リング106の上面とチャック104の上面との間の垂直距離として距離d 110が定義され、すなわち、距離d 110は、エッジ・リング106の上面によって画定される平面とチャック104の上面によって画定される平面との間の垂直距離として測定される。チャンバの作動中、チャックは基板102によって覆われているため、チャック104の上面は通常、変化せず、そのため、一般に、距離dは、エッジ・リングの摩耗により、時間の経過とともに変化する。
RF源124は、約100kHzから約300MHzの多数の周波数のRF信号を生成することができる多数のRF源または単一のRF源を含むことができる。一例として、いくつかのRF信号は、約27MHzから約60MHzの周波数を有する。それらのRF信号は、約50wから約10kwの間のRF電力を有することができる。一例として約100wおよび約1500wの間。RF源124は、パルスRF信号または非パルスRF信号を生成することができる。
コントローラ122は、プラズマ処理システムと通信し、プラズマ処理システムを監視および制御する、プロセッサ、記憶装置、ソフトウェア論理、ハードウェア論理ならびに入力および出力サブシステムを含む。コントローラ122はさらに、プラズマ処理システムを動作させるためのさまざまな動作パラメータ(例えば電圧、電流、周波数、圧力、流量、電力、温度など)に対する多数の設定点を含む1つまたは複数のレシピ(recipe)を含む。一実施形態では、距離センサ112によって得られた距離パラメータに基づいて消耗部品上の摩耗を決定するようにコントローラ122が構成される。このコントローラは、時間を追って取得された距離測定値を比較することによって、部品上の摩耗を決定することができる。例えば、エッジ・リング106に対して測定された増大距離は、エッジ・リング106の最上部が摩耗していることを意味する。したがって、エッジ・リング106上の摩耗が所定のしきい値を超えると、コントローラは、エッジ・リング106を交換するよう警報を出す。
チャンバ114はさらに上電極116を含む。動作時、上電極116は通常、接地されるが、第2のRF源(図示せず)に対してバイアスし、または第2のRF源(図示せず)に結合することもできる。RF源124はチャック104にRF信号を供給し、ガス源128は、所望の1種または数種の処理ガスをチャンバ114に注入する。次いで、上電極116とチャック104の間にプラズマ120が形成される。プラズマ120を使用して、基板102の表面をエッチングしたり、またはチャンバ114のさまざまな内面に形成された堆積物を揮発させたりすることができる。
いくつかの実施態様では、コントローラがシステムの部分であり、そのシステムを、上で説明した例の部分とすることができる。このようなシステムは、1つもしくは複数の処理ツール、1つもしくは複数のチャンバ、処理用の1つもしくは複数のプラットホーム、および/または特定の処理構成部品(ウェーハ・ペデスタル、ガス流システムなど)を含む、半導体処理機器を備えることができる。これらのシステムを、半導体ウェーハまたは基板の処理前、処理中および処理後にシステムの動作を制御する電子装置と統合することができる。この電子装置を「コントローラ」と呼ぶことができ、コントローラは、1つまたは複数のシステムのさまざまな構成部品またはサブパーツ(subpart)を制御することができる。処理要件および/またはシステムのタイプに応じて、このコントローラを、処理ガスの送達、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生装置設定、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置および動作設定、ツールの内外へのウェーハ移送、ならびに特定のシステムに接続またはインタフェースされた他の移送ツールおよび/またはロード・ロックを含む、本明細書に開示されたプロセスのうちの任意のプロセスを制御するようにプログラムすることができる。
大まかに言うと、このコントローラは、例えば命令を受け取り、命令を発し、動作を制御し、洗浄動作を可能にし、終点測定を可能にするさまざまな集積回路、論理、記憶装置および/またはソフトウェアを有する電子装置であると定義することができる。この集積回路は、プログラム命令を記憶したファームウェアの形態のチップ、ディジタル信号処理プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されたチップ、および/または、プログラム命令(例えばソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含むことができる。プログラム命令は、さまざまな個々の設定(またはプログラム・ファイル)の形態でコントローラに伝達される命令であって、半導体ウェーハ上で、または半導体ウェーハのために、またはシステムに対して特定のプロセスを実行するための動作パラメータを定義する命令とすることができる。いくつかの実施形態では、この動作パラメータが、ウェーハの1つおよび複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路および/またはダイの製作中に1つまたは複数の処理ステップを実行するためにプロセス・エンジニアによって定義されたレシピの部分である。
いくつかの実施態様では、このコントローラは、システムに統合され、システムに結合され、他の方法でシステムにネットワーク化され、またはこれらの組合せが使用されたコンピュータの部分であり、またはそのようなコンピュータに結合されている。例えば、このコントローラを、「クラウド(cloud)」内に置き、またはウェーハ処理の遠隔アクセスを可能にすることができるファブ・ホスト・コンピュータ・システム(fab host compute rsystem)の全体もしくは部分とすることができる。このコンピュータは、製作操作(fabrication operation)の現在の進捗を監視し、過去の製作操作の履歴を調べ、複数の製作操作から傾向または性能メトリック(metric)を調べ、現在の処理のパラメータを変更し、処理ステップを設定し、現在の処理に従い、または新しいプロセスを始めるため、システムへの遠隔アクセスを可能にすることができる。いくつかの例では、リモート・コンピュータ(例えばサーバ)は、ネットワークを介してシステムにプロセス・レシピを提供することができ、このネットワークは、ローカル・ネットワークまたはインターネットを含むことができる。このリモート・コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザ・インタフェースを含むことができ、それらのパラメータおよび設定は次いで、リモート・コンピュータからシステムへ伝達される。いくつかの例では、このコントローラは、1つまたは複数の作動中に実行されるそれぞれの処理ステップのためのパラメータを指定するデータの形態の命令を受け取る。それらのパラメータは、実行されるプロセスのタイプに対して、およびそれとインタフェースしまたはそれを制御するようにコントローラが構成されたツールのタイプに対して固有であることがあることを理解すべきである。したがって、上で説明したとおり、一緒にネットワーク化され、本明細書に記載されたプロセスおよび制御などの共通の目的に向かって機能する1つまたは複数の別個のコントローラを備えることなどによって、このコントローラを分散化することができる。このような目的の分散コントローラの例は、(例えばプラットホーム・レベルでまたはリモート・コンピュータの部分として)遠隔地に位置する1つまたは複数の集積回路と連絡し、組み合わさってチャンバ上のプロセスを制御する、チャンバ上の1つまたは複数の集積回路であろう。
限定はされないが、例示的なシステムには、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンス(spin−rinse)チャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーン・チャンバまたはモジュール、べベル・エッジ(bevel edge)エッチング・チャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラック(track)チャンバまたはモジュール、および半導体ウェーハの製作および/または製造において関連づけまたは使用することができる他の半導体処理システムが含まれる。
前述のとおり、このツールによって実行される1つまたは複数の処理ステップに応じて、このコントローラは、他のツール回路もしくはモジュール、他のツール構成部品、クラスタ・ツール、他のツール・インタフェース、隣接するツール、近隣のツール、工場の全体に位置するツール、主コンピュータ、他のコントローラ、または、ウェーハの容器を、半導体製造工場内のツール位置および/または載置ポートへ運び、半導体製造工場内のツール位置および/または載置ポートから運び出す材料輸送において使用されるツールのうちの1つまたは複数のツールと通信することができるであろう。
図2Aは、一実施形態に基づく、ウェーハの縁部分の詳細側面図である。エッジ・リング106がチャック104を取り囲んでいる。一実施形態では、エッジ・リング106の表面の一部分が基板102の縁の下に延びるが、別の実施形態では、エッジ・リング106が基板102の隣に着座し、基板102を支持しない。基板102はチャック104によって支持されるため、RF電力はチャックを通してウェーハ内へ運ばれる。
エッチング・プロセスの間に、チャンバ114の内面にエッチング副生物が堆積する。このエッチング副生物は、ポリマー残留物、チタン化合物および他の金属化合物、ならびにシリコン化合物を含みうる。このエッチング副生物は、プラズマ120の解離したプロセス・ガス種が拡散する可能性があるチャンバ114内の任意の表面に堆積する可能性があり、このような表面には、エッジ・リング106およびプラズマ・プロセス・チャンバの他の内面が含まれる。さらに、チャンバのこれらの部品のいくつかは、エッチング・プロセスによって時間の経過とともに腐食する(例えばエッジ・リング106の厚さが低減する)可能性がある。
図2Aは、動作中、エッジ・リング106の上面と基板102の上面とがどのように実質的に共面であるのかを示す。したがって、プラズマは、基板およびエッジ・リングにまたがるチャンバの底の均一な表面と接触する。この表面は連続しているため、基板の全表面にわたってプラズマは均一であり、その結果、基板の処理も均一になる。
図2Bは、一実施形態に基づく、エッジ・リングの上面の腐食に起因する、ウェーハの表面全体にわたるプラズマ均一性の欠如を示す。いくつかのチャンバ内には、チャンバを開き、摩耗しうる部品を交換しなければならなくなるまでにチャンバを動作させることができる時間を決定するいくつかの重要な構成部品がある。それらの重要な構成部品の1つがエッジ・リング106である。例えば、いくつかの指針は、通常はチャンバが300時間作動された後に、エッジ・リングは摩耗し、エッジ・リングを交換しなければならないことを示している。時には、消耗部品を交換しなければならなくなるまでに消耗部品がどれくらいの時間もつのかに影響を及ぼす可能性があるさまざまな生成物、さまざまなタイプのエッチング・プロセスなどの混合の中で、チャンバを作動させることもある。一部の管理者は、消耗部品が実際に摩耗しているか否かに関わらず、消耗部品を交換するまでのチャンバの数時間の作動を設定する。
図2Bは、長時間動作させた後のエッジ・リング106を示す。エッジ・リング106の上面は、図2Aに示されたシナリオにおけるエッジ・リング106の上面よりも低い。図2Bでは、エッジ・リング106の上面とチャックの上面の間の距離d 110が、エッジ・リングの上面の摩耗のために、およそ半分にまで低下している。
この時点で、基板102の上面とエッジ・リングの上面とは共面ではない。したがって、プラズマが生成されるチャンバの底面は均一ではなく、その結果、ウェーハの表面全体にわたる均一性はなく、特にウェーハの縁では均一性を欠いており、そこではプラズマが不連続になる。その結果として、ウェーハの縁は適正には処理されず、ウェーハの全部または一部が仕様通りには処理されない。
部品を交換するまでのチャンバの数時間の動作を、しばしば最悪の場合のシナリオに基づいて保守的に設定するよりは、関心の部品上の摩耗(すなわち腐食速度)を測定し、絶対に必要なときにだけチャンバを開くことにしたほうが有益である。チャンバを開いて洗浄することは、半導体製造機器のダウンタイムを意味するため、また、チャンバを(例えば湿式洗浄で)完全に洗浄しなければならず、費用がかさむ操作である。さらに、チャンバの適格性を再確認しなければならないこともあり、その工程に1日または2日かかることがあり、その間は、マシンを作動させることができない。洗浄と洗浄の間におけるマシンの作動可能時間を長くすることができれば、半導体製造機器の重大な性能改善およびより良好な投資収益率(return on investment)に結びつくであろう。
図2Cは、一実施形態に基づく、ウェーハを載置しているときの距離センサからエッジ・リングまでの距離の測定を示す。一実施形態では、本明細書では距離測定プローブとも呼ばれる距離センサ112を移送アーム108上に装着する。移送アーム108がチャンバ内に移動するときおよび移送アーム108がチャンバから外へ移動するときに、距離センサから1つまたは複数のチャンバ部品までの距離を測定し、それをプローブの位置と相関させて、測定された部品の表面プロファイルを生成する。
この表面プロファイルを監視することによって、消耗部品の摩耗速度をほぼリアルタイムで決定することができる。一実施形態では、この距離センサが、共焦点クロマチック測定システム、または低コヒーレンス・インターフェロメトリ・デバイスなどの光学距離測定デバイスである。これらのデバイスは十分に小さく、関心の変化を検出するのに必要な分解能を有し、真空中で動作することができ、電気伝導体および非電気伝導体までの距離を測定することができる。他の実施形態では、金属部品上の摩耗または金属部品の上に取り付けられた誘電体材料上の摩耗を測定するいくつかの用途に対して、静電容量距離測定プローブが適していることがある。
共焦点測定を用いる距離センサの一例として、多レンズ光学系によってターゲット表面に多色性白色光(polychromatic white light)を集束させる。それらのレンズは、制御された色収差によってこの白色光を分散させて単色光とするように配置される。工場較正(factory calibration)によって、それぞれの波長にはターゲットまでの特定の距離が割り当てられている。ターゲット表面から反射された光は、共焦点絞り(confocal aperture)を通して、スペクトル変化を検出および処理する分光計上に導かれる。
移送アーム108がチャンバに入ると、移送アーム108は、エッジ・リングの非常に近く、エッジ・リングのすぐ上を通る。一実施形態では、距離センサ202がエッジ・リング106の上を通るときに、距離センサ112とエッジ・リング106の上面との間の距離d1 202の1つまたは複数の測定値を取得する。
一実施形態では、コントローラは、移送アーム108の正確な位置を知っており、従って、経時的に距離d1 202を追跡することによって、エッジ・リング106の上面の位置の変化を識別することが可能である。このようにすると、エッジ・リング106の摩耗を推定することができる。
一実施形態では、エッジ・リングが最初にチャンバ内に置かれたときに距離d1を測定する。以後、距離d1を定期的に測定し、最初のd1と比較する。最初のd1とその時点のd1の測定値との差が、予め定められたしきい値t1よりも大きいとき、それがエッジ・リングを取り替える時機である。したがって、d1 202の変化を識別することにより、エッジ・リングがどれくらい摩耗しているのかをはっきりと把握することができる。
一実施形態では、移送アームがエッジ・リングの上を移動している間に距離測定値を取得するが、別の実施形態では、エッジ・リングの上方で移送アームを止め、移送アームが静止している間に距離測定値を取得する。
選択されたこの距離センサの限界の1つは、距離センサは、移送アーム上に載置されているときに、ウェーハがそこからチャンバに入るスロットをうまく通り抜けなければならず、チャンバ内の構成部品にぶつかったりまたはチャンバ内の構成部品を傷つけたりすることを回避できなければならないことであることに留意されたい。
図2Dは、一実施形態に基づく、ウェーハを載置しているときの距離センサからチャックの上面までの距離の測定を示す。一実施形態では、距離センサ112が、距離センサ112からチャックの上面までの距離d2 204に対する第2の測定値を取得する。次いで、エッジ・リング106の上部平面とチャックの上面との間の垂直距離dを、d2−d1として計算する。チャンバの作動中は、基板がチャック104を覆うため、チャック104の上面は時間が経過してもあまり変化しない。
一実施形態では、エッジ・リング106の摩耗を測定するために、エッジ・リングの上面とチャックの上面との間の垂直距離dを経時的に追跡して監視する。チャックは変化しないため、チャックを基準点として使用して、エッジ・リング106の変化を測定することができる。チャックを基準として使用することによって、移送アームの運動に起因するエッジ・リングまでの距離の測定値の変動を最小化することができる。
別の実施形態では、エッジ・リングが最初にチャンバ内に置かれたときに距離dを測定する。距離dを定期的に測定し、最初のdと比較する。最初のdとその時点のdの測定値との差が、予め定められたしきい値t2よりも大きいとき、それがエッジ・リングを取り替える時機である。したがって、距離d 110の変化を識別することにより、エッジ・リングがどれくらい摩耗しているのかをはっきりと把握することができる。
一実施形態では、移送アームとエッジ・リングの最上部との間の距離が2から5mmの範囲にあるが、他の値も可能である。別の実施形態では、チャンバの上部窓も時間の経過とともに摩耗し、チャンバの最上部までの距離を測定する距離センサを有することにより、同じ原理を適用して摩耗を測定することができる。上部窓から移送アームまでの距離は5インチ(127ミリ)から6インチ(152.4ミリ)の範囲とすることができるが、他の値も可能である。したがって、この範囲内の距離を正確に測定することができる距離センサを選択しなければならない。
さらに、提示された実施形態は、移送アーム上に基板が載置されている間に距離測定値を取得することを含むが、移送アーム上に基板を装着することなく測定値を取得することとも可能であることに留意されたい。このようにすると、基板が載置される位置よりも距離センサが下にある場合に、チャンバの最上部までの距離を測定する際に基板が邪魔にならない。
図3は、真空移送モジュール(VTM)とインタフェースするさまざまなモジュールを示す、典型的な半導体プロセス・クラスタ・アーキテクチャを示す。多数の格納設備および処理モジュール間でウェーハを「移送する」移送モジュールのこの配置はしばしば、「クラスタ・ツール・アーキテクチャ」システムと呼ばれる。VTM338内には、ロード・ロックまたは移送モジュールとも呼ばれるエアロック(airlock)330が示されており、VTM338は、さまざまな製作プロセスを実行するように個別に最適化することができる4つの処理モジュール320a〜320dを備える。一例として、トランスフォーマ・カップルド・プラズマ(transformer coupled plasma:TCP)基板エッチング、層堆積および/またはスパッタリングを実行するように、処理モジュール320a、320b、320c、320dを実現することができる。エアロック330またはプロセス・モジュール320aについて一般的に言うときには時に、エアロックまたは処理モジュールを指すのにステーションという用語が使用される。ステーションはそれぞれ、そのステーションをVTM338にインタフェースするファセット(facet)336を有する。それぞれのファセットの内側で、対応するそれぞれのステーションに入るときおよび対応するそれぞれのステーションから出るときの基板102の通過を検出するセンサが使用される。
ステーション間ではロボット322が基板102を移送する。一実施形態ではロボット322は1本のアームを有し、別の実施形態ではロボット322は2本のアームを有し、アームはそれぞれ、輸送のためにウェーハをピックアップするエンド・エフェクタ324を有する。大気圧移送モジュール340(atmospheric transfer module:ATM)内のフロントエンド・ロボット332は、カセットから、またはロード・ポート・モジュール(Load Port Module:LPM)342内のフロント・オープニング・ユニファイド・ポッド(Front Opening Unified Pod:FOUP)334からエアロック330にウェーハを移送するために使用される。ATM340内のアライナ(alinger)344は、ウェーハを整列させるために使用される。
ウェーハ移動を制御するコンピュータは、このクラスタ・アーキテクチャのローカル・コンピュータとすることができ、または、製造フロアのどこかまたは遠隔位置に配置し、ネットワークを介してこのクラスタ・アーキテクチャに接続することができることに留意すべきである。
図4Aは、2つのエンド・エフェクタおよび距離センサを備える一実施形態に基づく移送アームを示す。一実施形態では、2つのエンド・エフェクタ404a、404bを備える移送アーム402は、一方のエンド・エフェクタに結合されている距離センサ408を含む。一実施形態では、この距離センサが、エンド・エフェクタの下に置かれたプロフィロメータ(profilometer)であり、このことは、ウェーハが載置されたときには距離センサ408がウェーハの下に位置することを意味する。
別の実施形態では、この距離センサが、表面において光の焦点深度を変化させ、反射光の量を調べる共焦点クロマチック・システムである。別の実施形態ではこの距離センサがカメラであり、そのカメラは、下の表面をまっすぐに指すように置くことができ、距離センサ内の光源からの反射された光の量を測定する。別の実施形態では、このカメラが斜めに置かれ、センサから光を反射する表面までの距離に基づいて反射光の量が変化する。
距離センサ408は、ワイヤ406を介してコントローラに接続されており、ワイヤ406は、距離センサ用の電力と、データを転送するためのデータ接続とを含む。
一実施形態では、センサを格納するためにVTMのステーションの1つが使用される。測定が望ましいときには、移送アームが、センサを載置してステーションから取り出し、次いでセンサと一緒にチャンバに入って測定を実行する。別の実施形態では、距離センサがエンド・エフェクタ上に恒久的に装着されており、ウェーハが載置されまたはウェーハがチャンバから取り出されるたびに測定値を取得することが可能である。
図4Bは、単一のエンド・エフェクタおよび距離センサを備える一実施形態に基づく移送アームを示す。ロボット・アーム422は、ロボット構造体に接続されたピボット点420を含み、ピボット点420は、ロボット・アーム422がピボット点420を軸にピボット回転することを可能にする。一実施形態では、1つまたは複数の真空センサ438が、真空センサに対する吸引効果を使用して、エンド・エフェクタ上にウェーハが適正に載置されたときを識別する。
距離センサ434は、エンド・エフェクタの下に恒久的に置かれ、このことは、基板が載置された際には距離センサ434が基板の下に位置することを意味する。移送アームがチャンバに入ると、距離センサ434は、以前に論じたとおりにエッジ・リングおよびチャックの上を移動し、エッジ・リングの上面までおよび/またはチャックの上面までの距離測定値を取得することができる。
別の実施形態では、エンド・エフェクタの下の別の位置に距離センサが位置する。例えば、距離センサ434は、エンド・エフェクタの右フィンガまたは左フィンガ上に位置することができる。他の実施形態では、エンド・エフェクタが真空センサ438よりも下に位置することができるが、距離センサがスロットをうまく通り抜けてチャンバに入り、チャンバ内の他の部品と接触しない限り、他の位置も可能である。
他の実施形態では、エンド・エフェクタの上面に距離センサを装着することができるが、距離センサがエッジ・リングの上方にあるときにエンド・エフェクタ上にウェーハは載置されておらず、エンド・エフェクタはチャンバに導入されて、載置されたウェーハなしで測定値を取得する。
図5Aは、エンド・エフェクタに距離センサがクリップ留めされた一実施形態に基づく移送アーム108の底面図である。一実施形態では、エンド・エフェクタの側面の1つに距離センサ502がクリップ留めされる。別の実施形態(図示せず)では、距離センサが、エンド・エフェクタ自体に組み込まれ、エンド・エフェクタのプロファイルを、距離センサが取り付けられていない場合とできるだけ同じに維持するために、距離センサが低プロファイルを有する。
一実施形態では、距離センサは、蓄電池とすることができる電池を含み、測定データをコントローラに伝送するために無線通信機能を含む。このようにすると、情報を伝送するためまたは距離センサに給電するために距離センサに配線する必要がない。
別の実施形態では、チャンバの壁の腐食または摩耗を、チャンバの壁の変色を測定することによって測定する。堆積膜は非常に薄いことがあり、そのため、堆積膜の厚さを正確に測定することが難しいことがある。しかしながら、壁の変色を測定することによって、壁の交換または洗浄が必要なときを決定することが可能であることがある。
図5Bは、一実施形態に基づく、埋め込まれた距離センサを備えるウェーハ状デバイスを示す。一実施形態では、エンド・エフェクタにはウェーハのように見える構造体上に距離センサ506が装着されており、すなわち、ウェーハ状構造体504に距離センサ506が埋め込まれている。このようにすると、距離測定を実行するのに既存の移送アーム108を変更する必要がない。
測定を実行するたびに、エンド・エフェクタは、ウェーハ状構造体504を載置し、それをチャンバに導入する。この構造体をチャック上に載置する必要はなく、そのため、1つまたは複数の測定値を取得した後に、移送アームはチャンバを出、ウェーハ状構造体を降ろす。
一実施形態では、ウェーハ・ステーション内のバッファ(buffer)にウェーハ状構造体が格納され、エンド・エフェクタがウェーハ状構造体を載置してバッファから取り出す。他の実施形態では、移送エアロックのスロットの1つにウェーハ状構造体を格納することができる。
図6は、一実施形態に基づく、エッジ・リングの上面とチャックとの間の垂直距離の、時間の経過に伴う変化を示す。エッジ・リングまでの距離だけを測定したときにもチャートは図6のチャートと同様に見えるが、そのチャートは、チャックとエッジ・リングの間の相対距離を測定する代わりに、距離センサとエッジ・リングの間の距離を示すことに留意されたい。
最初に、新しいエッジ・リングをチャンバ内に置いた後、時刻t0において、垂直距離d0の最初の測定値が取得している。その後、測定値を定期的に取得して、距離dの値を調べる。チャンバが動作時間を積み上げるにつれて、エッジ・リングの上面は、チャンバ内のプラズマにさらされるため腐食し続ける。したがって距離dは徐々に小さくなる。一実施形態では、エッジ・リングの幅が3から4mmであるが、他の値も可能である。
距離dが、所定のしきい値dreplに達したとき、それが、ウェーハの縁の不均一性の影響をチャンバの動作が受ける前にエッジ・リングを取り替える時機である。あるプロセスは他のプロセスよりも速くエッジ・リングを腐食させるため、腐食速度は、チャンバ内で実行されるさまざまなプロセスによって直線的であることもまたは直線的ではないこともある。
以前に論じたとおり、エッジ・リングは時間の経過とともに摩耗するが、チャックは摩耗せず、そのため、エッジ・リングの上面とチャックの上面との間の垂直距離を示す距離dの変化は、エッジ・リング上の腐食に起因すると考えられる。
上に提示された原理と同じ原理を利用して、消耗部品上の堆積物を測定することができる。しかしながら、このプロセスは、エッジ・リング上の堆積物のためにエッジ・リングの最上部からチャックの最上部までの距離が時間の経過とともに増大する逆プロセスである。しきい距離に変換される、しきい蓄積量を規定し、dが、しきい距離よりも大きくなったときが、エッジ・リング上の堆積物が過大になったためにエッジ・リングを交換または洗浄する時機である。
図7は、半導体処理装置の消耗部品の摩耗を決定する一実施形態に基づく方法の流れ図である。この流れ図のさまざまな操作を順番に提示し説明するが、それらの操作のうちの一部または全部を、異なる順序で実行し、組み合わせ、もしくは省き、または並行して実行することができることを当業者は理解するであろう。
上で論じたとおり、実施形態は、消耗部品の摩耗のin−situ測定を実行し、ほぼリアルタイムでフィードバックする。この方法は、単に時間に基づいてまたは後のウェーハ検査ステップにおけるプロセス・シフトを観察することによって寿命の終わりを予測する使用されている典型的な方法にはない利点を提供する。in−situリアルタイム測定を使用して、消耗部品の寿命を予測して、保守を予測可能にすることができる。これを、フィードバック・アルゴリズムとともに潜在的に使用して、プロセス調整を部品摩耗に基づいて実施し、それによってウェーハ間の再現性を向上させ、部品寿命を延ばし、生産に対するシステムの可用性を増大させることができる。
操作702では、半導体製造チャンバ内において移送アーム上に基板が載置される。この移送アームは距離センサを含む。この方法は操作702から測定のための操作704へ進み、距離センサを用いて、移送アームが消耗部品の近くを移動するときに、距離センサから消耗部品の表面までの第1の距離を測定する。この消耗部品は、チャンバの作動中に摩耗する。
この方法は操作704から測定のための操作706へ進み、距離センサを用いて移送アームが基準部品の近くを移動するときに、距離センサから基準部品の表面までの第2の距離を測定する。この基準部品は、チャンバの作動中に摩耗しない。
この方法は操作706から操作708へ進み、第1の距離および第2の距離に基づいて消耗部品の摩耗量が決定される。一実施形態では、第2の距離と第1の距離との差に基づいて摩耗が決定され、この差は、消耗部品の上面と基準部品の上面との間の垂直距離である。消耗部品が摩耗し、交換を必要とするときを決定するために、これらの上面間のこの距離を経時的に追跡して監視する。一実施形態では、消耗部品がエッジ・リングであり、基準部品が、処理の間、基板を保持するように構成されたチャックである。
図8は、本開示の実施形態を実現するコンピュータ・システム800の簡略化された概要図である。本明細書に記載された方法は、従来の汎用コンピュータ・システムなどのディジタル処理システムを用いて実行することができることを理解すべきである。代替実施形態では、1つの機能だけを実行するように設計またはプログラムされた専用コンピュータを使用することができる。このコンピュータ・システムは中央処理ユニット(CPU)804を含み、CPU804は、バス810を介して、ランダム・アクセス・メモリ(RAM)806、リード・オンリー・メモリ(ROM)812および大容量記憶デバイス814に結合されている。システム・コントローラ・プログラム808はRAM806にあるが、大容量記憶デバイス814に置くこともできる。
大容量記憶デバイス814は、フロッピー・ディスク・ドライブ、または固定ディスク・ドライブなどの永続的なデータ記憶デバイスを表し、ローカル・デバイスでもまたはリモート・デバイスでもよい。ネットワーク・インタフェース830は、ネットワーク832を介した接続を提供し、他のデバイスとの通信を可能にする。CPU804は、汎用プロセッサ、専用プロセッサまたは特別にプログラムされた論理デバイスとして具体化することができることを理解すべきである。入力/出力(I/O)インタフェースは、さまざまな周辺装置との通信を提供し、バス810を介してCPU804、RAM806、ROM812および大容量記憶デバイス814に接続されている。周辺装置の例には、ディスプレイ818、キーボード822、カーソル制御824、リムーバブル・メディア・デバイス834などが含まれる。
ディスプレイ818は、本明細書に記載されたユーザ・インタフェースを表示するように構成される。キーボード822、カーソル制御824、リムーバブル・メディア・デバイス834および他の周辺装置は、コマンド選択における情報をCPU804に伝達するためにI/Oインタフェース820に結合されている。I/Oインタフェース820を介して、外部デバイスへのデータおよび外部デバイスからのデータを伝達することができることを理解すべきである。実施形態は、ワイヤ・ベースのネットワークまたは無線ネットワークを介してリンクされた遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施することもできる。
ハンドヘルド・デバイス、マイクロプロセッサ・システム、マイクロプロセッサ・ベースのコンシューマ電子機器またはプログラム可能なコンシューマ電子機器、ミニコンピュータ、メインフレーム・コンピュータなどを含むさまざまなコンピュータ・システム構成を用いて実施形態を実施することができる。実施形態は、ネットワークを介してリンクされた遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施することもできる。
上記の実施形態に留意して、実施形態は、コンピュータ・システムに記憶されたデータを含むさまざまなコンピュータ実現動作を使用することができることを理解すべきである。これらの動作は、物理量の物理的な操作を必要とする動作である。実施形態の部分を構成する本明細書に記載された動作はいずれも有用な機械動作である。実施形態はさらに、これらの動作を実行するデバイスまたは装置に関する。この装置は、専用コンピュータなどの必要な目的のために特別に構築することができる。専用コンピュータとして規定されたとき、このコンピュータは、専用目的の部分ではない他の処理、プログラム実行またはルーチンを実行することもできるが、同時に、依然として専用目的のために動作することができる。あるいは、コンピュータ記憶装置もしくはキャッシュに記憶された1つもしくは複数のコンピュータ・プログラム、またはネットワークを介して得た1つもしくは複数のコンピュータ・プログラムによって選択的に起動または構成された汎用コンピュータによって、それらの動作を処理することもできる。データがネットワークを介して得られるときには、そのネットワーク上の他のコンピュータ、例えばコンピューティング資源のクラウドによってそのデータを処理することができる。
1つまたは複数の実施形態を、コンピュータ可読媒体上のコンピュータ可読コードとして製作することもできる。このコンピュータ可読媒体は、データを記憶することができる任意のデータ記憶デバイスであり、そのデータは、その後にコンピュータ・システムによって読み取ることができる。コンピュータ可読媒体の例には、ハード・ドライブ、ネットワーク・アタッチト・ストレージ(network attachd storage:NAS)、リード・オンリー・メモリ、ランダム・アクセス・メモリ、CD−ROM、CD−R、CD−RW、磁気テープ、ならびに他の光学および非光学データ記憶デバイスが含まれる。コンピュータ可読コードが分散型の方式で記憶され、実行されるように、このコンピュータ可読媒体は、ネットワーク結合されたコンピュータ・システム上に分散した有形のコンピュータ可読媒体を含むことができる。
方法操作を特定の順序で説明したが、操作と操作の間に別のハウスキーピング(hausekeeping)操作を実行することができること、または、操作をわずかに異なる時刻に実行することができるように操作を調整することができること、または、オーバレイ操作の処理が所望の方法で実行される限りにおいて、その処理に関連したさまざまな間隔で処理操作を実行することを可能にするシステム内に、それらの操作を分散させることができることを理解すべきである。
以上では、理解を明瞭にするために実施形態をある程度詳細に説明したが、添付された特許請求項の範囲内で、ある種の改変および変更を実施することができることは明らかである。したがって、本明細書の実施形態は例示を意図したものであると考えるべきであり、限定を意図したものであると考えるべきではない。実施形態は、本明細書に記載された詳細だけに限定されるものではなく、添付の特許請求項の範囲および等価物の範囲内で変更することができる。

Claims (20)

  1. 基板を処理するチャンバであって、
    前記チャンバ内の基準部品であって、前記チャンバの作動中に摩耗しない基準部品と、
    前記チャンバ内の消耗部品であって、前記チャンバの作動中に摩耗する消耗部品と、
    前記基板を前記チャンバ内へ移送する移送アームと、
    前記移送アーム上のセンサであって、前記移送アームが前記消耗部品の近くを移動するときに、前記センサから前記消耗部品の表面までの第1の距離を測定するように構成され、前記移送アームが前記基準部品の近くを移動するときに、前記センサから前記基準部品の表面までの第2の距離を測定するように構成されているセンサと、
    前記第1の距離および前記第2の距離に基づいて前記消耗部品の摩耗量を決定するように構成されているコントローラと
    を備えるチャンバ。
  2. 請求項1に記載のチャンバであって、前記コントローラは、前記消耗部品の前記表面の平面と前記基準部品の前記表面の平面との距離差を計算し、前記距離差は、前記第1の距離から前記第2の距離を減じたものに等しい、チャンバ。
  3. 請求項2に記載のチャンバであって、前記コントローラは、前記消耗部品が最初に取り付けられた時から開始する、前記距離差の経時変化を追跡する、チャンバ。
  4. 請求項3に記載のチャンバであって、前記コントローラは、前記距離差が、前記消耗部品が最初に取り付けられた際に測定された距離差から所定の量だけ変化したときに、前記消耗部品は交換されるべきであると判定する、チャンバ。
  5. 請求項1に記載のチャンバであって、前記消耗部品上の前記摩耗量は、前記チャンバを開く必要なしに決定され、前記センサは、非接触遠方測定デバイスである、チャンバ。
  6. 請求項1に記載のチャンバであって、前記コントローラは、前記消耗部品の前記表面の平面と前記基準部品の前記表面の平面との距離差を計算し、前記距離差は、前記第1の距離から前記第2の距離を減じたものに等しく、前記コントローラは、前記消耗部品が最初に取り付けられた時から開始する前記距離差の経時変化に基づいて、前記消耗部品の摩耗を補償するためのプロセス・パラメータ変更を決定する、チャンバ。
  7. 請求項1に記載のチャンバであって、前記センサは、前記移送アームのエンド・エフェクタに結合されている、チャンバ。
  8. 請求項1に記載のチャンバであって、前記センサは、デプス・カメラ、または共焦点クロマチック測定デバイス、または低コヒーレンス・インターフェロメトリ測定デバイス、または静電容量距離センサ、または変色検出器のうちの1つである、チャンバ。
  9. 請求項1に記載のチャンバであって、さらに、
    前記センサを格納するための前記真空移送チャンバ内のステーションまたはロード・ロック
    を含み、前記移送アームは、前記真空移送チャンバ内の前記ステーションまたは前記ロード・ロックから前記センサを載置する、
    チャンバ。
  10. 請求項1に記載のチャンバであって、前記消耗部品はエッジ・リングであり、前記基準部品は前記チャンバの作動中、前記基板を保持するチャックである、チャンバ。
  11. 請求項1に記載のチャンバであって、前記センサは前記コントローラに無線で接続されており、前記センサは電池を含む、チャンバ。
  12. 請求項1に記載のチャンバであって、前記センサは前記移送アームのエンド・エフェクタに搭載可能である、チャンバ。
  13. 請求項1に記載のチャンバであって、前記センサは、前記移送アームが基板を載置しているかのように前記移送アームが前記センサを載置するよう、基板に類視する構造体上に搭載されている、チャンバ。
  14. 消耗部品の摩耗を決定する方法であって、
    半導体製造チャンバ内において移送アーム上に基板を載置し、前記移送アームはセンサを含み、
    前記移送アームが消耗部品の近くを移動するときに、前記センサを用いて前記センサから前記消耗部品の表面までの第1の距離を測定し、前記消耗部品は、前記チャンバの作動中に摩耗し、
    前記移送アームが基準部品の近くを移動するときに、前記センサを用いて前記センサから前記基準部品の表面までの第2の距離を測定し、前記基準部品は前記チャンバの作動中に摩耗せず、
    前記第1の距離および前記第2の距離に基づいて前記消耗部品の摩耗量を決定すること
    を備える方法。
  15. 請求項14に記載の方法であって、前記摩耗量を決定することは、
    前記消耗部品の前記表面の平面と前記基準部品の前記表面の平面との間の距離差を計算し、前記距離差は、前記第1の距離から前記第2の距離を減じたものに等しい、ことを、含む
    方法。
  16. 請求項15に記載の方法であって、さらに、
    前記消耗部品が最初に取り付けられた時から開始する、前記距離差の経時変化を追跡し、前記消耗部品は、前記距離差が、前記消耗部品が最初に取り付けられた時に測定された前記距離差から所定の量だけ変化したときに、交換される、
    方法。
  17. 請求項14に記載の方法であって、前記消耗部品上の前記摩耗量は前記チャンバを開く必要なしに決定され、前記センサは非接触遠方測定デバイスである、方法。
  18. 請求項14に記載の方法であって、前記センサは、デプス・カメラ、または共焦点クロマチック測定デバイス、または低コヒーレンス・インターフェロメトリ測定デバイス、または静電容量距離センサ、または変色検出器のうちの1つである、方法。
  19. 基板を処理するチャンバであって、
    前記チャンバ内の基準部品と、
    前記チャンバ内の消耗部品であって、前記チャンバの作動中に堆積物を蓄積する消耗部品と、
    前記基板を前記チャンバ内へ移送する移送アームと、
    前記移送アーム上のセンサであって、前記移送アームが前記消耗部品の近くを移動するときに、前記センサから前記消耗部品の表面までの第1の距離を測定するように構成され、前記移送アームが前記基準部品の近くを移動するときに、前記センサから前記基準部品の表面までの第2の距離を測定するように構成されているセンサと、
    前記第1の距離および前記第2の距離に基づいて前記消耗部品上の堆積物の量を決定するように構成されているコントローラと
    を備えるチャンバ。
  20. 請求項19に記載のチャンバであって、前記コントローラは、前記消耗部品の前記表面の平面と前記基準部品の前記表面の平面との距離差を計算し、前記距離差は、前記第1の距離から前記第2の距離を減じたものに等しく、
    前記コントローラが、前記消耗部品が最初に取り付けられた時から開始する、前記距離差の経時変化を追跡し、
    前記コントローラは、前記距離差が、前記消耗部品が最初に取り付けられた時に測定された距離差から所定の量だけ変化したときに、前記消耗部品を交換されるべきであると判定する、
    チャンバ。
JP2016160065A 2015-08-21 2016-08-17 半導体製造機器内の消耗部品の摩耗検出 Active JP6598745B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562208499P 2015-08-21 2015-08-21
US62/208,499 2015-08-21
US14/846,635 2015-09-04
US14/846,635 US10014198B2 (en) 2015-08-21 2015-09-04 Wear detection of consumable part in semiconductor manufacturing equipment

Publications (3)

Publication Number Publication Date
JP2017050535A true JP2017050535A (ja) 2017-03-09
JP2017050535A5 JP2017050535A5 (ja) 2019-09-26
JP6598745B2 JP6598745B2 (ja) 2019-10-30

Family

ID=58157592

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016160065A Active JP6598745B2 (ja) 2015-08-21 2016-08-17 半導体製造機器内の消耗部品の摩耗検出

Country Status (5)

Country Link
US (1) US10014198B2 (ja)
JP (1) JP6598745B2 (ja)
KR (1) KR102546407B1 (ja)
CN (1) CN106468541B (ja)
TW (1) TWI709173B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018032857A (ja) * 2016-08-23 2018-03-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体プロセスモジュールのためのエッジリングまたはプロセスキット
JP2020017624A (ja) * 2018-07-25 2020-01-30 株式会社ディスコ プラズマエッチング装置
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP2021197444A (ja) * 2020-06-15 2021-12-27 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
JP2022090706A (ja) * 2020-12-08 2022-06-20 アダプティブ プラズマ テクノロジー コーポレーション 半導体部品の交換監視装置及びこれによる部品交換監視方法
KR20220153503A (ko) 2021-05-11 2022-11-18 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 환상 부재의 높이 추정 방법
JP7305076B1 (ja) * 2022-09-01 2023-07-07 三菱電機株式会社 データ収集分析システム、測定データ収集ユニット、および、データ収集分析方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10190865B2 (en) * 2016-01-27 2019-01-29 Lam Research Corporation Verifying end effector flatness using electrical continuity
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
CN108927261A (zh) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 一种反击式破碎机
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
KR20200086375A (ko) * 2017-12-05 2020-07-16 램 리써치 코포레이션 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
CN108375608A (zh) * 2018-03-12 2018-08-07 昆山国显光电有限公司 基板检测装置
JP2019201125A (ja) * 2018-05-17 2019-11-21 三菱電機株式会社 ウエハ研削装置およびウエハ研削方法
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
CN109283184A (zh) * 2018-09-03 2019-01-29 浙江大学 一种基于光谱共焦传感器的表面疵病测量方法
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
JP7357453B2 (ja) 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR102232666B1 (ko) * 2019-06-27 2021-03-30 세메스 주식회사 기판 처리 장치 및 부품 상태 검출 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US20220254666A1 (en) * 2019-07-26 2022-08-11 Lam Research Corporation Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP2023507093A (ja) * 2019-12-19 2023-02-21 ラム リサーチ コーポレーション 消耗チャンバ部品におけるカプセル化rfid
US20210305027A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Plasma processing apparatus and wear amount measurement method
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
KR102349160B1 (ko) * 2020-07-03 2022-01-12 한국전력공사 디스크 커터의 마모도 측정 장치, 디스크 커터의 마모 관리 시스템, 및 그 구동방법
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102585286B1 (ko) * 2020-10-15 2023-10-05 세메스 주식회사 기판 처리 장치 및 소모성 부품의 마모도 측정 방법
TWI759913B (zh) * 2020-10-16 2022-04-01 天虹科技股份有限公司 原子層沉積薄膜厚度的檢測系統及檢測方法
TWI759960B (zh) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 半導體部件的更換監控裝置以及其部件更換監控方法
KR102591723B1 (ko) * 2020-12-09 2023-10-20 세메스 주식회사 기판 처리 시스템 및 이를 포함하는 오토 티칭 시스템
KR102632552B1 (ko) 2021-07-23 2024-02-02 한국표준과학연구원 플라즈마 진단기능 및 유전체 두께 측정기능을 갖는 센서, 이를 구비하는 공정장치 및 공정시스템
US20230236569A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Estimation of chamber component conditions using substrate measurements
DE102022209644B3 (de) * 2022-09-14 2024-02-01 Carl Zeiss Smt Gmbh Verfahren zum Charakterisieren eines Abschirmelements einer Teilchenstrahlvorrichtung, Mittel zum Charakterisieren des Abschirmelements, eine Teilchenstrahlvorrichtung und ein entsprechendes Computerprogramm

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006196716A (ja) * 2005-01-14 2006-07-27 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体装置の製造方法
JP2011210853A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
JP2015115591A (ja) * 2013-12-16 2015-06-22 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP2016100407A (ja) * 2014-11-19 2016-05-30 東京エレクトロン株式会社 測定システムおよび測定方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009245988A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd プラズマ処理装置、チャンバ内部品及びチャンバ内部品の寿命検出方法
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
CN104813462B (zh) * 2012-11-30 2017-04-26 应用材料公司 振动控制的基板传送机械手、系统及方法
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006196716A (ja) * 2005-01-14 2006-07-27 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体装置の製造方法
JP2011210853A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
JP2015115591A (ja) * 2013-12-16 2015-06-22 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP2016100407A (ja) * 2014-11-19 2016-05-30 東京エレクトロン株式会社 測定システムおよび測定方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018032857A (ja) * 2016-08-23 2018-03-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体プロセスモジュールのためのエッジリングまたはプロセスキット
JP2020017624A (ja) * 2018-07-25 2020-01-30 株式会社ディスコ プラズマエッチング装置
JP6999241B2 (ja) 2018-07-25 2022-01-18 株式会社ディスコ プラズマエッチング装置
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP2021197444A (ja) * 2020-06-15 2021-12-27 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
JP6989980B2 (ja) 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
JP2022090706A (ja) * 2020-12-08 2022-06-20 アダプティブ プラズマ テクノロジー コーポレーション 半導体部品の交換監視装置及びこれによる部品交換監視方法
JP7153362B2 (ja) 2020-12-08 2022-10-14 アダプティブ プラズマ テクノロジー コーポレーション 半導体部品の交換監視装置及びこれによる部品交換監視方法
KR20220153503A (ko) 2021-05-11 2022-11-18 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 환상 부재의 높이 추정 방법
JP7305076B1 (ja) * 2022-09-01 2023-07-07 三菱電機株式会社 データ収集分析システム、測定データ収集ユニット、および、データ収集分析方法
WO2024047835A1 (ja) * 2022-09-01 2024-03-07 三菱電機株式会社 データ収集分析システム、測定データ収集ユニット、および、データ収集分析方法

Also Published As

Publication number Publication date
TW201719749A (zh) 2017-06-01
US20170053819A1 (en) 2017-02-23
JP6598745B2 (ja) 2019-10-30
CN106468541B (zh) 2019-07-05
US10014198B2 (en) 2018-07-03
KR102546407B1 (ko) 2023-06-21
TWI709173B (zh) 2020-11-01
KR20170022907A (ko) 2017-03-02
CN106468541A (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
JP6598745B2 (ja) 半導体製造機器内の消耗部品の摩耗検出
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
TWI744342B (zh) 具有電容微感測器的晶圓處理裝備
KR102643782B1 (ko) 샤워헤드 전압 변동을 사용한 결함 검출
US7047095B2 (en) Process control system and process control method
TW201834128A (zh) 使用環動態對準資料之邊緣環定心方法
JPWO2004019396A1 (ja) プラズマ処理方法及びプラズマ処理装置
US7490010B2 (en) Data collection method, substrate processing apparatus, and substrate processing system
US10895539B2 (en) In-situ chamber clean end point detection systems and methods using computer vision systems
US11709477B2 (en) Autonomous substrate processing system
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
US20090061074A1 (en) Technology of detecting abnormal operation of plasma process
JP4869551B2 (ja) プロセス制御システム及びプロセス制御方法
TW202201460A (zh) 用於電漿腔室條件監測的電容感測器及電容感測位置
JP2007088497A (ja) プロセス制御システム、プロセス制御方法およびプロセス処理装置
TW202412143A (zh) 原位整合的晶圓參數偵測系統
KR20070087462A (ko) 스퍼터링설비

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190814

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190814

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20190814

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20190823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191001

R150 Certificate of patent or registration of utility model

Ref document number: 6598745

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250