JP4869551B2 - プロセス制御システム及びプロセス制御方法 - Google Patents

プロセス制御システム及びプロセス制御方法 Download PDF

Info

Publication number
JP4869551B2
JP4869551B2 JP2003408666A JP2003408666A JP4869551B2 JP 4869551 B2 JP4869551 B2 JP 4869551B2 JP 2003408666 A JP2003408666 A JP 2003408666A JP 2003408666 A JP2003408666 A JP 2003408666A JP 4869551 B2 JP4869551 B2 JP 4869551B2
Authority
JP
Japan
Prior art keywords
measurement
processing
processed
area
measuring device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003408666A
Other languages
English (en)
Other versions
JP2004207703A (ja
JP2004207703A5 (ja
Inventor
昌幸 友安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2003408666A priority Critical patent/JP4869551B2/ja
Publication of JP2004207703A publication Critical patent/JP2004207703A/ja
Publication of JP2004207703A5 publication Critical patent/JP2004207703A5/ja
Application granted granted Critical
Publication of JP4869551B2 publication Critical patent/JP4869551B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本発明は,例えば半導体デバイスを製造するためのプロセス制御を行うプロセス制御システム及びプロセス制御方法に関する。
例えば半導体製造工場では,半導体製造を行うプロセスユニットが複数配設される。各プロセスユニットは,例えばエッチング装置などの複数のプロセス装置に被処理体として例えば半導体ウエハ(以下,単に「ウエハ」と称する。)を搬送する搬送装置を設けて構成される。このようなプロセスユニットでは,ウエハを各プロセス装置に搬送させながら,所定の順序でプロセス処理を行うようになっている。一般に,このエッチング装置などのプロセス仕上りを定期的に確認するために,検査用ウエハを用意する。そして検査用ウエハに対して上記プロセス処理を行う。次いでプロセス処理を行った検査用ウエハに対して検査装置によりエッチングレート,面内均一性などを検査する。この検査結果に応じて上記プロセス処理を続行してよいかを判断していた。
特開平9−22306号公報 特開平10−12694号公報
しかしながら,上記検査装置は,一般に,プロセスユニットの配置された部屋とは別の部屋に集中して配設される。また,このような検査装置には様々なものがあるが,すべての検査用ウエハが必ずしもすべての検査を行うわけではない。ところが,これらの検査装置の使用計画も整理されていないため,検査用ウエハの検査待ちが発生する。また,検査用ウエハにより各プロセス工程ごとにプロセス装置の状態確認を行う場合には,あるプロセス工程で検査が終るまで,別のプロセス工程のプロセス装置へ検査用ウエハを搬送する場合に搬送待ちが発生する。これにより,プロセス処理に時間がかかり,プロセス装置の稼働率を低下させる原因ともなっていた。なお,検査装置がプロセスユニットの配置された部屋とは別の部屋に集中して配設されたものとしては,例えば特許文献1に記載の技術がある。
また,例えば特許文献2に記載の技術のように通常の製品としての生産用ウエハの生産用搬送経路とは別に,検査用ウエハの検査用搬送系路を設け,検査を行う場合にはこの検査用搬送経路によって検査用ウエハを搬送するものもある。ところが,これでは生産用ウエハの検査を搬送系路が2系統必要となるので,クリーンルームにこれらを設置するエリアも多く必要となり,またウエハの搬送にも時間がかかる。
そこで,本発明は,このような問題に鑑みてなされたもので,その目的とするところは,プロセス処理から検査処理までの時間(サイクルタイム)を短縮しつつ,各プロセス装置の稼働率を向上させることができるプロセス制御システム及びプロセス制御方法を提供することにある。
上記課題を解決するために,本発明の第1の観点によれば,工場内の各エリアごとに設けられ,処理結果を予測可能である少なくとも1つのプロセス装置により被処理体に対して行うプロセス処理を制御するプロセス制御システムであって,前記各エリアごとに設けられ,前記各エリア内でプロセス処理される被処理体の計測を行う少なくとも1つの計測装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを備えたことを特徴とするプロセス制御システムが提供される。
上記課題を解決するために,本発明の第2の観点によれば,処理結果を予測可能である少なくとも1つのプロセス装置と,このプロセス装置でプロセス処理される被処理体の計測を行う少なくとも1つの計測装置と,前記プロセス装置及び前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを各エリアごとに設けたプロセス制御システムにおける前記各エリアの制御装置が行うプロセス制御方法であって,前記プロセス装置によってプロセス処理される被処理体について前記計測装置で計測する工程と,前記計測装置による計測結果に基づいて前記プロセス装置の処理条件を設定する工程とを有することを特徴とするプロセス制御方法が提供される。
このような第1の観点によるシステム又は第2の観点による方法によれば,工場内のクリーンルームなどにおける各エリア(ベイともいう)ごとに計測装置を設けたことにより,各エリアで必要なときに計測装置で必要な計測を行うことができるので,計測装置による計測待ちや搬送待ちも生じることがなく,またプロセス処理を行ってから計測を行うまでの時間も短縮することができる。このため,プロセス装置の稼働率を向上させることができる。しかも,各エリアで行うプロセス制御に必要な計測機器を計測装置に設ければ足りるので,設備投資のコストを低下させることができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記制御装置は,前記プロセス装置によってプロセス処理される被処理体について前記計測装置で計測し,その計測結果に基づいて前記プロセス装置の処理条件を設定する如く構成すれば,各エリアごとに,プロセス装置によってプロセス処理を行う製品としての被処理体そのものを計測装置に搬送し,被処理体に形成されたパターンの線幅,膜厚,ドープ量,膜の密度,ストレスなどのプロセス仕上がり,ウエハ内での分布などを計測装置により自動的に計測して,これらが目標仕様内で加工されているかを検査することができる。このため,プロセス処理を行ってから計測を行うまでの時間も短縮することができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記制御装置は,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較して,前記実測値と前記目標値の誤差が所定値以上であると判断した場合は,その誤差に応じて前記プロセス装置の処理条件を設定し直すようにしてもよい。なお,上記計測は,例えばプロセス処理後のみならず,プロセス処理前後に行ってもよい。
また,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較し,前記実測値と前記目標値の誤差の変動の状況を観測してその傾向を予測し,前記誤差が所定値を越える前に,その誤差変動の傾向に応じて,前記プロセス装置の処理条件を変更するようにしてもよい。なお,この場合の計測も,例えばプロセス処理後のみならず,プロセス処理前後に行ってもよい。
このように構成すれば,目標値からの誤差が大きい場合にはその誤差を補正するようにプロセス条件を調整することができる。このような補正が可能であるため,被処理体にばらつきがあったり,プロセス装置の状態が若干変化するようなことがあったりしても,常に最適な処理条件を設定でき,厳しい設計仕様を満足するプロセス処理を行うことができる。また,製品としての被処理体を1枚ごとに計測装置により計測しつつ,プロセス処理を行うこともでき,所定ロットだけの計測や全枚数のウエハを計測することもできるので,各エリアごとに適切なプロセス条件を設定することができる。このように自動的にプロセス条件を設定できるので,プロセス装置の稼働率を向上させることができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記計測装置は,自機(自計測装置)に異常があるか否かを診断する自己診断手段を設け,前記制御装置は,前記処理結果の実測値と目標値の誤差が所定値以上であると判断した場合に,前記計測装置の自己診断手段により自己診断を行わせ,その自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記プロセス装置の処理条件を設定し直す如く構成すれば,計測装置に異常があっても,その影響をプロセス制御に与えることを防止できるので,正確な制御を行うことができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記前記制御装置は,前記プロセス装置による運転データ及び処理結果データに基づいて多変量解析を行うことにより前記運転データと前記処理結果データの相関関係を求め,この相関関係に基づいて相関関係を得た被処理体以外の被処理体を処理した時の運転データを用いて処理結果の予測値を求める如く構成すれば,各エリアごとに,少数の試料を処理して得られる少数の運転データ及び処理結果データを収集するだけで相関関係(モデル式)を求めることができ,その後は被処理体を処理した時の運転データを予測式に当てはめるだけで被処理体の処理結果を簡単且つ高精度に予測することができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記制御装置は,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と前記予測値とを比較して,前記実測値と前記予測値との誤差が所定値以上であると判断した場合に前記相関関係を生成し直す如く構成すれば,一度,上記相関関係(モデル式)を求めた後であっても,ウエハの処理結果が予測値よりも大きく外れてしまう場合には,相関関係(モデル式)を自動的に生成し直して更新するため,常に予測精度を高く保つことができる。
また,上記第1の観点によるシステム又は第2の観点による方法において,上記計測装置は,自機に異常があるか否かを診断する自己診断手段を設け,前記制御装置は,前記処理結果の実測値と予測値の誤差が所定値以上であると判断した場合に,前記計測装置の自己診断手段に自己診断を行わせ,自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記相関関係を生成し直す如く構成すれば,計測装置に異常があっても,その影響を相関関係(モデル式)に与えることを防止できるので,正確な予測を行うことができる。なお,上記第1の観点によればシステム又は第2の観点によれば方法において,多変量解析としてPLS法を用いてもよい。
上記課題を解決するために,本発明の第3の観点によれば,工場内の各エリアごとに設けられ,少なくとも1つのプロセス装置により被処理体に対して行うプロセス処理を制御するプロセス制御システムであって,前記各プロセス装置はそれそれ,被処理体をプロセス処理するための処理室と,この処理室において被処理体をプロセス処理する前後又はプロセス処理する前若しくは後のいずれかに,その被処理体の計測処理を実行する計測ユニットと,被処理体を少なくとも前記処理室と前記計測ユニットとの間で搬送可能な装置内搬送手段とを設け,前記各エリアごとに設けられ,前記各エリア内でプロセス処理される被処理体の計測処理を実行可能な少なくとも1つの計測装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを備えたことを特徴とするプロセス制御システムが提供される。
上記課題を解決するために,本発明の第4の観点によれば,少なくとも1つのプロセス装置と,前記各プロセス装置に設けられた少なくとも1つの計測ユニットと,前記プロセス装置でプロセス処理される被処理体の計測処理を実行可能な少なくとも1つの計測装置と,前記プロセス装置と前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記プロセス装置及び前記計測装置及び前記搬送装置を制御する制御装置とを各エリアごとに設けたプロセス制御システムにおける前記各エリアの制御装置が行うプロセス制御方法であって,前記プロセス装置によりプロセス処理される被処理体が前記計測ユニットで計測処理される工程と,前記計測ユニットによる計測結果に基づいて前記プロセス装置の処理条件が設定される工程と,前記計測ユニットのメンテナンス時には,被処理体が前記搬送装置により前記計測装置に搬送されて,前記計測装置により計測処理され,その計測結果に基づいて前記プロセス装置の処理条件が設定される工程とを有することを特徴とするプロセス制御方法が提供される。
このような第3の観点によるシステム又は第4の観点による方法によれば,工場内のクリーンルームなどにおける各エリア(ベイともいう)ごとに計測装置を設けるとともに,各プロセス装置ごとについてもそれぞれ計測ユニットを設けることにより,通常は各プロセス装置ごとにそのプロセス装置内で必要な計測処理を行い,計測ユニットが故障やメンテナンスなどにより使用できない場合に,計測ユニットの代りに計測装置を使用することができる。このため,計測ユニットは使用できないが,ウエハのプロセス処理は行うことができる場合に,そのプロセス装置全体が使用できなくなることを防止することができる。これにより,各エリア内におけるウエハ処理のサイクルタイムを短くすることができると共に各エリア内の稼働率の低下及び製造キャパシティの低下を極力抑えることができる。
また,上記第3の観点によるシステム又は第4の観点による方法において,上記計測装置は,前記プロセス装置の計測ユニットに対する基準機として,前記計測ユニットによる計測結果と前記計測装置による計測結果とのずれがないか又はそのずれが許容範囲内であることを定期的に確認するようにしてもよい。これにより,各エリア内の各プロセス装置の計測ユニットにおける計測結果のばらつきを防止することができる。
また,上記第3の観点によるシステム又は第4の観点による方法において,上記計測装置は,前記プロセス装置の計測ユニットが実行する計測処理に必要な計測処理情報を作成するために用い,前記計測ユニットは,前記計測処理情報に基づいて計測処理を行うようにしてもよい。これにより,各エリア内の各プロセス装置の計測ユニットは常にデバイスなどの生産のために稼働できる状態にしておくことができる。従って,各エリア内の製造キャパシティに影響を与えないようにすることができる。
上記第3の観点によるシステム又は第4の観点による方法において,上記計測処理情報としては,例えば前記被処理体上に計測箇所を特定するための座標を設定するための座標情報,前記被処理体上の膜の膜厚,前記被処理体上の堆積物,前記被処理体上に形成されたパターンの幅,前記被処理体上の欠陥,前記被処理体上に形成されたパターンのオーバーレイなどが挙げられる。
上記課題を解決するために,本発明の第5の観点によれば,工場内の各エリアごとに設けられ,2つ以上の異なる種類のプロセス装置により被処理体に対して行うプロセス処理を制御するプロセス制御システムであって,前記各エリアごとに設けられ,前記各エリア内でプロセス処理される被処理体の計測を行う少なくとも1つの計測装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを備えたことを特徴とするプロセス制御システムが提供される。これによれば,同じ種類のプロセス装置に対してのみならず,異なる種類のプロセス装置に対しても,各プロセス装置に応じた処理条件などのデータをやり取りすることができる。
上記課題を解決するために,本発明の第6の観点によれば,工場内の各エリアごとに設けられ,少なくとも1つのプロセス装置により被処理体に対して行うプロセス処理を制御するプロセス制御システムであって,前記各プロセス装置はそれそれ,被処理体をプロセス処理するための処理室と,この処理室において被処理体をプロセス処理する前後又はプロセス処理する前若しくは後のいずれかに,その被処理体の計測処理を実行する計測ユニットと,被処理体を少なくとも前記処理室と前記計測ユニットとの間で搬送可能な装置内搬送手段とを設け,前記各エリアごとに設けられ,前記各エリア内でプロセス処理される被処理体の計測処理を実行可能な少なくとも1つの計測装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記各エリアごとに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを備え,前記制御装置は,あるプロセス装置の計測ユニットが使用できない場合に,そのプロセス装置で実行するプロセス処理のための被処理体の計測を他のプロセス装置の計測ユニットで行うように,前記プロセス装置,前記計測装置,前記搬送装置を制御することを特徴とするプロセス制御システムが提供される。これにより,各エリア内におけるウエハ処理のサイクルタイムを短くすることができると共に各エリア内の稼働率の低下及び製造キャパシティの低下を極力抑えることができる。
本発明によれば,プロセス処理から検査処理までの時間(サイクルタイム)を短縮しつつ,各プロセス装置の稼働率を向上させることができるプロセス制御システム及びプロセス処理方法を提供できる。
以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説明する。なお,本明細書及び図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。
先ず,第1の実施形態では,プロセス制御システムとして半導体装置の製造プロセスを制御するものを例に挙げて説明する。図1に,プロセス制御システムの全体の概略構成を示す。プロセス制御システム100は,例えば半導体製造工場のクリーンルームに設けられる。
クリーンルーム内は,複数のエリア(ここでは「ベイ」と称する。)110(110A,110B…)に分けられている。ベイは半導体装置の製造工程に応じた数だけ設けられる。
各ベイ110(110A,110B…)には,ウエハをプロセス処理する複数のプロセス装置120(120A,120B…),122(122A,122B…),124(124A,124B…)…が配設されている。プロセス装置120,122,124…は,例えばエッチング装置,CVD(Chemical vapor deposition:化学気相成長法)装置,コータデベロッパ,洗浄装置,CMP(Chemical
Mechanical Polishing:化学的機械的研磨)装置,PVD(Physical Vapor Deposition:物理気相成長法)装置,露光装置,イオンインプランタなどがある。なお,以下において,プロセス装置120は,特に区別しない場合には,ベイ110内のプロセス装置120,122,124…を示す。
各ベイ110には,それぞれのベイ110内でプロセス処理されるウエハを計測する少なくとも1つの計測装置130が配設されている。計測装置130によるウエハの計測は,ウエハをプロセス処理する前後に行ってもよく,プロセス処理する前若しくは後のいずれかに行ってもよい。計測装置としては,例えば膜厚装置,ODP(Optical Digital Profiler),FTIRなどがある。なお,各ベイ110には,複数の計測装置130を設けてもよい。計測装置130には自機(自計測装置)の構成回路に異常がないか否かを自己診断する自己診断手段の1例としての自己診断部132を設けてもよい。
各ベイ110には,搬送装置が設けられている。搬送装置は,例えば各ベイ110に設けられたベイ搬送路140(140A,140B…)を備える。ベイ搬送路140は,各ベイ110内に配設された各プロセス装置120の間又はプロセス装置120と計測装置130との間でウエハを搬送する搬送路である。ベイ搬送路140は,それぞれベイ110間の搬送路を構成する主搬送路142に接続している。搬送装置を例えばOHT(Overhead Hoist Transport),AGV(Automatic Guided Vehicle)などで構成する。この場合,上記搬送路140,142をレールで構成し,このレールでガイドされる走行車により例えばFOUPやウエハカセットなどのキャリアにウエハを保持させた状態で搬送するようにしてもよい。
各ベイ110には,各ベイ110内における各プロセス装置120,122,124,計測装置130,搬送装置の各装置を制御する制御装置の1例としてのプロセス制御装置150が配設されている。各ベイ110(110A,110B…)内において,プロセス制御装置150(150A,150B…),各プロセス装置120(120A,120B…),122(122A,122B…),124(124A,124B…),計測装置130(130A,130B…),搬送装置はそれぞれネットワーク152(152A,152B…)を介して接続されており,プロセス制御装置150,各プロセス装置120…,計測装置130,搬送装置はそれぞれ上記ネットワーク152を介してデータや信号のやり取りを行うことができるようになっている。
プロセス制御装置150は,例えばプロセス装置120によってプロセス処理されるウエハについて計測装置130で計測し,その計測結果に基づいてプロセス装置120の処理条件を設定する。具体的には計測装置130による計測結果に基づいてプロセス処理の結果についてモデル式を生成する際の処理条件を決定するようにしてもよい。プロセス装置120が行う処理の具体例の詳細は後述する。
上記プロセス制御装置150は,例えばCPU(Central Processing Unit ),各回路を制御するプログラムが格納されているROM(Read Only Memory),CPUがROM(Read
Only Memory)から必要に応じて読出したプログラムを展開して記憶するメモリ領域などを設けたRAM(Random Access Memory)を備えたマイクロプロセッサを備える。また,ハードディスク装置などの記録手段,キーボードなどの入力手段,ディスプレイなどの表示手段,異常があったときに報知する報知手段などを設けてもよい。
次に,上記プロセス装置120の1例としてのエッチング装置について図面を参照しながら説明する。図2はエッチング装置の概略構成を示す断面図である。エッチング装置201は,電極板が上下平行に対向し,一方にプラズマ形成用電源が接続された容量結合型平行平板エッチング装置として構成されている。
このエッチング装置201は,例えば表面が陽極酸化処理(アルマイト処理)されたアルミニウムからなる円筒形状に成形されたチャンバ(処理室)202を有しており,このチャンバ202は接地されている。チャンバ202内の底部にはセラミックなどの絶縁板203を介して,ウエハWを載置するための略円柱状のサセプタ支持台204が設けられている。このサセプタ支持台204の上には,下部電極を構成するサセプタ205が設けられている。このサセプタ205にはハイパスフィルター(HPF)206が接続されている。
サセプタ支持台204の内部には,温度調節媒体室207が設けられている。そして,導入管208を介して温度調節媒体室207に温度調節媒体が導入,循環され,排出管209から排出される。このような温度調節媒体の循環により,サセプタ205を所望の温度に制御できるようになっている。
サセプタ205は,その上側中央部が凸状の円板状に成形され,その上にウエハWと略同形の静電チャック211が設けられている。静電チャック211は,絶縁材の間に電極212が介在された構成となっている。静電チャック211は,電極212に接続された直流電源213から例えば1.5kVの直流電圧が印加されることにより,静電力によってウエハWを静電吸着する。
そして,絶縁板203,サセプタ支持台204,サセプタ205,さらには静電チャック211には,被処理体であるウエハWの裏面に,伝熱媒体(例えばHeガスなどのバックサイドガス)を供給するためのガス通路214が形成されており,この伝熱媒体を介してサセプタ205とウエハWとの間の熱伝達がなされ,ウエハWが所定の温度に維持されるようになっている。
サセプタ205の上端周縁部には,静電チャック211上に載置されたウエハWを囲むように,環状のフォーカスリング215が配置されている。このフォーカスリング215は,セラミックス或いは石英などの絶縁性材料或いは導電性材料からなり,エッチングの均一性を向上させるようになっている。
また,サセプタ205の上方には,このサセプタ205と平行に対向して上部電極221が設けられている。この上部電極221は,絶縁材222を介して,チャンバ202の内部に支持されている。上部電極221は,サセプタ205との対向面を構成し多数の吐出孔223を有する電極板224と,この電極板224を支持する電極支持体225とによって構成されている。上記電極板は例えば石英からなり,上記電極支持体225は例えば表面がアルマイト処理されたアルミニウムなどの導電性材料からなる。なお,サセプタ205と上部電極221との間隔は,調節可能とされている。
上部電極221における電極支持体225の中央には,ガス導入口226が設けられている。このガス導入口226には,ガス供給管227が接続されている。さらにこのガス供給管227には,バルブ228,並びにマスフローコントローラ229を介して,処理ガス供給源230が接続されている。
この処理ガス供給源230から,プラズマエッチングのためのエッチングガスが供給されるようになっている。なお,図2には,上記の処理ガス供給源230等からなる処理ガス供給系を1つのみ図示しているが,これらの処理ガス供給系は複数設けられており,例えば,CF,O,N,CHF等のガスをそれぞれ独立に流量制御して,チャンバ202内に供給できるよう構成されている。
一方,チャンバ202の底部には排気管231が接続されており,この排気管231には排気装置235か接続されている。排気装置235はターボ分子ポンプなどの真空ポンプを備えており,チャンバ202内を所定の減圧雰囲気(例えば0.67Pa以下)まで真空引き可能に構成されている。また,チャンバ202の側壁にはゲートバルブ232が設けられている。このゲートバルブ232を開にした状態で,ウエハWが,ベイ搬送路140との間でウエハカセットなどを介して搬送されるようになっている。
上部電極221には,第1の高周波電源240が接続されており,その給電線には整合器241が介挿されている。また,上部電極221にはローパスフィルター(LPF)242が接続されている。この第1の高周波電源240は,50〜150MHzの範囲の周波数を有している。このように高い周波数の電力を印加することにより,チャンバ202内に好ましい解離状態でかつ高密度のプラズマを形成することができ,従来より低圧条件下のプラズマ処理が可能となる。この第1の高周波電源240の周波数は,50〜80MHzが好ましく,典型的には図示した60MHzまたはその近傍の周波数が採用される。
下部電極としてのサセプタ205には,第2の高周波電源250が接続されており,その給電線には整合器251が介挿されている。この第2の高周波電源250は数百kHz〜十数MHzの範囲の周波数を有している。このような範囲の周波数を印加することにより,被処理体であるウエハWに対してダメージを与えることなく適切なイオン作用を与えることができる。第2の高周波電源250の周波数は,典型的には図示した13.56MHZまたは2MHz等の周波数が採用される。
次に,本実施形態にかかるプロセス制御システムによるプロセス制御の具体例について説明する。ここでは,上記エッチング装置201をプロセス装置120とし,計測装置130をウエハのパターンの形状要素を計測する装置として構成し,ウエハに形成するマスク(例えば有機系反射防止膜)のトリミング量の制御を行う場合について説明する。
このトリミングは,ウエハ上により細かい配線などを行う場合に有効である。すなわち,フォトリソ工程によりウエハに所定のパターンを形成する場合,一般的には露光/現像工程の技術的限界により0.07μm程度以下の線幅のマスク層を形成することが困難である。しかし,予めマスク層の線幅を本来形成した幅よりも広く設定しておき,この縁幅をエッチング工程によって狭くする(トリミングする)ことにより,マスク層の露光,現像工程において,無理にマスク層の線幅を細くすることなく,エッチング工程におけるトリミングによって,結果として線幅の細い配線等を形成することができる。
このようなマスクのトリミング量は,例えば流量比[O流量/(CF+O)流量]により制御することができることが実験等によりわかった。従って,本実施の形態では,上記のことを利用して,計測装置130によりウエハに形成されたパターンにおける形状要素を計測し,その計測結果に基づいて流量比[O流量/(CF+O)流量]を制御し,ウエハ上に設計通りのパターンを形成させる。
先ず,マスク(例えば有機系反射防止膜)のトリミング量と流量比[O流量/(CF+O)流量]との関係を説明する。ここではマスクとしてArFレジストを用いた。図3は,ArFレジストを用いたウエハの縦断面の一部を拡大して模式的に示すものである。
図3に示すウエハでは,図3(a)に示すようにポリシリコン膜321上に,シリコン酸化膜322が所定膜厚で(本実施形態では50nm)形成され,このシリコン酸化膜322上に有機系反射防止膜323が所定膜厚で(本実施形態では80nm)形成されている。また,有機系反射防止膜323の上には,上述したような露光工程,現像工程を経て所定のパターンにパターニングされた所定膜厚の(本実施形態では240nm)ArFレジスト324が形成されている。なお,本実施形態では,ArFレジスト324の線幅(図中dで示す)は,80nmとされている。
図3(a)に示す状態から,まずCFガスとOガスとからなるエッチングガスを使用したプラズマエッチングにより,ArFレジスト324(マスク層)を介して有機系反射防止膜323をエッチングし,図3(b)に示すように有機系反射防止膜323を所定のパターンにパターニングする。
この後,図3(b)に示す状態から,ArFレジスト324(マスク層)及び有機系反射防止膜323を介して,シリコン酸化膜322を,CFガスとCHFガスとからなるエッチングガスを使用したプラズマエッチングにより,図3(c)に示すように,所定のパターンにパターニングする。
そして,この後,ArFレジスト324及び有機系反射防止膜323をアッシング等により除去する。
また,有機系反射防止膜323のエッチング工程では,前述したトリミングを行うことができるが,このトリミング量の制御も容易に行うことができ,また,シリコン酸化膜322のエッチング工程では,このトリミングされた縁幅をほとんど変化させることなく,エッチングを行うことができる。
上述の工程により,直径200mmのウエハを以下の条件でエッチング処理を行った。また,エッチングガスの総流量(CF+O)に対するO2ガスの流量比を変化させた際のトリミング量の変化を調べるため,流量比[O流量/(CF+O)流量]を変更して,複数回のエッチングを行った。
有機系反射防止膜のエッチングの条件は以下の通りである。
エッチングガス:CF+O(総流量40sccm)
圧力:0.67Pa(5mTorr)
上部電極印加高周波電力:300W
下部電極印加高周波電力:60W
電極間距離;140mm
温度でトップ/ウォール/ボトム):80/60/75℃
Heガス圧力(センター/エッジ):400/400Pa(3Torr)
オーバーエッチング:10%
シリコン酸化膜のエッチングの条件は以下の通りである。
エッチングガス;CF(流量20sccm)+CHF(流量20sccm)
圧力:5.3Pa(40mTorr)
上部電極印加高周波電力:600W
下部電極印加高周波電力:100W
電極間距離:140mm
温度(トップ/ウォール/ボトム):80/30/65℃
Heガス圧力(センター/エッジ):1300/1300Pa(10Torr)
オーバーエッチング:10%
このトリミング量の制御の結果を図4に示す。図4のグラフは,縦軸をトリミング量(nm),横軸を[O流量/(CF+O)流量]の流量比(%)として,これらの関係を示すもので,図中三角形の印で,上記エッチング処理を行った場合の結果を示してある。なお,この場合,下部電極に印加された単位面積当たりの高周波電力量(RF電力密度)は,0.19W/cmである。同図に示すように,流量比[O流量/(CF+O)流量]を変化させることによって,略直線状にトリミング量を変化させることができることがわかった。
次に,流量比[O流量/(CF+O)流量]を変化させることによって略直線状にトリミング量を変化させることができることを踏まえて,本発明にかかるプロセス制御システムによりマスクのトリミング量を制御することによってウエハ上に所望のパターンを形成する場合について図5を参照しながら説明する。図5は,プロセス制御装置150が行うプロセス条件変更処理のフローチャートを示す図である。
先ず,ステップS100にてプロセス処理前のパターン形状を計測する。すなわち,有機系反射防止膜323をエッチングする前のウエハを計測装置130に搬送路140を介して搬送し,計測装置130に図3(a)に示す線幅dを計測させる。そしてプロセス制御装置150は,計測装置130により計測が行われると,その計測値を計測装置130から受信する。
ここで,プロセス制御装置150は,受信したエッチング処理前の計測値と目標値との差に応じて予め記憶している図4に示すトリミング量と流量比との関係から流量比の条件を決定し,プロセス装置120に適正処理条件を送信するようにしてもよい(ステップS110)。例えばエッチング処理前の計測値が80nmであり,エッチング処理後の目標線幅が50nm,つまり目標トリミング量が−30nmの場合,プロセス制御装置150は予め記憶している図4に示すトリミング量と流量比との関係から流量比50%の条件を決定し,プロセス装置120に適正処理条件を送信することができる。
このエッチング処理前の計測が終了すると,そのウエハを搬送路140を介してプロセス装置120に搬送し,プロセス装置120により前記適正処理条件で有機系反射防止膜323のエッチング処理を実行させる。
次いでステップS120にてプロセス処理後のパターン形状を計測する。すなわち,プロセス装置120による有機系反射防止膜323のエッチング処理後,再びそのウエハを計測装置130に搬送し,図3(b)に示す線幅d′を計測させて,その計測値のデータを計測装置130から受信する。
次に,ステップS130にてプロセス処理前後の線幅の計測差を算出する。すなわち,有機系反射防止膜323のエッチング前後の線幅の計測差(例えばd′−d)を算出する。この計測差がトリミング量となる。
続いて,ステップS140にてこの計測差,すなわちトリミング量と目標値(目的のトリミング量)との誤差が所定値以上か否かを判断する。ステップS140にて計測差(トリミング量)と目的値との誤差が所定値以上でないと判断した場合は,この処理を終了する。
また,ステップS140にて計測差(トリミング量)と目的値との誤差が所定値以上であると判断した場合は,ステップS150にて計測装置130の自己診断部132を動作させて計測装置130の自己診断を行って,ステップS160にて計測装置130に異常がないか否かを判断する。
ステップS160にて計測装置130に異常がないと判断した場合であって,エッチング処理前の計測装置130によるパターン形状の計測値がほぼ同じウエハを連続して処理する場合は,ステップS170にてプロセス装置120であるエッチング装置201に対して処理条件の変更を行う。具体的には,図4に示すトリミング量と流量比[O流量/(CF+O)流量]との関係に基づき,上記誤差に応じて流量比[O流量/(CF+O)流量]を変化させる。これにより,トリミング量と目的値に所定値以上の誤差が生じていた場合には,トリミング量が目的値に近づくように制御される。
なお,実測値と目標値の誤差の変動の状況を観測してその傾向を予測し,誤差が所定値を越える前に,その誤差変動の傾向に応じて,プロセス装置の処理条件を変更するようにしてもよい。例えば誤差が徐々に増える傾向にあれば,その傾向に応じて処理条件を少しずつ変更していくようにしてもよく,また誤差の増え方が大きい傾向にあればその傾向に応じて処理条件の変更の度合を少し大きくとるように制御してもよい。このように,事前に処理条件の変更を行うことによって,誤差が所定値以上にならないように制御することができる。
ステップS160にて計測装置130に異常があると判断した場合は,ステップS180にてエラー処理を行う。プロセス制御装置150はエラー処理として,例えば計測装置130に異常があることの報知手段による報知や表示手段にエラー表示を行う。
このように,計測装置130自体に異常がある場合には,エッチング装置201に対して処理条件の変更は行われない。計測装置130自体に異常がある場合には,たとえ処理条件を変更しても正確な制御を行うことができないからである。
なお,図5に示す処理においてステップS160,ステップS180は必ずしも設ける必要はないが,ステップS160,ステップS180を設けることにより,計測装置130に異常があっても,その影響をプロセス制御に与えることを防止できるので,正確な制御を行うことができる。また,図5に示す処理においては,計測装置130によりプロセス処理前後で計測を行う場合について説明したが,必ずしもこれに限定されるものではなく,プロセス処理後だけ計測を行ってもよい。例えば連続してプロセス処理を行う場合に,直前に行ったプロセス処理後の計測値を記憶しておき,その計測値と今回行ったプロセス処理後の計測値との計測差を求めてもよい。
このように,各ベイ110ごとに計測装置130を設けたことにより,各ベイ110内においてプロセス制御装置150は,プロセス装置120,122,124…によってプロセス処理されるウエハについて計測装置130によりパターンの形状などを計測し,その計測結果に基づいてプロセス装置の処理条件を設定し直すことができる。これにより,各ベイ110ごとに常に正確なプロセス制御を行うことができる。
また,各ベイ110ごとに計測装置130を設けたことにより,各ベイ110で必要なときに計測装置130で必要な計測を行うことができるので,計測装置130による計測待ちや搬送待ちも生じることがなく,またプロセス処理を行ってから計測を行うまでの時間も短縮することができる。このため,プロセス装置の稼働率を向上させることができる。しかも,各ベイで行うプロセス制御に必要な計測機器を計測装置130に設ければ足りるので,設備投資のコストを低下させることができる。
また,各ベイ110ごとに計測装置130を設け,各プロセス制御装置150によりプロセス処理を制御することにより,検査や解析のためのウエハではなく,製品としてのウエハそのものに形成されたパターンの線幅,膜厚,ドープ量,膜の密度,ストレスなどのプロセス仕上がり,ウエハ内での分布などを計測装置130により自動的に計測して,これらが目標仕様内で加工されているかを検査することができる。また目標値からの誤差が大きい場合にはその誤差を補正するようにプロセス条件を調整することができる。このような補正が可能であるため,被処理体にばらつきがあったり,プロセス装置の状態が若干変化するようなことがあったりしても,常に最適な処理条件を設定でき,厳しい設計仕様を満足するプロセス処理を行うことができる。また,製品としてのウエハを1枚ごとに計測装置130により計測しつつ,プロセス処理を行うこともでき,所定ロットだけの計測や全枚数のウエハを計測することもできる。
次に,本発明の第2の実施形態について図面を参照しながら説明する。本実施形態は,プロセス制御装置150がプロセス装置120,122,124…のプロセス処理の結果を予測するモデル式を多変量解析を利用して生成し,このモデル式に基づいてプロセス制御を行う場合である。
本実施形態におけるプロセス装置120は,図2に示すエッチング装置201とする。また本実施形態におけるプロセス制御装置150は,多変量解析手段400を備える。
上記多変量解析手段400は,図6に示すように,運転データ記憶部410,処理結果データ記憶部420,多変量解析プログラム記憶部430,多変量解析処理部440及び多変量解析結果記憶部450を備えている。
上記運転データ記憶部410は運転データを記憶する手段を構成し,上記処理結果データ記憶部420は処理結果データを記憶する手段を構成する。多変量解析処理部440は運転データと処理結果データとの相関関係(例えば予測式,回帰式)を求める手段と相関関係に基づいて処理結果を予測する手段を構成する。多変量解析結果記憶部450は多変量解析処理部440により求めた相関関係を記憶する手段を構成する。
上記多変量解析手段400は具体的には例えば多変量解析プログラム記憶部430からのプログラムに基づいて動作するマイクロプロセッサなどで構成してもよい。またプロセス制御装置150を構成するマイクロプロセッサを多変量解析手段400として用いて処理を行うようにしてもよい。上記運転データ記憶部410,処理結果データ記憶部420,多変量解析結果記憶部450はそれぞれプロセス制御装置150に備えられたメモリなどの記録手段で構成してもよく,またハードディスクなどの記録手段にそれぞれのメモリ領域を設けて構成してもよい。
多変量解析手段400は,運転データ及びプロセス特性データの入力によりそれぞれのデータを運転データ記憶部410及び処理結果データ記憶部420で記憶した後,これらのデータ及び多変量解析プログラム記憶部430のプログラムを多変量解析処理部440に取り出し,多変量解析処理部440において運転データ及びプロセス特性データの多変量解析を行い,その処理結果を多変量解析結果記憶部450で記憶する。
具体的には,上記多変量解析手段400は,複数種の運転データを説明変量(説明変数)とし,処理結果データを被説明変量(目的変量,目的変数)とする下記(1)の関係式(回帰式などの予測式,モデル)を多変量解析プログラムを用いて求める。下記(1)の回帰式において,Xは説明変量の行列を意味し,Yは被説明変量の行列を意味する。また,Bは説明変量の係数(重み)からなる回帰行列であり,Eは残差行列である。
Y=BX+E・・・(1)
上記(1)式を求める際には,例えばJOURNAL OF CHEMOMETRICS, VOL.2 (PP211-228)(1998)に掲載されているPLS(Partial
Least Squares)法を用いている。このPLS法は,行列X,Yそれぞれに多数の説明変量及び被説明変量があってもそれぞれの少数の実測値があればXとYの関係式を求めることができる。しかも,少ない実測値で得られた関係式であっても安定性及び信頼性の高いものであることもPLS法の特徴である。
多変量解析プログラム記憶部430にはPLS法用のプログラムが記憶され,多変量解析処理部440において運転データ及びプロセス特性データをプログラムの手順に従って処理し,上記(1)式を求め,この結果を多変量解析結果記憶部450で記憶する。従って,第2の実施形態では上記(1)式を求めれば,後は運転データを説明変量として行列Xに当てはめることによってプロセス特性を予測することができる。しかもこの予測値は信頼性の高いものになる。
本実施形態にかかる多変量解析手段400では,例えば上述した流量比[O流量/(CF+O)流量]などのガス流量の実測データを含む運転中の各種モニタ(各種計測器など)の実測データであるトレースデータを運転データとし,上述したウエハに形成するマスク(例えば有機系反射防止膜)のトリミング量などのパターン測定値を処理結果データとして用いて多変量解析を行い,マスクのトリミング量を予測する。なお,運転データとしてトレースデータの代りにガス流量設定データを含む,各種運転条件設定データを用いることもできる。
トレースデータとしては,ガス流量の実測データの他にチャンバ202内の複数箇所の温度(上部電極温度T,壁面温度T,下部電極温度T)の実測データが挙げられる。さらにトレースデータとして次のようなデータを加えてもよい。
例えば図2に示す排気装置235にAPC(Auto Pressure
Controller)バルブを設け,チャンバ202内のガス圧力に即してAPCバルブの開度を自動的に調節するように構成する。このAPCバルブによるAPC開度を検出してトレースデータに含めてもよい。
また,静電チャック211の印加電流,印加電圧を検出する電力計を設け,この電力計から検出された静電チャック211の印加電流,印加電圧のデータをトレースデータに含めてもよい。
また,伝熱媒体(例えばHeガスなど)を供給するためのガス通路214には例えばマスフローコントローラを設け,このマスフローコントローラにより伝熱ガスのガス流量を検出する。伝熱ガスのガス流量は,圧力計により検出される伝熱ガスのガス圧力とともに,トレースデータに含めてもよい。
上記整合器241又は251は例えば2つの可変コンデンサ,コイルなどを内蔵し,可変コンデンサC1,C2を介してインピーダンス整合を取っている。整合状態での可変コンデンサC1,C2のポジションをトレースデータとして含めてもよい。また,上記整合器241又は251に電力計を設け,この電力計により高周波電力の供給ライン(電線)とエッチング装置201のグランド(接地)との間の電圧Vdcを計測する。この高周波電力供給ライン(電線)と接地間の電圧Vdcをトレースデータとして含めてもよい。
また,上記整合器241又は251の上部電極221側又はサセプタ(下部電極)205側(高周波電圧の出力側)に電気計測器(例えば,VIプローブ)を取り付け,この電気計測器を介して上部電極221又はサセプタ(下部電極)205に印加される高周波電力Pにより発生するプラズマに基づく基本波(高周波電力の進行波及び反射波)及び高調波の高周波電圧V,高周波電流I,高周波位相P,インピーダンスZを電気的データとして検出する。この高周波電力の進行波及び反射波をトレースデータとして含めてもよい。
高周波電源250と電力計との間に,高周波電力の印加時間を積算する積算部を接続し,この積算部により検出された高周波電力の印加積算時間をトレースデータとして含めてもよい。ここでいう印加積算時間は,ウエハWを処理するごとに高周波電力を印加する時間を積算したものである。
なお,上記積算部は,エッチング装置201のメンテナンスを行うごとに上記高周波電力の印加積算時間をゼロにリセットするようになっている。従って,ここでいう高周波電力の印加積算時間は,次のメンテナンスを行うまでの印加積算時間となる。上記メンテナンスとしては,例えばエッチングにより生じたエッチング装置201内の副生成物(例えばパーティクル)を除去する等のために行うウエットクリーニング,消耗品や測定器の交換などがある。
このような多変量解析手段400では,例えば上記運転データであるトレースデータ又は設定データを説明変数とし,処理結果データとしてパターン測定値であるマスクのトリミング量(図3に示す線幅dと線幅d′との差)を被説明変量(目的変量)として,(1)の関係式(回帰式)を例えばPLS法用の多変量解析プログラムを用いて求める。そして,求めた回帰式に運転データを入力してマスクのトリミング量を予測する。
また,多変量解析処理部440では,(1)の関係式(回帰式)の算出等の多変量解析を行う前に,運転データ及び処理結果データに対してMSC(Multiplicative Signal Correction)などの前処理を行うようにしてもよい。このMSCによる前処理は一般的には,サンプルから理想スペクトルを得ることにより,サンプル間の分散がより小さくなるよう補正する前処理である。具体的には上記MSCによる前処理は例えばサンプル毎に波長方向に平均を算出(理想スペクトル)し,各サンプルに対して理想スペクトルとの線形回帰直線を算出する。線形回帰直線から得られる傾きと切片より,各サンプルのデータを補正する。なお,上記MSCによる前処理についての詳細は例えばGelad,et
al.,(1985),Linearization and Scatter-infrared Reflactance Spectra of
Meat,Applied Spectroscopy, 3,491-500.に記載されている。
次に,エッチング装置201の動作について説明する。エッチング装置201の運転を開始すると,エッチング装置201で整合器241,251などの各測定器から間欠的に検出された検出データは,プロセス制御装置150の多変量解析手段400へ逐次入力される。続いて,それぞれの運転データの各ウエハ毎の平均値を多変量解析処理部440を介して求める。次いで,各ウエハ毎のそれぞれの運転データの平均値を運転データ記憶部410で記憶し,あるいはそのまま次の処理に備える。
そして,エッチング装置201からエッチング処理後のウエハを取り出させ,搬送路140を介して計測装置130へ搬送する。この計測装置130により,エッチング処理後のウエハに対してマスクのトリミング量を算出する。具体的にはエッチング処理前に計測装置130により計測した図3(a)に示す線幅dとエッチング処理後に計測装置130により計測した図3(b)に示す線幅d′との差をトリミング量として算出する。この計測装置130からのトリミング量がプロセス制御装置150の多変量解析手段400へ入力されると,この入力値を処理結果データとして処理結果データ記憶部420に記憶する。そして前処理を行わず又は前処理を行ってからPLS法による回帰式((1)の関係式)を求める。
そして,実際にエッチング装置201でエッチング処理を行う際には,各測定器から間欠的に検出されたトレースデータ又は設定データがプロセス制御装置150に入力されると,プロセス制御装置150の多変量解析手段400は,このトレースデータ又は設定データを説明変数として上述したように求めたPLS法による回帰式を用いて目的変数であるトリミング量の予測値を算出する。
次に,本実施の形態にかかるプロセス制御システムにより,PLS法による回帰式(モデル式)を更新するモデル式更新処理を図面を参照しながら説明する。図5は,プロセス制御装置150が行うモデル式更新処理のフローチャートを示す図である。
先ず,ステップS200にてプロセス処理前のパターン形状を計測する。すなわち,有機系反射防止膜323をエッチングする前のウエハを計測装置130に搬送路140を介して搬送し,計測装置130に図3(a)に示す線幅dを計測させる。そしてプロセス制御装置150は,計測装置130により計測が行われると,その計測値を計測装置130から受信する。
ここで,予め多変量解析結果記憶部450に記憶されているトリミング量と流量比を含む各種設定データとの相関関係から,少なくともトリミング量に大きく影響する流量比の適正条件を決定し,プロセス装置120に適正条件を送信してもよい(ステップS210)。
このエッチング処理前の計測が終了すると,そのウエハを搬送路140を介してプロセス装置120に搬送し,プロセス装置120により前記適正条件で有機系反射防止膜323のエッチング処理を実行させる。
次いでステップS220にてプロセス処理後のパターン形状を計測する。すなわち,プロセス装置120による有機系反射防止膜323のエッチング処理後,再びそのウエハを計測装置130に搬送し,図3(b)に示す線幅d′を計測させて,その計測値のデータを計測装置130から受信する。
次に,ステップS230にてプロセス処理前後の線幅の計測差を算出する。すなわち,有機系反射防止膜323のエッチング前後の線幅の計測差(例えばd′−d)を算出する。この計測差がトリミング量となる。
続いて,ステップS240にてこの計測差,すなわちトリミング量と上記多変量解析手段400によるトリミング量の予測値との誤差が所定値以上か否かを判断する。ステップS240にて計測差(トリミング量)と予測値との誤差が所定値以上でないと判断した場合は,この処理を終了する。
また,ステップS240にて計測差(トリミング量)と予測値との誤差が所定値以上であると判断した場合は,ステップS250にて計測装置130の自己診断部132を動作させて計測装置130の自己診断を行って,ステップS260にて計測装置130に異常がないか否かを判断する。
ステップS260にて計測装置130に異常がないと判断した場合は,ステップS270にて多変量解析手段400によりモデル式を生成し直して,モデル式を更新する。このように,一度PLS法によるモデル式(回帰式)を求めた後であっても,ウエハの処理結果が予測値よりも大きく外れてしまう場合には,モデル式(回帰式)を自動的に生成し直して更新するため,常に予測精度を高く保つことができる。
なお,実測値と予測値の誤差の変動の状況を観測してその傾向を予測し,誤差が所定値を越える前に,その誤差変動の傾向に応じて,プロセス装置の処理条件を変更するようにしてもよい。例えば誤差が徐々に増える傾向にあれば,その傾向に応じて処理条件を少しずつ変更していくようにしてもよく,また誤差の増え方が大きい傾向にあればその傾向に応じて処理条件の変更の度合を少し大きくとるように制御してもよい。このように,事前に処理条件の変更を行うことによって,誤差が所定値以上にならないように制御することができる。
ステップS260にて計測装置130に異常があると判断した場合は,ステップS280にてエラー処理を行う。プロセス制御装置150はエラー処理として,例えば計測装置130に異常があることの報知手段による報知や表示手段にエラー表示を行う。なお,第1の実施形態の場合と同様に図7に示す処理においてステップS260,ステップS280は必ずしも設ける必要はないが,ステップS260,ステップS280を設けることにより,計測装置130に異常があっても,その影響を相関関係(モデル式)に与えることを防止できるので,正確な予測を行うことができる。また,図7に示す処理においても,図5に示す処理と同様に,計測装置130によりプロセス処理前後で計測を行う場合について説明したが,必ずしもこれに限定されるものではなく,プロセス処理後だけ計測を行ってもよい。例えば連続してプロセス処理を行う場合に,直前に行ったプロセス処理後の計測値を記憶しておき,その計測値と今回行ったプロセス処理後の計測値との計測差を求めてもよい。
このように,各ベイ110ごとに計測装置130を設けたことにより,各ベイ110内においてプロセス制御装置150は,プロセス装置120,122,124…によってプロセス処理されるウエハについて計測装置130によりパターンの形状などを計測し,その計測結果に基づいてプロセス装置のモデル式を生成し直すことができる。これにより,各ベイ110ごとに常に正確な予測に基づくプロセス制御を行うことができる。
なお,第2の実施形態においては,運転データとしてトレースデータを用いたものについて説明したが,必ずしもこれに限られることはない。例えばエッチング装置201にチャンバ202内のプラズマ発光を検出する分光器(以下,「光学計測器」と称す。)を設け,この光学計測器によって得られる特定の波長範囲(例えば200〜950nm)の発光スペクトル強度を光学的データとし,この光学データを運転データとして用いてもよい。
また,上記整合器241,251に設けた電気計測器(例えば,VIプローブ)を介して上部電極221又はサセプタ(下部電極)205に印加される高周波電力Pにより発生するプラズマに基づく高調波の高周波電圧V,高周波電流I,高周波位相P,インピーダンスZをVIプローブデータとし,このVIプローブデータを運転データとしてもよい。
さらに,これらのトレースデータ,光学データ,VIプローブデータのすべてを運転データとしてもよく,いずれかのデータを運転データとしてもよい。またトレースデータについてもすべてのデータを運転データとしてもよく,また一部のデータを運転データとしてもよい。
また,計測装置で計測する処理結果データとしては,本実施形態のようにエッチングパターンの線幅やテーパ各などのエッチング特性を示すデータを用いる他,例えばエッチングレートや面内均一性などのデータを用いてもよい。
また,第2の実施形態では多変量解析を行う際にPLS法を用いて回帰式(1)を求めたが,PLS法以外の他の従来公知の数値計算手法(例えば,ベキ乗法等)を用いて固有値及びその固有ベクトルを求めても良い。
なお,上記第1の実施形態及び第2の実施形態において,計測装置を各ベイにそれぞれ1つずつ設けた場合について説明したが,必ずしもこれに限定されるものではなく,計測装置を各ベイにそれぞれ2つ以上設けてもよい。各ベイ110に2つの計測装置160,162を設けた例を図8に示す。計測装置160,162にはそれぞれ自己診断部161,163が設けられている。このようなプロセス制御システムでは,例えばプロセス装置120によるプロセス処理の前後で計測装置160にウエハを搬送して所望の計測を行った後,プロセス装置122によるプロセス処理の前後で計測装置162にウエハを搬送して所望の計測を行うようにしてもよい。また計測装置160による計測終了後に,計測装置162にウエハを搬送して別の計測を行ってからプロセス装置124によるプロセス処理を行うようにしてもよい。
このように,各ベイ110ごとに必要な計測装置130をいくつでも設けることができるので,計測装置130の処理能力と,必要な計測計画に基づいて計測装置130の設置を計画できる。これにより,設備投資を効率的に行うことができる。
また,各ベイ110に設けるプロセス装置は,例えば図9に示すように2つ以上の異なる種類のものであってもよい。例えば図9に示すように各ベイ110に設けるプロセス装置120はエッチング装置120a,120cと成膜装置120bなどのようにウエハに種類の異なるプロセス処理を施すものであってもよく,また同じエッチング装置であっても,エッチング装置120cのように複数の処理室を放射線状に配設したものとエッチング装置120aのように複数の処理室を一列に配設したものなどのように処理室の配置が異なるプロセス装置でもよい。
さらに,各ベイ110内における搬送装置のベイ搬送路140は必ずしも図1に示すような直線に限られず,様々な形状のものを適用することができる。例えばベイ搬送路140は図9に示すように主搬送路142に接続するU字形状にしてもよい。この場合には図9に示すようにU字形状のベイ搬送路140の周りにプロセス装置120(120a,120b,120c),計測装置130を配設する。なお,図9において主搬送路142,ベイ搬送路140における搬送方向は例えば矢印で示す方向である。但し,搬送方向は図9に示すものには限られない。
各プロセス装置120(120a,120b,120c),計測装置130,プロセス制御装置150,搬送装置はそれぞれネットワーク152を介して接続されており,各プロセス装置120(120a,120b,120c),計測装置130,プロセス制御装置150,搬送装置はそれぞれ上記ネットワーク152を介してデータや信号のやり取りを行うことができるようになっている。これにより,同じ種類のプロセス装置に対してのみならず,異なる種類のプロセス装置に対しても,各プロセス装置に応じた処理条件などのデータをやり取りすることができる。
例えば同じ種類のプロセス装置で同じ種類のプロセス処理を行う場合は,どのような計測結果であっても共通して同じプロセスの処理条件を作成することができるので,プロセス制御装置150はネットワーク152を介して各プロセス装置に対して同じプロセスの処理条件を送信して設定することができる。これに対して,異なる種類のプロセス装置の場合は,通常,プロセスが異なるので同じ処理条件を設定することはできない。ところが,計測装置130で計測される計測対象によっては,同一の計測結果に基づいて異なる種類のプロセス装置ごとに適した処理条件をプロセス制御装置150によって生成することができる場合がある。プロセス制御装置150はこのような処理条件を対応するプロセス装置に送信して設定する。このような計測対象としては,ウエハ上のパーティクル(例えば堆積物,付着物),ウエハ上の欠陥(例えばプロセス処理により生成されたデバイスのうち欠陥があるデバイスの個数)などが挙げられる。
なお,上記ネットワーク152としては,プロセス制御装置150と,各プロセス装置120及び計測装置130を双方向通信可能に接続するものであり,WAN(Wide Area Network),LAN(Local Area Network),IP−VPN(InternetProtocol―Virtual Private Network)などの閉鎖回線網であってもよく,インターネットなどの公衆回線網であってもよい。また接続媒体は,FDDI(Fiber Distributed Data Interface)などによる光ファイバケーブル,Ethernetによる同軸ケーブル又はツイストペアケーブル,もしくはIEEE802.11bなどによる無線など,有線無線を問わない。
次に,第3の実施形態について図面を参照しながら説明する。第1,第2の実施形態では,各ベイ110ごとに各プロセス装置120とは別体で構成された1つの計測装置130又は複数の計測装置160,162を設けた場合について説明したが,第3の実施形態では,さらに各プロセス装置が計測ユニットを備える場合について説明する。
図10は第3の実施形態にかかるベイ510の構成例を示す図である。第3の実施形態にかかるベイ510は,図10に示すように,搬送装置のベイ搬送路140の周りに複数のプロセス装置520(520a,520b,520c)と,これらのプロセス装置520とは別体で設けられた計測装置130とが配設されている。
各プロセス装置130,計測装置530,プロセス制御装置550,搬送装置はそれぞれネットワーク152によって接続されており,各プロセス装置520,計測装置130,プロセス制御装置550,搬送装置はそれぞれ上記ネットワーク152を介してデータや信号のやり取りを行うことができるようになっている。
また,上記計測装置130の他に,ベイ510内の各プロセス装置520(520a,520b,520c)はそれぞれ計測ユニット530(530a,530b,530c)を備える。
少なくとも同じベイ内の計測装置130は,プロセス装置520の計測ユニット530と同じ計測対象を計測することができる。このような計測対象としては例えばウエハ上に形成された膜の膜厚,ウエハ上のパーティクル(例えば堆積物,付着物),ウエハ上に形成されたパターンのパターン幅やパターンのオーバーレイ(相対的な位置精度),ウエハ上の欠陥(例えば亀裂,レジスト倒れ)などが挙げられる。なお,ベイ510内に設けられるプロセス装置520の種類が異なる場合には,計測装置130は,種類が異なるプロセス装置520の計測ユニット530でそれぞれ計測される計測対象のすべてを計測することができるように構成される。
なお,各プロセス装置520は,ウエハをプロセス処理するための処理室と,ウエハを少なくとも処理室と計測ユニット530との間を大気中で搬送可能な装置内搬送手段とを備える。
このような計測ユニット530を備えたプロセス装置520の構成例を図11に示す。図11に示すプロセス装置520は,ウエハをプラズマ処理などによりドライエッチングするドライエッチング装置580(580a,580b)と,ウエハをウエットエッチングするウエットエッチング装置590とを備える。例えば図11に示す一方のドライエッチング装置580aはウエハのマスクエッチングを行うものであり,他方のドライエッチング装置580bはゲートエッチングを行うものである。
ドライエッチング装置580(580a,580b),ウエットエッチング装置590は搬送路560の一方側に,この搬送路560に直交する方向に直列して配置されている。搬送路560の他方側には,プロセス装置520に搬入するウエハを収容するウエハカセットなどで構成されるウエハ搬入部564,プロセス処理後のウエハをプロセス装置520から搬出するためのウエハ搬出部566,計測ユニット530が配置されている。計測ユニット530は,この計測ユニット530が設けられているプロセス装置520でプロセス処理されるウエハを計測処理する。計測ユニット530による計測は,ウエハをプロセス処理する前後に行ってもよく,プロセス処理する前若しくは後のいずれかに行ってもよい。
ドライエッチング装置580a,580bはそれぞれ,搬送路560にゲート582a,582bを介して接続される処理室584a,584bを備える。処理室584a,584bは,例えば搬送路560と処理室584a,584bとの間でそれぞれウエハを搬送する搬送手段例えば搬送アームを備える搬送室を介して搬送路560に接続するようにしてもよい。
ウエットエッチング装置590は,搬送路560にトリートメント室592を介して接続される処理室594を備える。処理室594は例えばウエハに薬液処理を施すためのものであり,トリートメント室592は例えばウエハにリンス液などによるトリートメント処理を施すためのものである。なお,トリートメント室592には搬送路560と処理室594との間でウエハを搬送する搬送手段例えば水平移動機構と昇降機構を備える搬送体などを備えるようにしてもい。
搬送路560には,この搬送路560に沿って移動可能な搬送アーム570が設けられている。この搬送アーム570は,例えばレールなどで構成された搬送路560に沿って移動可能なベース572,ウエハを載置可能なピック574,ベース574とピック574を接続し,ピック574をベース572に対して伸縮可能なアーム576とを備える。なお,これら搬送路560と搬送アーム570は,装置内搬送手段の1例を構成する。
このようなプロセス装置520によれば,ウエハ搬入部564からのウエハが搬送アーム570によって所定の順番で各処理室580a,580b,590に搬送され,所定のプロセス処理が施される。この際,必要なタイミングでウエハが計測ユニット530に搬送され,計測ユニット530によって所定の計測処理が行われる。そして,すべての処理が終了したウエハは搬送アーム570によってウエハ搬出部566へ搬送される。
このような構成のプロセス制御システムによれば,プロセス装置520の計測ユニット530と,そのベイ510内の計測装置130とは同じ計測対象を計測することができるので,ベイ510内のいずれかのプロセス装置の計測ユニット530が故障,メンテナンスなどにより使用できない場合であっても,その計測ユニット530の代りに計測装置130を使用することができる。
例えば図10に示すプロセス装置520aの計測ユニット530aが使用できない場合は,プロセス装置520aにおいて測定対象の計測が必要となった時点で,計測装置130による計測処理を求めるための情報がプロセス制御装置550に送信される。すると,プロセス制御装置550の制御に基づいて,プロセス装置520aはウエハを搬出し,搬出されたウエハはベイ搬送路140を介して計測装置130に搬送され,計測装置130において必要な計測が行われる。
このように,計測ユニット530の代りに計測装置130を使用することができるので,プロセス装置520の計測ユニット530は使用できないが,ウエハのプロセス処理は行うことができる場合に,そのプロセス装置520全体が使用できなくなることを防止することができる。
これにより,各ベイ510内におけるウエハ処理のサイクルタイムを短くすることができると共に各ベイ510内の稼働率の低下及び製造キャパシティの低下を極力抑えることができる。すなわち,各プロセス装置ごとに計測ユニットを備えていれば,ウエハの計測を行うごとに計測装置130への搬送を行わなくても済む点でサイクルタイムを短くすることができる。その反面,いずれかのプロセス装置の計測ユニットが故障やメンテナンスで使用できない場合は,そのプロセス装置が使用できず稼働率が低下するという問題がある。本発明では計測ユニット530が使用できない場合には計測ユニット530の代りに計測装置130を使用することにより,サイクルタイムと稼働率との両立を図ることができる。
なお,プロセス制御装置550は,例えばプロセス装置520aから計測装置130による計測処理を求めるための情報をネットワーク152を介して受信すると,計測装置130が計測可能状態にあるかどうかを確認した上で,確認できたときにプロセス装置520aにウエハの搬出許可をネットワーク152を介して送信するようにしてもよい。
また,計測装置130を計測ユニット530の代りに使用する場合には,計測装置130においてウエハの計測処理に必要な計測処理情報(例えばウエハの計測座標情報など)は予め計測装置130に記憶しておいてもよい。また,上記計測処理情報はプロセス制御装置550に記憶しておいてもよい。この場合は,計測装置130による計測を行う際に,計測装置130に計測処理情報を送信する。計測装置130は受信した計測処理情報に基づいてウエハの計測処理を行う。
次に,各ベイ510内の計測装置130をそれぞれ,その計測装置130が設けられたベイ510内における各プロセス装置520の計測ユニット530の基準機として用いる場合について説明する。各ベイ510内において,計測装置130を計測ユニット530の基準機として用いることにより,各プロセス装置520の計測ユニット530による計測結果と計測装置130による計測結果とにずれがないようにすることができる。
具体的には例えば,計測装置130は,プロセス装置520の計測ユニット530による計測結果と計測装置130による計測結果とにずれがないか又はそのずれが許容範囲内であることを定期的に確認する。そして,計測装置130は,例えば計測ユニット530と計測装置130との計測結果のずれが許容範囲内にない場合には,計測ユニット530のメンテナンスなどが必要なことを報知やディスプレイへの表示などにより促す。こうして,計測ユニット530と計測装置130の各計測結果のずれが許容範囲内になるように管理する。これにより,複数のプロセス装置520における各計測ユニット530間の計測誤差が所定値以下になるように管理することができる。従って,ベイ510内の各プロセス装置520の計測ユニット530における計測結果のばらつきを防止することができる。
上記のように計測装置130を各計測ユニット530の基準機として使用する場合,測定対象ごとに計測誤差を管理するようにしてもよい。測定対象として例えばプロセス処理により形成されるパターンのCD(Critical Dimension)の計測誤差を管理する場合は,ラインやスペースのパターンが形成された基準ウエハを,計測誤差の管理対象となる計測ユニット530と計測装置130とによって定期的に計測する。その計測結果に基づいて,計測装置130は,計測ユニット530と計測装置130との計測結果のずれが許容範囲内であることを確認する。例えば40nmのCD(Critical Dimension)の管理をする場合には,計測精度の許容範囲は11nm以下とする。
また,測定対象として例えばウエハに形成された膜厚の計測誤差を管理する場合は,その膜厚が形成された基準ウエハを計測誤差の管理対象となる計測ユニット530と計測装置130とによって定期的に計測する。その計測結果に基づいて,計測装置130は,各計測結果のずれが許容範囲内であることを確認する。この場合の計測精度の許容範囲は例えば0.2nm以下とする。
また,測定対象として例えばウエハ上のパーティクルの計測誤差を管理する場合は,パーティクルのない清浄なウエハを計測誤差の管理対象となる計測ユニット530と計測装置130とによって定期的に計測する。その計測結果に基づいて,計測装置130は,各計測結果のずれが許容範囲内であることを確認する。この場合の計測精度の許容範囲は例えば粒径が0.15μm以上のパーティクルのカウント数の誤差を10%以内とする。
次に,各ベイ510において新しいデバイスを作成する場合における計測装置130の利用方法について説明する。上記のような構成のプロセス制御システムによれば,ベイ510のプロセス装置520によって新しいデバイスを作成する際に必要となる計測ユニット530の計測処理情報(例えばウエハの計測座標情報など)は,各ベイ510内の計測装置130によって作成することができる。これにより,そのベイ510内の各プロセス装置520の計測ユニット530は常にデバイスなどの生産のために稼働できる状態にしておくことができる。従って,そのベイ510内の製造キャパシティに影響を与えないようにすることができる。
なお,図10に示すプロセス装置520(520a,520b,520c)がそれぞれ図9に示すプロセス装置120(120a,120b,120c)のように,異なる種類の複数のプロセス装置520で構成される場合には,異なる種類のプロセス装置520の計測ユニット530ごとに計測処理情報を作成するようにしてもよい。
計測装置130で作成された計測処理情報は,ネットワーク152を介して各プロセス装置520の計測ユニット530に送信して設定するようにしてもよく,また公知の記録媒体を介して計測ユニット530に設定するようにしてもよい。
ここで,計測ユニット530や計測装置130による例えばウエハの計測処理に必要な計測処理情報の具体例を説明する。このような計測処理情報としては,例えばウエハの計測座標情報,画像認識条件,ウエハの測定基準情報などが挙げられる。ウエハの計測座標情報は,前記被処理体上に計測箇所を特定するための座標を設定するための座標情報である。例えば計測ユニット530,計測装置130に設けられた撮像手段例えばCCD(Charge-Coupled Device)カメラによりウエハの画像を読取ったときに,上記ウエハの計測座標情報に基づいて座標を設定することにより,計測箇所を特定することができる。
画像認識条件は,例えば上記CCDカメラなどにより受光した情報について画像の認識,理解を行うデジタル画像処理の手順である。デジタル画像処理の手順としては,例えばデータの正規化,ノイズ除去を行う前処理段階,カラー濃淡処理,2値化処理や階調処理などのコントラスト処理,エッジ抽出のためのフィルタ処理などを行う抽出段階,データ比較,演算処理などを行う演算処理段階,予め抽出された特徴量について照合(マッチング)を行う照合段階がある。計測ユニット530,計測装置130はこのような画像認識条件例えばデジタル画像処理の手順に従ってウエハの計測処理を行う。
ウエハの測定基準情報は,例えばエッチングレート,膜厚などの計測対象の基準となる目標値の情報である。計測ユニット530,計測装置130は,このようなウエハの測定基準情報例えば目標値と実際に計測した計測値とを比較する。この比較情報は例えばプロセス装置520によるプロセス処理のフィードバック処理などに利用することができる。
なお,プロセス制御装置550は,各プロセス装置520の計測ユニット530から計測結果を受信し,この計測結果に基づいてそのプロセス装置520のプロセス処理の安定度(例えばウエハから製造されるデバイスの不良品数)を判定し,この安定度と,ウエハにより製造されるデバイスの管理目標範囲(例えばデバイスの目標製造個数),プロセス装置520の稼働状況(例えば稼働率)などに基づいて,プロセス処理の前後における計測対象とすべきウエハの枚数,ウエハ内の計測箇所の数を最適化する処理を行うようにしてもよい。このような最適化処理により得られた最適化情報は,例えばネットワーク152を介してプロセス装置520の計測ユニット530に送信される。すると,各計測ユニット530は,プロセス制御装置550により最適化されたウエハの枚数,ウエハ内の計測箇所の数に基づいて計測処理を行う。これにより,必要最小限の計測処理によってプロセス処理を行うことができるので,各ベイ510ごとにベイ510内全体のウエハのプロセス処理のサイクルタイムを短縮することができる。
以上,添付図面を参照しながら本発明に係る好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
例えば上記実施の形態では,多変量解析手段400はプロセス制御装置150に設けた場合について説明したが,必ずしもこれに限定されることはなく,多変量解析手段400は各プロセス装置120に設けてもよい。これにより,プロセス制御装置150からの指令に基づいて各プロセス装置120が多変量解析を行い,また処理結果を計測することができるので,プロセス制御装置の負担を軽減することができ,データ処理速度を向上することができる。
本発明は,例えば半導体デバイスを製造するためのプロセス制御を行うプロセス制御システム及びプロセス制御方法に適用可能である。
本発明の第1の実施形態にかかるプロセス制御システムの構成を示すブロック図である。 同実施形態におけるプロセス装置の1例としてのエッチング装置の概略構成を示す断面図である。 同実施形態におけるウエハに形成するパターンの構成を示す模式図である。 同実施形態におけるガス流量比とトリミング量との関係を示す図である。 同実施形態におけるプロセス制御装置が行うプロセス条件変更処理を示す図である。 本発明の第2の実施形態にかかる多変量解析手段の構成を示すブロック図である。 同実施形態におけるプロセス制御装置が行うモデル式更新処理を示す図である。 本発明にかかるプロセス制御システムの他の構成例を示すブロック図である。 本発明にかかるプロセス制御システムの他の構成例を示すブロック図である。 本発明の第3の実施形態にかかるプロセス制御システムの構成を示すブロック図である。 同実施形態におけるプロセス装置の構成例を説明する図である。
符号の説明
100 プロセス制御システム
110 ベイ
120 プロセス装置
120a エッチング装置
120b 成膜装置
120c エッチング装置
122 プロセス装置
124 プロセス装置
130 計測装置
132 自己診断部
140 ベイ搬送路
142 主搬送路
150 プロセス制御装置
152 ネットワーク
160 計測装置
161 自己診断部
162 計測装置
163 自己診断部
201 エッチング装置
202 チャンバ
203 絶縁板
204 サセプタ支持台
205 サセプタ
207 温度調節媒体室
208 導入管
209 排出管
211 静電チャック
212 電極
213 直流電源
214 ガス通路
215 フォーカスリング
221 上部電極
222 絶縁材
223 吐出孔
224 電極板
225 電極支持体
226 ガス導入口
227 ガス供給管
228 バルブ
229 マスフローコントローラ
230 処理ガス供給源
231 排気管
232 ゲートバルブ
235 排気装置
240 高周波電源
241 整合器
250 高周波電源
251 整合器
320 プロセス装置
321 ポリシリコン膜
322 シリコン酸化膜
323 有機系反射防止膜
324 レジスト
400 多変量解析手段
410 運転データ記憶部
420 処理結果データ記憶部
430 多変量解析プログラム記憶部
440 多変量解析処理部
450 多変量解析結果記憶部
510 ベイ
520 プロセス装置
530 計測ユニット
550 プロセス制御装置
560 搬送路
564 ウエハ搬入部
566 ウエハ搬出部
570 搬送アーム
572 ベース
574 アーム
574 ピック
580 ドライエッチング装置
582a ゲート
582b ゲート
584a 処理室
584b 処理室
590 ウエットエッチング装置
592 トリートメント室
594 処理室

Claims (38)

  1. 工場内半導体製造ラインの数に応じて分けられた各エリアに設けられ,処理結果を予測可能である、半導体製造を行う少なくとも1つのプロセス装置により被処理体に対して行う、半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理体上に形成されたパターン幅の計測を行う少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置と,を備え、
    前記計測装置は,自機に異常があるか否かを診断する自己診断手段を有し,
    前記制御装置は,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較して,前記処理結果の実測値と目標値の誤差が所定値以上であると判断した場合に,前記計測装置の自己診断手段により自己診断を行わせ,その自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記プロセス装置の処理条件を設定し直すことを特徴とするプロセス制御システム。
  2. 前記制御装置は,前記プロセス装置によってプロセス処理される被処理体上に形成されたパターン幅を前記計測装置で計測し,その計測結果に基づいて前記プロセス装置の処理条件を設定することを特徴とする請求項1に記載のプロセス制御システム。
  3. 工場内の半導体製造ラインの数に応じて分けられた各エリアに設けられ,処理結果を予測可能である、半導体製造を行う少なくとも1つのプロセス装置により被処理体に対して行う、半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理体上に形成されたパターン幅の計測を行う少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置と,を備え、
    前記制御装置は,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較し,前記実測値と前記目標値の誤差の変動の状況を観測してその傾向を予測し,前記誤差が所定値を越える前に,その誤差変動の傾向に応じて,前記プロセス装置の処理条件を設定し直すことを特徴とするプロセス制御システム。
  4. 工場内半導体製造ラインの数に応じて分けられた各エリアに設けられ,処理結果を予測可能である、半導体製造を行う少なくとも1つのプロセス装置により被処理体に対して行う、半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理体上に形成されたパターン幅の計測を行う少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置と,を備え,
    前記制御装置は,前記プロセス装置による運転データ及び処理結果データに基づいて多変量解析を行うことにより前記運転データと前記処理結果データの相関関係を求め,この相関関係に基づいて相関関係を得た被処理体以外の被処理体を処理した時の運転データを用いて処理結果の予測値を求め,
    少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と前記予測値とを比較して,前記実測値と前記予測値との誤差が所定値以上であると判断した場合に前記相関関係を生成し直すことを特徴とするプロセス制御システム。
  5. 前記計測装置は,自機に異常があるか否かを診断する自己診断手段を設け,
    前記制御装置は,前記処理結果の実測値と予測値の誤差が所定値以上であると判断した場合に,前記計測装置の自己診断手段に自己診断を行わせ,自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記相関関係を生成し直すことを特徴とする請求項4に記載のプロセス制御システム。
  6. 前記多変量解析としてPLS法を用いることを特徴とする請求項4又は5に記載のプロセス制御システム。
  7. 処理結果を予測可能である、半導体製造を行う少なくとも1つのプロセス装置と,このプロセス装置で半導体製造のためのプロセス処理がなされる被処理体上に形成されたパターン幅の計測を行う、少なくとも1つの計測装置と,前記プロセス装置及び前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを、工場内半導体製造ラインの数に応じて分けられた各エリアに設けたプロセス制御システムにおける前記各エリアの制御装置が行うプロセス制御方法であって,
    前記プロセス装置によってプロセス処理される被処理体上に形成されたパターン幅を前記計測装置で計測する工程と,
    前記計測装置による計測結果に基づいて前記プロセス装置の処理条件を設定する工程と,
    少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較し,前記処理結果の実測値と目標値の誤差が所定値以上であると判断した場合に,前記計測装置に自己診断を行わせ,その自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記プロセス装置の処理条件を設定し直す工程と,を有することを特徴とするプロセス制御方法。
  8. 処理結果を予測可能である、半導体製造を行う少なくとも1つのプロセス装置と,このプロセス装置で半導体製造のためのプロセス処理がなされる被処理体上に形成されたパターン幅の計測を行う、少なくとも1つの計測装置と,前記プロセス装置及び前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを、工場内半導体製造ラインの数に応じて分けられた各エリアに設けたプロセス制御システムにおける前記各エリアの制御装置が行うプロセス制御方法であって,
    前記プロセス装置によってプロセス処理される被処理体上に形成されたパターン幅を前記計測装置で計測する工程と,
    前記計測装置による計測結果に基づいて前記プロセス装置の処理条件を設定する工程と,
    前記プロセス装置による運転データ及び処理結果データに基づいて多変量解析を行うことにより前記運転データと前記処理結果データの相関関係を求め,この相関関係に基づいて相関関係を得た被処理体以外の被処理体を処理した時の運転データを用いて処理結果の予測値を求め,さらに,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と前記予測値とを比較して,前記実測値と前記予測値との誤差が所定値以上であると判断した場合に前記相関関係を生成し直す工程と,を有することを特徴とするプロセス制御方法。
  9. 少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較し,前記実測値と前記目標値の誤差の変動の状況を観測してその傾向を予測し,前記誤差が所定値を越える前に,その誤差変動の傾向に応じて,前記プロセス装置の処理条件を設定し直すことを特徴とする請求項8に記載のプロセス制御方法。
  10. 前記相関関係を生成し直す工程は,前記処理結果の実測値と予測値の誤差が所定値以上であると判断した場合に,前記計測装置に自己診断を行わせ,自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記相関関係を生成し直すことを特徴とする請求項8に記載のプロセス制御方法。
  11. 前記多変量解析としてPLS法を用いることを特徴とする請求項8〜10のいずれかに記載のプロセス制御方法。
  12. 工場内半導体製造ラインの数に応じて分けられた各エリアに設けられ,半導体製造を行う少なくとも1つのプロセス装置により被処理体に対して行う、半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各プロセス装置はそれぞれ,被処理体をプロセス処理するための処理室と,この処理室において被処理体をプロセス処理する前後又はプロセス処理する前若しくは後のいずれかに,その被処理体の計測処理を実行する計測ユニットと,被処理体を少なくとも前記処理室と前記計測ユニットとの間で搬送可能な装置内搬送手段とを設け,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理体上に形成されたパターン幅の計測処理を実行可能な少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置と,を備え,
    前記計測装置は,前記プロセス装置の計測ユニットに対する基準機として,前記計測ユニットによる計測結果と前記計測装置による計測結果とのずれがないか又はそのずれが許容範囲内であることを定期的に確認することを特徴とするプロセス制御システム。
  13. 前記計測装置は,前記プロセス装置の計測ユニットが実行する計測処理に必要な計測処理情報を作成するために用い,
    前記計測ユニットは,前記計測処理情報に基づいて計測処理を行うことを特徴とする請求項12に記載のプロセス制御システム。
  14. 前記計測処理情報は,少なくとも前記被処理体上に計測箇所を特定するための座標を設定するための座標情報を含むことを特徴とする請求項13に記載のプロセス制御システム。
  15. 半導体製造を行う少なくとも1つのプロセス装置と,前記各プロセス装置に設けられた少なくとも1つの計測ユニットと,前記プロセス装置で半導体製造のためのプロセス処理がなされる被処理体上に形成されたパターン幅の計測処理を実行可能な、少なくとも1つの計測装置と,前記プロセス装置と前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,前記プロセス装置及び前記計測装置及び前記搬送装置を制御する制御装置とを、工場内半導体製造ラインの数に応じて分けられた各エリアに設けたプロセス制御システムにおける前記各エリアの制御装置が行うプロセス制御方法であって,
    前記プロセス装置によりプロセス処理される被処理体上に形成されたパターン幅が前記計測ユニットで計測処理される工程と,
    前記計測ユニットによる計測結果に基づいて前記プロセス装置の処理条件が設定される工程と,
    前記計測ユニットのメンテナンス時には,被処理体が前記搬送装置により前記計測装置に搬送されて,前記計測装置により計測処理され,その計測結果に基づいて前記プロセス装置の処理条件が設定される工程と,
    を有することを特徴とするプロセス制御方法。
  16. 前記計測装置は,前記プロセス装置の計測ユニットに対する基準機として,前記計測ユニットによる計測結果と前記計測装置による計測結果とのずれがないか又はそのずれが許容範囲であることを定期的に確認することを特徴とする請求項15記載のプロセス制御方法。
  17. 前記計測装置は,前記プロセス装置の計測ユニットが実行する計測処理に必要な計測処理情報を作成するために用い,
    前記計測ユニットは,前記計測処理情報に基づいて計測処理を行うことを特徴とする請求項15に記載のプロセス制御方法。
  18. 前記計測処理情報は,少なくとも前記被処理体上に計測箇所を特定するための座標を設定するための座標情報を含むことを特徴とする請求項17に記載のプロセス制御方法。
  19. 工場内半導体製造ラインの数に応じて分けられた各エリアに設けられ,半導体製造を行う2つ以上の異なる種類のプロセス装置により被処理体に対して行う半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理上に形成されたパターン幅の計測を行う、少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置と,を備え、
    前記計測装置は,自機に異常があるか否かを診断する自己診断手段を有し,
    前記制御装置は,少なくとも前記プロセス装置によるプロセス処理後に前記被処理体を前記搬送装置により前記計測装置に搬送し,前記計測装置による少なくともプロセス処理後の計測結果に基づいて得られた被処理体の処理結果の実測値と処理結果の目標値とを比較して,前記処理結果の実測値と目標値の誤差が所定値以上であると判断した場合に,前記計測装置の自己診断手段により自己診断を行わせ,その自己診断の結果に基づいて前記計測装置に異常がないと判断した場合にのみ,前記プロセス装置の処理条件を設定し直すことを特徴とするプロセス制御システム。
  20. 工場内半導体製造ラインの数に応じて分けられた各エリアに設けられ,半導体製造を行う少なくとも1つのプロセス装置により被処理体に対して行う半導体製造のためのプロセス処理を制御するプロセス制御システムであって,
    前記各プロセス装置はそれぞれ,被処理体をプロセス処理するための処理室と,この処理室において被処理体をプロセス処理する前後又はプロセス処理する前若しくは後のいずれかに,その被処理体上に形成されたパターン幅の計測処理を実行する計測ユニットと,被処理体を少なくとも前記処理室と前記計測ユニットとの間で搬送可能な装置内搬送手段とを設け,
    前記各エリアに設けられ,前記各エリア内でプロセス処理される被処理体上に形成されたパターン幅の計測処理を実行可能な少なくとも1つの計測装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置を含む各装置間で被処理体を搬送する搬送装置と,
    前記各エリアに設けられ,前記各エリア内における前記プロセス装置,前記計測装置,前記搬送装置を制御する制御装置とを備え,
    前記制御装置は,あるプロセス装置の計測ユニットが使用できない場合に,そのプロセス装置で実行するプロセス処理のための被処理体の計測を他のプロセス装置の計測ユニットで行うように,前記プロセス装置,前記計測装置,前記搬送装置を制御し、
    前記計測装置は、前記プロセス装置の計測ユニットに対する基準機として,前記計測ユニットによる計測結果と前記計測装置による計測結果とのずれがないか又はそのずれが許容範囲内であることを定期的に確認することを特徴とするプロセス制御システム。
  21. 前記プロセス処理は、複数種類のエッチングガスを使用したプロセス処理であることを特徴とする請求項1〜4,12,19,20のいずれかに記載のプロセス制御システム。
  22. 前記プロセス処理は、複数種類のエッチングガスを使用したプロセス処理であることを特徴とする請求項7〜9,15のいずれかに記載のプロセス制御方法。
  23. 前記プロセス装置の処理条件は、複数種類のエッチングガス比であることを特徴とする請求項1〜3のいずれかに記載のプロセス制御システム。
  24. 前記プロセス装置の処理条件は、複数種類のエッチングガス比であることを特徴とする請求項7,9,15のいずれかに記載のプロセス制御方法。
  25. 前記処理体上に形成されたパターンの幅は、有機系反射防止膜のパターンの幅であることを特徴とする請求項1に記載のプロセス制御システム。
  26. 前記処理体上に形成されたパターンの幅は、有機系反射防止膜のパターンの幅であることを特徴とする請求項7〜9,15〜18に記載のプロセス制御方法。
  27. 前記複数種類のエッチングガスには、少なくともCFガスおよびOガスが含まれることを特徴とする請求項21に記載のプロセス制御システム。
  28. 前記複数種類のエッチングガスには、少なくともCFガスおよびOガスが含まれることを特徴とする請求項24に記載のプロセス制御方法。
  29. 前記複数種類のエッチングガス比は、O/(CF+O)であることを特徴とする請求項23に記載のプロセス制御システム。
  30. 前記複数種類のエッチングガス比は、O/(CF+O)であることを特徴とする請求項24に記載のプロセス制御方法。
  31. 前記運転データは、前記プロセス装置内に供給するガス流量の実測データ、前記プロセス装置内の上部電極温度、前記プロセス装置内の下部電極温度、前記プロセス装置の壁面温度、APCバルブによるAPC開度、静電チャックの印加電流、静電チャックの印加電圧、マスフローコントローラにより検出される伝熱ガスのガス流量、圧力計により検出される伝熱ガスのガス圧力、整合器の可変コンデンサのポジション、高周波電力供給ラインと接地間の電圧、VIプローブにより検出される基本波および高調波の高周波電圧、VIプローブにより検出される基本波および高調波の高周波電流、VIプローブにより検出される基本波および高調波の高周波位相、VIプローブにより検出される基本波および高調波のインピーダンス、高周波電力の進行波および反射波、高周波電力の印加積算時間、プラズマ発光を検出する光学計測器により検知される特定の波長範囲の発光スペクトルの少なくともいずれかであることを特徴とする請求項4に記載のプロセス制御システム。
  32. 前記運転データは、前記プロセス装置内に供給するガス流量の実測データ、前記プロセス装置内の上部電極温度、前記プロセス装置内の下部電極温度、前記プロセス装置の壁面温度、APCバルブによるAPC開度、静電チャックの印加電流、静電チャックの印加電圧、マスフローコントローラにより検出される伝熱ガスのガス流量、圧力計により検出される伝熱ガスのガス圧力、整合器の可変コンデンサのポジション、高周波電力供給ラインと接地間の電圧、VIプローブにより検出される基本波および高調波の高周波電圧、VIプローブにより検出される基本波および高調波の高周波電流、VIプローブにより検出される基本波および高調波の高周波位相、VIプローブにより検出される基本波および高調波のインピーダンス、高周波電力の進行波および反射波、高周波電力の印加積算時間、プラズマ発光を検出する光学計測器により検知される特定の波長範囲の発光スペクトルの少なくともいずれかであることを特徴とする請求項8に記載のプロセス制御方法。
  33. 前記高周波電力の印加積算時間は、前記プロセス装置のメンテナンスを行う毎にゼロにリセットされることを特徴とする請求項31に記載のプロセス制御システム。
  34. 前記高周波電力の印加積算時間は、前記プロセス装置のメンテナンスを行う毎にゼロにリセットされることを特徴とする請求項32に記載のプロセス制御方法。
  35. 前記処理体上に形成されたパターンの幅は、有機系反射防止膜のパターンの幅であることを特徴とする請求項4に記載のプロセス制御システム。
  36. 前記処理体上に形成されたパターンの幅は、有機系反射防止膜のパターンの幅であることを特徴とする請求項8に記載のプロセス制御方法。
  37. 前記プロセス装置は、工場内半導体製造ラインの数に応じて分けられた各エリアに複数設けられ、前記複数のプロセス装置は、一列または放射状のいずれかの状態にて配設されていることを特徴とする請求項1又は請求項12のいずれかに記載のプロセス制御システム。
  38. 前記少なくとも1つのプロセス装置、前記少なくとも1つの計測装置、前記搬送装置および前記制御装置は、ネットワークを介して接続され、前記制御装置は、同じ種類のプロセス装置で同じ種類のプロセス処理を行う場合には、同じプロセスの処理条件のデータを生成し、生成されたデータを、ネットワークを介して前記同じ種類のプロセス装置に送信することを特徴とする請求項1または請求項12のいずれかに記載のプロセス制御システム。
JP2003408666A 2002-12-06 2003-12-08 プロセス制御システム及びプロセス制御方法 Expired - Fee Related JP4869551B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003408666A JP4869551B2 (ja) 2002-12-06 2003-12-08 プロセス制御システム及びプロセス制御方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002354763 2002-12-06
JP2002354763 2002-12-06
JP2003408666A JP4869551B2 (ja) 2002-12-06 2003-12-08 プロセス制御システム及びプロセス制御方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006299490A Division JP2007088497A (ja) 2002-12-06 2006-11-02 プロセス制御システム、プロセス制御方法およびプロセス処理装置

Publications (3)

Publication Number Publication Date
JP2004207703A JP2004207703A (ja) 2004-07-22
JP2004207703A5 JP2004207703A5 (ja) 2006-12-21
JP4869551B2 true JP4869551B2 (ja) 2012-02-08

Family

ID=32828491

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003408666A Expired - Fee Related JP4869551B2 (ja) 2002-12-06 2003-12-08 プロセス制御システム及びプロセス制御方法

Country Status (1)

Country Link
JP (1) JP4869551B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11876021B2 (en) 2019-05-23 2024-01-16 Kabushiki Kaisha Toshiba Test circuit and test method

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006001416A1 (ja) * 2004-06-29 2006-01-05 Nikon Corporation 管理方法及び管理システム、並びにプログラム
JP5242906B2 (ja) * 2006-10-17 2013-07-24 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP2009099901A (ja) * 2007-10-19 2009-05-07 Sharp Corp 半導体製造システム及び半導体製造方法
JP5065082B2 (ja) * 2008-02-25 2012-10-31 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5429869B2 (ja) * 2008-12-22 2014-02-26 株式会社 Ngr パターン検査装置および方法
JP5688227B2 (ja) 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
US9523976B1 (en) 2012-11-15 2016-12-20 Cypress Semiconductor Corporation Method and system for processing a semiconductor wafer using data associated with previously processed wafers
US10401279B2 (en) 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
US11675374B2 (en) * 2018-10-26 2023-06-13 Illinois Tool Works Inc. Mass flow controller with advanced zero trending diagnostics

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729958A (ja) * 1993-07-14 1995-01-31 Hitachi Ltd 半導体製造装置
JPH10242127A (ja) * 1997-02-26 1998-09-11 Sony Corp 有機系反射防止膜のプラズマエッチング方法
DE19922936B4 (de) * 1999-05-19 2004-04-29 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
JP3910324B2 (ja) * 1999-10-26 2007-04-25 ファブソリューション株式会社 半導体製造装置
JP2001237173A (ja) * 2000-02-24 2001-08-31 Sony Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002026106A (ja) * 2000-07-07 2002-01-25 Matsushita Electric Ind Co Ltd 半導体装置製造施設
JP2002107417A (ja) * 2000-09-28 2002-04-10 Miyazaki Oki Electric Co Ltd 半導体集積回路の試験装置及びその管理方法
JP2002110493A (ja) * 2000-10-04 2002-04-12 Matsushita Electric Ind Co Ltd 加工プロセス工程の異常抽出方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11876021B2 (en) 2019-05-23 2024-01-16 Kabushiki Kaisha Toshiba Test circuit and test method

Also Published As

Publication number Publication date
JP2004207703A (ja) 2004-07-22

Similar Documents

Publication Publication Date Title
US7047095B2 (en) Process control system and process control method
KR102546407B1 (ko) 반도체 제작 장비 내의 소모성 부품의 마모 검출
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP4464276B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR101124186B1 (ko) 유전체 에칭 효율 개선을 위해 통합된 계측을 이용하는방법 및 장치
US7662646B2 (en) Plasma processing method and plasma processing apparatus for performing accurate end point detection
JP4869551B2 (ja) プロセス制御システム及びプロセス制御方法
JP2013161913A (ja) プラズマ処理装置及びプラズマ処理方法
JP2018533196A (ja) 半導体装置のためのチャンバ性能マッチングのための方法論
TWI794501B (zh) 電漿鞘輪廓偵測系統
JP2007088497A (ja) プロセス制御システム、プロセス制御方法およびプロセス処理装置
KR102459432B1 (ko) 기판 제조 설비 및 그의 관리 방법
US20090061074A1 (en) Technology of detecting abnormal operation of plasma process
JP3960911B2 (ja) 処理方法および処理装置
JP4220378B2 (ja) 処理結果の予測方法および処理装置
US6939433B2 (en) Sample processing apparatus and sample processing system
US7354778B2 (en) Method for determining the end point for a cleaning etching process
JP2005033228A (ja) プラズマ処理装置および処理方法
TWI836919B (zh) 基板處理系統
JP2003077898A (ja) プラズマエッチングシステム及びエッチング工程管理方法
WO2024015694A1 (en) Plasma detection in semiconductor fabrication apparatuses
JP4363861B2 (ja) 半導体製造装置
KR100950788B1 (ko) 시료처리장치 및 시료처리제어장치
TW202303674A (zh) 基板處理裝置及基板搬送位置調整方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061102

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111116

R150 Certificate of patent or registration of utility model

Ref document number: 4869551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees