JP2018533196A - 半導体装置のためのチャンバ性能マッチングのための方法論 - Google Patents

半導体装置のためのチャンバ性能マッチングのための方法論 Download PDF

Info

Publication number
JP2018533196A
JP2018533196A JP2018500736A JP2018500736A JP2018533196A JP 2018533196 A JP2018533196 A JP 2018533196A JP 2018500736 A JP2018500736 A JP 2018500736A JP 2018500736 A JP2018500736 A JP 2018500736A JP 2018533196 A JP2018533196 A JP 2018533196A
Authority
JP
Japan
Prior art keywords
processing chamber
sensor
predetermined process
controller
sensors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018500736A
Other languages
English (en)
Other versions
JP6860547B2 (ja
Inventor
シュエソン ル
シュエソン ル
リン ジャン
リン ジャン
アンドリュー ヴイ ル
アンドリュー ヴイ ル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018533196A publication Critical patent/JP2018533196A/ja
Application granted granted Critical
Publication of JP6860547B2 publication Critical patent/JP6860547B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37008Calibration of measuring system, probe, sensor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45032Wafer manufacture; interlock, load-lock module
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50388Integrated loader, shuttle transfer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本開示の実施形態は、処理チャンバにおける処理チャンバ性能を一致させ較正するための方法論を提供する。一実施形態において、半導体製造プロセスのための処理チャンバを較正する方法は、処理チャンバ内で第1の所定のプロセスを行うステップと、所定のプロセスを行いながら処理チャンバ内に配置された第1のグループのセンサからコントローラに送信された第1の組の信号を収集するステップと、収集された第1の組の信号を分析するステップと、第1のグループのセンサからのセンサ応答をチェックするために、収集された第1の組の信号をコントローラに記憶されたデータベースと比較するステップと、ミスマッチセンサ応答が見つかると、収集された第1の組の信号に基づいてセンサを較正するステップと、引き続き処理チャンバ内で第1の一連のプロセスを行うステップと、一連のプロセスを行いながらセンサからコントローラに送信された第2の組の信号を収集するステップと、を含む。

Description

本開示の実施形態は、一般に、処理チャンバのプロセス性能を検出するための方法論、より詳細には、半導体製造および工場管理のためのチャンバ間マッチングに対する処理チャンバのプロセス性能を検出するための方法論に関する。
集積回路(IC)またはチップの製造では、チップの異なる層を表わすパターンは、チップ設計者によって作成される。一連の再使用可能なマスクまたはフォトマスクは、製造プロセス中に各チップ層の設計を半導体基板上に転写するために、これらのパターンから作成される。マスクパターン生成システムは、精密なレーザまたは電子ビームを使用して、チップの各層の設計をそれぞれのマスク上に描画する。次いで、マスクを写真ネガのように使用して、各層の回路パターンを半導体基板上に転写する。これらの層は、一連のプロセスを使用して構築され、それぞれの完成したチップを構成する小さなトランジスタおよび電気回路に形を変える。典型的には、半導体基板上のデバイスは、それぞれが個別のパターンを有する複数の上地層からデバイスが形成される一連のリソグラフィ処理ステップによって製造される。一般に、15〜100組のマスクがチップを構築するために使用され、繰り返し使用されることがある。
ある層と、前の層に重なる次の層との間で、ある層と次の層の個々のパターンは、位置合わせされる必要がある。位置合わせマークの測定は、計測ツールによって得られることがあり、その場合、計測ツールは、露光中に連続する層を位置合わせして、リソグラフィプロセス後に再び位置合わせ性能を再確認するためにリソグラフィツールによって使用される。しかしながら、層間のオーバーレイ誤差(またはパターンレジストレーション誤差)は、不可避であり、製造が満たさなければならない誤差バジェットは、IC設計者によって計算される。デバイス構造のオーバーレイ誤差は、異なる誤差源に起因する可能性があり、例えば、前の露光ツール/計測ツール、現在の露光ツール/計測ツール、下地膜層特性のミスマッチ、結果として堆積膜特性の差となる場合がある計測ツールもしくは処理チャンバ間のマッチング誤差、または基板上に形成される異なる膜層を処理するために利用される処理チャンバのベースライン設定のミスマッチなどによるオーバーレイ誤差である。
限界寸法(CD)の縮小と共に、デバイスのコントロールゲートの幅などの、最小の特徴サイズを有するデバイスを確実に生産するために、デバイス構造の限界層におけるオーバーレイ誤差を最小限に抑え、または排除する必要がある。オーバーレイ誤差の可能性を排除するために、ある特定の膜層を同一基板上に製造するのに専用の単一の処理チャンバが、ツール間製造誤差またはミスマッチを排除しようとして、しばしば要求される。しかしながら、この手法は、しばしばロジスティックの問題を引き起こし、逆に、製造サイクル時間を増大させる。さらに、オーバーレイ誤差に対する膜特性ミスマッチの寄与(すなわち膜屈折率または吸収係数)が単独で誤差バジェットを超える可能性があるという、オーバーレイ仕様がより難しいものとなっている。半導体製造では、各ツールから生成されるミスマッチの変数がある一定の動作限界内に留まるように、使用される製造処理装置は、最小のミスマッチで制御されなければならない。生産ラインの各処理チャンバの動作限界内に留まることができない場合は、異なる製造段階で異なる処理チャンバを利用して処理されるデバイスおよび/またはウエハを容易に喪失あるいは損傷する可能性がある。
したがって、デバイス性能を改善し、かつ予測可能な製品の信頼性、一貫性、および歩留まりを維持するように最小のプロセス変数ミスマッチで生産ラインの処理チャンバのベースラインを修正し、一致させる改善された方法論が必要である。
本開示の実施形態は、処理チャンバの処理チャンバ性能を一致させ、較正する方法論を提供する。一実施形態において、半導体製造プロセスのための処理チャンバを較正する方法は、処理チャンバ内で第1の所定のプロセスを行うステップと、所定のプロセスを行いながら処理チャンバ内に配置された第1のグループのセンサからコントローラに送信される第1の組の信号を収集するステップと、収集された第1の組の信号を分析するステップと、第1のグループのセンサからのセンサ応答をチェックするために、収集された第1の組の信号をコントローラに記憶されたデータベースと比較するステップと、ミスマッチセンサ応答が見つかると、収集された第1の組の信号に基づいてセンサを較正するステップと、引き続き処理チャンバ内で第1の一連のプロセスを行うステップと、一連のプロセスを行いながらセンサからコントローラに送信された第2の組の信号を収集するステップと、を含む。
別の実施形態では、プログラムを記憶するコンピュータ可読ストレージ媒体は、プロセッサによって実行されると、処理チャンバを動作させるための動作を行い、本動作は、処理チャンバ内で第1の所定のプロセスを動作させることによって収集され分析されたセンサ応答に基づいて、処理チャンバ内のセンサを較正することによって、第1のハードウェア較正プロセスを行うステップと、処理チャンバ内で第1の組のプロセスを動作させることによって収集され分析されたセンサ応答に基づいて、処理チャンバ内のセンサを較正することによって、第2のハードウェア較正プロセスを行うステップと、を含む。
さらに別の実施形態では、処理システムは、処理チャンバに結合されたコントローラであって、命令を含むシステムメモリと、命令を実行すると処理チャンバに方法を行わせるように構成されたプロセッサと、を備える、コントローラ、を含み、本方法は、処理チャンバ内で第1の所定のプロセスを行うときに処理チャンバから送信された第1の組の信号を受信するステップと、収集された第1の組の信号を分析するステップと、第1のグループのセンサからのセンサ応答をチェックするために、収集された第1の組の信号をコントローラに記憶されたデータベースと比較するステップと、ミスマッチセンサ応答が見つかると、収集された第1の組の信号に基づいてセンサを較正するステップと、引き続き処理チャンバ内で第1の一連のプロセスを行うステップと、一連のプロセスを行いながらセンサからコントローラに送信された第2の組の信号を収集するステップと、を含む。
本開示の上記の特徴を詳細に理解することができるように、一部が添付図面に示される実施形態を参照することによって、上で要約された本開示のより具体的な説明を行うことがある。しかし、添付された図面は、例示的な実施形態のみを示し、したがって、その範囲を限定すると考えられるべきではなく、他の等しく効果的な実施形態を受入れることができることに留意されたい。
基板に対してプロセスを行うために利用することができるプラズマ処理チャンバの図である。 本開示の一実施形態による、処理チャンバ性能を較正し、所望の規格に一致させるための方法の流れ図である。 本開示の実施形態による、処理チャンバの動作中に受信されたチャンバパラメータを表すトレース線を表す図である。 本開示の実施形態による、処理チャンバの動作中に受信されたチャンバパラメータを表すトレース線を表す図である。 チャンバ較正プロセスが完了した後の、所望の許容範囲/限界で制御された例示的な膜特性を列挙した表である。
理解を容易にするために、各図に共通の同一の要素を指定するために、可能な場合は、同一の参照数字が使用された。一実施形態の要素および特徴は、さらに詳説することなく他の実施形態において有益に組み込まれてもよいことが想定されている。
本開示の実施形態は、処理チャンバの性能を検出し、処理チャンバ性能を製造生産ラインで設定された標準要求に効率的に一致させるための方法論を提供する。本方法論は、ハードウェアマッチング手順およびオンウエハ結果マッチング手順を含む、系統的な検出手順を提供する。提供されるような方法論は、処理チャンバのミスマッチを迅速に較正し、修正するために、ハードウェア設定、ハードウェア実装誤差、ソフトウェア設定、センサ障害、またはプロセスパラメータ設定マッチなどによる、プロセス中に生じたミスマッチ項目を効率的かつ迅速に検出することができる。したがって、一連のマッチング手順を行った後、処理チャンバ性能およびセンサ設定を、生産ラインの他の処理チャンバに使用される標準設定と一致させることができ、したがって、生産ラインの処理チャンバ間のチャンバミスマッチの可能性が排除され、低減し、プロセス精度が向上する。
図1は、以下でさらに記載されるように、選択酸化プロセスを行うのに適した例示的な処理システム132の断面図である。処理システム132は、CENTURA(登録商標)、およびProducer(登録商標)SEまたはProducer(登録商標)GT堆積システムであってもよく、カリフォルニア州サンタクララのアプライドマテリアルズ社からすべて入手可能である。他のメーカから入手可能なものを含む他の処理システムを、本発明を実施するために適合させることができることが想定されている。
処理システム132は、ガスパネル130およびコントローラ110に結合された処理チャンバ100を含む。処理チャンバ100は、一般に内部容積126を画成する頂部124、側面101、および底部壁122を含む。
支持体ペデスタル150は、チャンバ100の内部容積126内に設けられている。ペデスタル150は、アルミニウム、セラミック、および他の適切な材料から製造されてもよい。一実施形態において、ペデスタル150は、窒化アルミニウムなどのセラミック材料によって製造され、このセラミック材料は、ペデスタル150に熱的損傷を与えることなく、プラズマプロセス環境などの高温環境での使用に適した材料である。ペデスタル150は、リフト機構(図示せず)を使用して、チャンバ100の内側で垂直方向に移動することができる。
ペデスタル150は、ペデスタル150上に支持された基板190の温度を制御するのに適した埋め込みヒータ素子170を含むことができる。一実施形態において、ペデスタル150は、電源106からの電流をヒータ素子170に印加することによって抵抗加熱されてもよい。一実施形態において、ヒータ素子170は、ニッケル鉄クロム合金(例えば、INCOLOY(登録商標))シースチューブに包まれたニッケルクロムワイヤで作られてもよい。電源106から供給される電流は、ヒータ素子170によって発生する熱を制御するために、コントローラ110によって調整され、それによって、膜堆積中に基板190およびペデスタル150を任意の適切な温度範囲で実質的に一定の温度に維持する。別の実施形態では、ペデスタル150は、必要に応じて室温に維持されてもよい。さらに別の実施形態では、ペデスタル150は、必要に応じてペデスタル150を室温よりも低い範囲で冷却するために、必要に応じて冷却器(図示せず)を含むこともできる。供給される電流は、ペデスタル150の温度を摂氏約100度〜摂氏約900度、例えば、摂氏約300度〜摂氏約800度で選択的に制御するように調整されてもよい。
ペデスタル150の温度を従来のやり方でモニタするために、熱電対などの温度センサ172が支持体ペデスタル150に埋め込まれてもよい。測定された温度は、コントローラ110によって使用され、ヒータ素子170に供給される電力を制御して基板を所望の温度に維持する。
真空ポンプ102は、チャンバ100の壁101に形成されたポートに結合されている。真空ポンプ102は、処理チャンバ100内の所望のガス圧力を維持するために使用される。また、真空ポンプ102は、後処理ガスおよびプロセスの副生成物をチャンバ100から排気する。
複数の開孔128を有するシャワーヘッド120が基板支持体ペデスタル150の上の処理チャンバ100の頂部124に結合されている。シャワーヘッド120の開孔128は、プロセスガスをチャンバ100に導入するために利用される。開孔128は、異なるプロセス要件に対して様々なプロセスガスの流れを容易にするために、異なるサイズ、数、分布、形状、設計、および直径を有することができる。シャワーヘッド120は、プロセス中に様々なガスを内部容積126に供給することができるガスパネル130に接続されている。プラズマは、シャワーヘッド120を出るプロセス混合ガスから形成され、プロセスガスの熱分解を促進して、結果として基板190の表面191上に材料を堆積させる。
シャワーヘッド120および基板支持体ペデスタル150は、内部容積126内の一対の離間した電極として形成されてもよい。1つまたは複数のRF電源140がマッチング回路138を介してシャワーヘッド120にバイアス電位を供給し、シャワーヘッド120とペデスタル150との間のプラズマの生成を容易にする。あるいは、RF電源140およびマッチング回路138は、シャワーヘッド120、基板支持体ペデスタル150に結合されても、またはシャワーヘッド120および基板支持体ペデスタル150の両方に結合されても、あるいはチャンバ100の外部に配置されたアンテナ(図示せず)に結合されてもよい。一実施形態において、RF電源140は、約30kHz〜約13.6MHzの周波数で約10ワット〜約3000ワットを供給することができる。
任意選択の水蒸気生成(WVG)システム152が、処理チャンバ100内に画成された内部容積126に流体連結する処理システム132に結合されている。WVGシステム152は、O2とH2の触媒反応によって超高純度の水蒸気を生成する。一実施形態において、WVGシステム152は、水蒸気が化学反応によって生成される、触媒で裏打ちされたリアクタまたは触媒カートリッジを有する。触媒は、金属または合金、例えば、パラジウム、白金、ニッケル、それらの組合せ、およびそれらの合金を含むことができる。
コントローラ110は、プロセスシーケンスを制御し、ガスパネル130およびWVGシステム152からのガス流を調整するために利用される中央処理装置(CPU)112、メモリ116、ならびにサポート回路114を含む。CPU112は、産業環境で使用することができる任意の形態の汎用コンピュータプロセッサであってもよい。ソフトウェアルーチンは、メモリ116、例えば、ランダムアクセスメモリ、読み取り専用メモリ、フロッピー、またはハードディスクドライブ、あるいは他の形態のデジタルストレージに記憶されてもよい。サポート回路114は、通常CPU112に結合され、キャッシュ、クロック回路、入力/出力システム、電源などを含むことができる。コントローラ110と、処理システム132の様々な構成要素との間の双方向通信は、信号バス118と総称される多数の信号ケーブルによって処理され、その一部が図1に示されている。
図2は、図1に表された処理チャンバ100などの処理チャンバのベースラインを半導体製造生産ラインにおいて必要とされるレベル(例えば、一致させる必要があるすべての処理チャンバのための製造規格)に一致させるように、処理チャンバのベースラインを決定および/または較正する方法200の一実施形態の流れ図である。処理チャンバ100は、生産ラインに配置された、新規に実装された、および/または新規に組み立てられた処理チャンバであってもよい。あるいは、処理チャンバ100は、プロセス性能が生産ラインの工業規格または設定規格に一致するように較正し、トラブルシュートする必要がある、生産ラインの既存の処理チャンバであってもよい。
方法200は、所定のプロセス、例えば、処理チャンバ100内でBKM(最もよく知られた方法)プロセスを行うことによって、ブロック202で開始する。BKMプロセスは、堆積プロセス、エッチングプロセス、コーティングプロセス、または任意の適切なプロセスであってもよく、ベースライン較正および/またはマッチングプロセスが完了し、対象とする処理チャンバ100が生産基板の処理のために生産ラインに投入されると、この処理チャンバがその後これらのプロセスを行うように構成されている。一例において、ここで行われるBKMプロセスは、CVD堆積プロセス、例えば、アモルファスカーボン層、酸化ケイ素層、シリコン層、窒化ケイ素層、低誘電率材料、または他の適切な材料を形成するように構成された堆積プロセスである。
処理チャンバ100が基板上に単一層を形成するために、単一層堆積プロセスを行うように構成された例では、センサ値の一致およびセンサ応答の一致、ならびにプロセス応答の一致のために、単一層製法が行われるように選択されてもよい。処理チャンバ100が基板上にデュアル層/複合構造を形成するためにデュアル層、複数層、または複合構造堆積プロセスを行うように構成された例では、センサ値の一致およびセンサ応答の一致、ならびにプロセス応答の一致のために、多層製法が連続してまたは繰り返し行われるように選択されてもよい。例えば、基板上に単一層を形成することが望まれる場合、コントローラ110に記憶された単一層製法を使用して、1回の堆積プロセスが行われてもよい。あるいは、基板上に複数層(例えば、所望の厚さに達するまで、基板上に繰り返し形成される第1の層および第2の層を含む3Dメモリゲート用途のための階段構造)を形成することが望まれる場合、コントローラ110に記憶された、選択された複数の異なる堆積製法(例えば、例として階段構造における第1の層を形成するための第1の堆積製法および第2の層を形成するための第2の堆積製法)を使用して、循環させたもしくはループさせた多層製法堆積プロセスが行われてもよい。
ブロック202の動作中に、センサおよび/または検出器、例えば、MFC(マスフローコントローラ)、発光分光法(OES)、RF信号検出器、圧力検出器、または処理チャンバに関連付けられた適切なセンサもしくは検出器がマッチングのために検出されてもよい。動作において、センサは、所望の値設定に一致させるために、図1に表されたコントローラ110などのコントローラに信号を分析のために送信することができる。本開示による処理チャンバ100の動作を制御するために適合させることができるコントローラ110の一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社によって入手可能なAdvanced Process Control(APC)E3(商標)統計的プロセス制御モジュールである。検出されるようなセンサおよびセンサから送信されるような信号は、プロセスパラメータおよびセンサ応答、例えば、ガス流量、プロセス圧力、RF電力信号、基板温度、RFフィードバック信号、イオン濃度、およびブロック202のプロセス中に収集することができる他の適切な信号を含むことができる。ブロック202のサブブロック203において、コントローラ110は、処理チャンバ100の動作中に受信した信号を比較し分析して、センサ値/応答一致手順を行うことができる。
一例において、センサから送信され、コントローラ110によって受信された信号は、データベースライブラリに記憶されたデータと比較され、分析される。信号は、コントローラ110のE3(商標)統計的プロセス制御モジュールによりモニタされ、ダウンロードされ、必要に応じて時間内にまたはオフラインで分析されてもよい。データベースライブラリは、コントローラ110のメモリ116に記憶されていても、または製造設備もしくはEDA(電子設計自動化)システムに記憶された他の統計的プロセス制御(SPC)データベースからのものであってもよい。データベースライブラリに記憶されたデータは、他の処理チャンバにおける過去の処理実行によるチャンバパラメータの履歴値から、または生産において他の処理チャンバがそれらのセンサ値/応答を一致させることができる、生産のために生産ラインに構成された標準の処理チャンバ(例えば、またはGolden Chamberと呼ばれる)から得られてもよい。チャンバパラメータおよびハードウェア設定の標準値(例えば、仕様)は、処理チャンバ内で行われる各プロセスに最も適合するように、チャンバパラメータのこれらの履歴値から決定され、選択されてもよい。
したがって、サブブロック203で受信信号が分析され、比較された後、処理チャンバ100などのターゲット処理チャンバのセンサから偏位およびミスマッチ値/応答を見つけることができる。引き続き、修正/較正プロセスが行われ、処理チャンバ100のセンサの値/応答を所定の標準値に一致させる。
例えば、図3Aおよび図3Bは、ブロック202で、標準の/較正された処理チャンバおよび対象とする処理チャンバ100から、BKM実行中に検出されたセンサのうちの1つの信号のトレース線302、304をそれぞれ表す。図3Aのトレース線302では、標準の/較正された処理チャンバから検出されるような信号は、時間の経過と共に著しい干渉なしにくっきりとした、鮮明な信号を示す。対照的に、図3Bのトレース線304は、円306によって示されるように、プロセスが進行するにつれ著しいノイズが見出されていることを示す。ノイズは、チャンバの不安定性、不正確なチャンバパラメータの設定、センサ障害、欠陥のあるセンサ、チャンバ部品の欠陥、互換性のないチャンバパーツなどから生じことがあり、したがって、最終的には動作中にシステムエラーまたは微粒子の発生につながる可能性がある。したがって、検出されるような信号は、技能者がセンサ誤差および問題を迅速にトラブルシュートし、修正するのに役立つ可能性がある。較正/マッチングプロセスの後、センサから送信された値を、所望の値およびに性能に設定し、調整することができる。
ブロック204では、BKMプロセスが行われた後、DOE(実験計画)スプリットランが行われ、対象とする処理チャンバ100において異なるプロセスウインドウを有するプロセスを実行する。DOEスプリットランは、処理チャンバ100内で行われるプロセスに対してより広いプロセスウインドウを提供することができるプロセスパラメータの異なる設定で処理チャンバ100を動作させたときに、チャンバ性能の変動を異なるセンサから検出するのに役立つ場合がある。
一例において、DOEスプリットランは、典型的には、BKM設定、BKM設定の上下20%、およびBKM設定の上下10%に設定されたプロセスパラメータを含む5つのテストサンプルを含む。DOEスプリットランは、3つ以上の任意の数であってもよいことに留意されたい。DOEスプリットランの後に、対象とする処理チャンバ100のセンサから送信された信号はすべて、サブブロック205で収集され、モニタされ、分析され、データベースに記憶された標準値と比較される。サブブロック205の動作は、テストサンプルの数が異なるサブブロック203の動作と同様である(例えば、BKMプロセス実行のためのサブブロック203における1つのサンプルデータ、およびBKMによるものと比較してより広いプロセスパラメータカバレッジを得るためのサブブロック205における複数のサンプルデータ)。
さらに、サブブロック205の動作中に、センサ間応答の較正が行われる。各スプリットランでは、プロセスパラメータ変更またはセンサ設定に対応する少なくとも1つのセンサ応答が得られるように、プロセスパラメータまたはセンサ設定の少なくとも1つが変更される。本明細書に記載されるようなセンサ応答は、任意の検出信号、チャンバパラメータ値、変数、もしくは任意の検出数などの、任意の適切な形態の結果または応答を含むことができる。必要に応じて2つ以上のプロセスパラメータまたはセンサ設定が変更されてもよいことに留意されたい。
センサ応答が得られた後、センサ応答は、分析され、データベースの所望の標準と比較される。各センサ応答は、各DOEスプリットの主要センサからの標準値(例えば、DOEスプリットで設定された変数)と比較される。ミスマッチが見つかると、欠陥のあるセンサが迅速に発見され、識別される。したがって、対応するセンサ較正またはセンサ交換プロセスが行われ、問題の特定のセンサの性能を較正する、または特定のセンサを交換して所望の標準センサ性能と一致させる。センサ較正手順は、交換または較正のために欠陥のあるセンサを迅速に識別し、処理チャンバ100内で発生する問題、異常、またはエラーを解決するために利用される効率的なトラブルシューティングプロセスである。各センサ応答が比較され、分析され、較正された後、動作204でBKMスプリットプロセスを利用するセンサ較正手順は、完了したと考えられる。
ブロック202および204の動作は、図2に表されるブラケット210によって示されるように、ハードウェアマッチング手順に属することに留意されたい。したがって、ハードウェアエラーの大部分は、センサ障害、チャンバ設定エラーなどのこれらの2つの動作において見出されることがある。ブロック206、208の後述の動作については、図2に表されるブラケット212によって示されるように、オンウエハ結果マッチング手順に属し、これは、チャンバパラメータおよび設定が、対象とする処理チャンバ100内で処理された半導体ウエハ上に形成された膜層から測定されたデータ/結果に基づいて、微調整または調節されることを示す。
ブロック206では、ハードウェア設定およびチャンバパラメータが修正され、較正され、DOE(実験計画)スプリットランが行われ、異なるプロセスウインドウを有するプロセスを実行して、対象とする処理チャンバ100内で異なるレベルの膜特性を有する膜層を形成する。このDOEスプリットランによって、処理チャンバは、所定の異なる膜特性を有する膜層を形成することができ、したがって、同様の膜特性を有する膜層を形成する場合に処理チャンバ100内で行われるプロセスに対してより広いプロセスウインドウを提供することができる。
一例において、DOEスプリットランは、典型的には、BKM設定、BKM設定の上下20%、およびBKM設定の上下10%に設定されたプロセスパラメータで実行されるサンプルを含む5つのテストサンプルを含む。DOEスプリットラン中に処理される基板の数は、3つ以上の任意の数であってもよいことに留意されたい。DOEスプリットランの後、異なるサンプル基板上に形成された膜層はすべて、いくつかの計測ツールによって移送され、一連の測定/検出プロセスを実行して、サンプル基板上に形成された膜層の膜特性を決定する。
引き続き、サブブロック207では、サンプル基板上に形成された膜層から測定されるような膜特性が、統計的プロセス制御モジュールによって分析され、比較される。サブブロック205と同様に、センサ間応答較正が行われ、測定された膜特性を収集し、モニタし、分析し、データベースに記憶された標準値と比較する。各スプリットランにおいて、プロセスパラメータまたはセンサ設定(例えば、変数)の少なくとも1つが、プロセスパラメータの変更またはセンサ設定に対応する少なくとも1つのセンサ応答が得られるように変更される。特定の一例において、DOEスプリットランで検出または変更されるようにここで選択されたセンサは、プロセスを有効にして精密に実行することができる、プロセス性能を正確に検出するために重要なセンサと考えられる。例えば、堆積プロセスが行われる場合、堆積プロセス中にMFCセンサが制御するガス流量は、材料層が堆積され形成された後の膜特性を著しく支配することがある重大な役割をしばしば果たすため、MFCセンサは、しばしば、DOEスプリットランにおいて変えられ、変更され、検出され、含まれるように選択される重要な変数の1つである。重要なセンサの他の例は、圧力センサ、温度センサ、RFセンサなどを含むことができる。
堆積させた材料層の膜特性が測定された後、測定データが分析され、データベースの所望の標準と比較され、各DOEスプリットで設定された各変数(例えば、重要なセンサ)の性能が計算され、モニタされる。測定データの分析は、ミスマッチを効率的に見つけるために、各DOEスプリットで設定された変数から、問題の欠陥のあるセンサを迅速に識別するように、センサ応答からのミスマッチ値を示すことができる。ミスマッチが見つかると、欠陥のあるセンサが迅速に発見され、識別される。したがって、対応するセンサ較正またはセンサ交換プロセスが行われ、問題の特定のセンサの性能を較正する、または特定のセンサを交換して所望の標準センサの性能と一致させる。上で論じたように、センサ較正手順は、交換および修理のために欠陥のあるセンサを迅速に識別し、処理チャンバ100内で発生するプロセスもしくはセンサの問題、異常またはエラーを識別するために利用される効率的なトラブルシューティングプロセスである。DOEスプリットランで処理されたウエハからの測定データに基づいて、各センサ応答が比較され、分析され、較正された後、動作206でBKMスプリットプロセスを利用するセンサ較正手順が完了したと考えられる。
測定される膜特性は、膜厚、膜均一性、屈折率、効率係数、膜応力、湿式エッチング速度(WER)、または乾燥エッチング速度、リソグラフィオーバーレイ、導電率、抵抗率、密度などの少なくとも1つまたは複数を含むことができる。測定データが分析された後、なんらかのデータエラーが見つかると、トラブルシューティングプロセスが行われ、処理チャンバ100内で発生する問題、異常、またはエラーを解決し、さらに処理チャンバ性能を所望の標準範囲に一致させることができる。
ブロック208では、分析されたデータに基づいて、較正プロセスが行われ、処理チャンバおよびBKM製法で設定されたチャンバパラメータならびにプロセスパラメータをそれぞれ修正することができる。較正プロセスは、必要に応じて、単一層製法または多層製法を利用することができる。較正プロセスの後に基板上に形成された結果として得られる膜特性の値は、プロセス精度、マッチング、一貫性、信頼性、および安定性を制御するように、所望の範囲で制御され得る。
図4は、所望の許容範囲内に設定または制御された例示的な膜特性を列挙した表を表す。許容範囲は、チャンバ較正/マッチングプロセスの後でさえも、各チャンバ条件からのわずかな変動に起因するプロセス偏差に対するソフトリミットを可能にする。例えば、DOEスプリットランによるサンプル基板から得られた各測定データは、所望の許容範囲内で、例えば、膜特性に対して上限および下限が設定されたターゲット値のある一定のパーセンテージ内で制御されてもよい。膜特性のこれらの上限および下限は、履歴データの再調査に基づいて設定される。限界を超えると、ソフトウェアシステムにおいて視覚信号などのアラートの形態の通知を、エンジニアまたはFAB自動化システムに送信することができ、さらなるチャンバ較正/マッチングプロセスを要求するために、較正プロセス中のまたは較正プロセス後の処理チャンバにおける問題を通知する。
DOEスプリットランによるサンプル基板からの膜特性がすべて、図4の表で設定された所望の範囲/限界内に入った後、ブラケット210で識別されるハードウェアマッチング手順および図2のブラケット212で識別されるオンウエハ結果マッチング手順を含む、全体的なチャンバマッチング/較正プロセスが完了したと考えられ、マッチングプロセスが成就される。
図2のハードウェアマッチング手順210およびオンウエハ結果マッチング手順212は、完了しているが、なんらかのミスマッチが処理チャンバ100に依然として存在する場合は、追加の情報を得るために追加の1組のチャンバセンサが処理チャンバ100に据え付けられてもよい。この追加の1組のチャンバセンサは、処理チャンバ100内に存在するセンサとは異なっていてもよい。あるいは、追加の1組のチャンバセンサは、処理チャンバ100内に既に存在するセンサと同様であってもよいが、より高度の制御および検出を行う。例えば、従来のMFCが流量欠陥を検出することができない場合は、より高い感度を有するデジタルMFCが任意選択で利用され、チャンバ較正およびマッチングのために問題の項目の診断を支援することができる。追加の1組のチャンバセンサが処理チャンバ100内に据え付けられた後、BKMプロセスが再度行われ、処理チャンバ100内のコントローラ110に信号を送信することができる。コントローラ110は、その場合、受信した信号およびセンサ応答を再び分析して、必要に応じて処理チャンバ100またはBKMプロセスに微調整または調節されるべき、欠陥のあるセンサを決定し、迅速に識別する。
本開示の実施形態は、処理チャンバにおけるベースラインを決定し、処理チャンバ性能を製造生産ラインにおいて設定された標準要求に効率的に一致させるための方法論を提供する。本方法論におけるハードウェアマッチング手順は、オンウエハ結果マッチング手順と共に、プロセス中に生じたミスマッチ項目を効率的かつ迅速に識別し、得られたセンサ応答に基づいて、欠陥のあるセンサを迅速に識別し、処理チャンバにおけるミスマッチを較正および修正する。したがって、処理チャンバ間のチャンバ性能ミスマッチから生じるプロセス偏差の可能性が、効率的に排除され、低減され、したがってプロセス精度を向上させることができる。
上記の技術は、コンピュータ可読ストレージ媒体として表現されてもよい。第1の例では、プログラムを記憶するコンピュータ可読ストレージ媒体は、プロセッサによって実行されると、処理チャンバを動作させるための動作を行い、本動作は、処理チャンバ内で第1の所定のプロセスを動作させることによって収集され分析されたセンサ応答に基づいて、処理チャンバ内のセンサを較正することによって、第1のハードウェア較正プロセスを行うステップと、処理チャンバ内で第1の組のプロセスを動作させることによって収集され分析されたセンサ応答に基づいて、処理チャンバ内のセンサを較正することによって、第2のハードウェア較正プロセスを行うステップと、を含む。
第2の例では、第1の例のコンピュータ可読ストレージ媒体は、処理チャンバ内にそれぞれ配置されたグループ内の各基板に対して第2の組のプロセスを行った基板グループ上に形成された膜層から収集され分析されたデータに基づいて、第1の所定のプロセスにおいて設定されたプロセスパラメータまたは処理チャンバ内のセンサを調節することによって、オンウエハ結果較正プロセスを行うステップを、さらに含むことができる。
第3の例では、第1の例のコンピュータ可読ストレージ媒体の第1の組のプロセスは、第1の所定のプロセスで設定されたプロセスパラメータ、第1の所定のプロセスで設定されたプロセスパラメータの上下20%、および第1の所定のプロセスで設定されたプロセスパラメータの上下10%を含む5つのプロセスをさらに含むことができる。
第4の例では、第2の例のコンピュータ可読ストレージ媒体の第2の組のプロセスは、第1の所定のプロセスで設定されたプロセスパラメータ、第1の所定のプロセスで設定されたプロセスパラメータの上下20%、および第1の所定のプロセスで設定されたプロセスパラメータの上下10%を含む5つのプロセスをさらに含むことができる。
第5の例では、第1の例のコンピュータ可読ストレージ媒体は、データ比較および分析のために内部に記憶されたデータライブラリーを有するソフトウェアをさらに備えることができる。
上記の技術は、添付の特許請求の範囲によって限定されることなく、例示されるように表現されることがある。
前述の事項は、本開示の実施形態を対象としているが、本開示の他のおよびさらなる実施形態が本開示の基本的な範囲から逸脱することなく考案されてもよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 半導体製造プロセスのための処理チャンバを較正するための方法であって、
    処理チャンバ内で第1の所定のプロセスを行うステップと、
    前記所定のプロセスを行いながら前記処理チャンバ内に配置された第1のグループのセンサからコントローラに送信された第1の組の信号を収集するステップと、
    前記収集された第1の組の信号を分析するステップと、
    前記第1のグループのセンサからのセンサ応答をチェックするために、前記収集された第1の組の信号を前記コントローラに記憶されたデータベースと比較するステップと、
    ミスマッチセンサ応答が見つかると、前記収集された第1の組の信号に基づいてセンサを較正するステップと、
    引き続き前記処理チャンバ内で第1の一連のプロセスを行うステップと、
    前記一連のプロセスを行いながら前記センサから前記コントローラに送信された第2の組の信号を収集するステップと、
    を含む方法。
  2. 前記処理チャンバ内で移送される基板のグループに対して第2の一連のプロセスをそれぞれ行うステップと、
    異なる膜特性で前記グループ内の各基板上に膜層を形成するステップと、
    をさらに含む、請求項1に記載の方法。
  3. 各基板に配置された各膜層の膜特性を測定するステップと、
    前記第1のグループのセンサからのセンサ応答をチェックするために、前記膜特性を前記コントローラに記憶された前記データベースと比較するステップと、
    ミスマッチセンサ応答が見つかると、前記測定された膜特性に基づいて前記処理チャンバ内の前記第1のグループのセンサを較正するステップと、
    をさらに含む、請求項2に記載の方法。
  4. 各基板に配置された各膜層の膜特性を測定するステップと、
    ミスマッチセンサ応答が見つかると、前記測定された膜特性に基づいて前記第1の所定のプロセスにおいて設定されたプロセスパラメータを較正するステップと、
    をさらに含む、請求項2に記載の方法。
  5. 前記第1の所定のプロセスが前記処理チャンバに対して設定されたBKMプロセスである、請求項1に記載の方法。
  6. 前記第1の一連のプロセスが前記第1の所定のプロセスで設定された前記プロセスパラメータ、前記第1の所定のプロセスで設定された前記プロセスパラメータの上下20%、および前記第1の所定のプロセスで設定された前記プロセスパラメータの上下10%を含む5つのプロセスを含む、請求項1に記載の方法。
  7. 前記第2の一連のプロセスが前記第1の所定のプロセスで設定された前記プロセスパラメータ、前記第1の所定のプロセスで設定された前記プロセスパラメータの上下20%、および前記第1の所定のプロセスで設定された前記プロセスパラメータの上下10%を含む5つのプロセスを含む、請求項2に記載の方法。
  8. 前記基板上に形成された前記膜層から測定された前記膜特性が、膜厚、膜均一性、屈折率、効率係数、膜応力、湿式エッチング速度(WER)、または乾燥エッチング速度、リソグラフィオーバーレイ、導電率、抵抗率、密度の少なくとも1つを含む、請求項3に記載の方法。
  9. 前記第1の所定のプロセスが単一層製法または多層製法を含む、請求項1に記載の方法。
  10. 前記処理チャンバ内に第2のグループのチャンバセンサを実装するステップ、
    をさらに含む、請求項3に記載の方法。
  11. 前記処理チャンバ内で前記第1の所定のプロセスを行うステップと、
    前記第2のグループのセンサから送信された第3の組の信号を収集するステップと、
    をさらに含む、請求項10に記載の方法。
  12. 前記第2のグループのセンサからのセンサ応答をチェックするために、前記コントローラによって前記第3の組の前記信号を分析するステップと、
    前記センサ応答を前記コントローラに記憶された前記データベースと比較するステップと、
    ミスマッチが見つかると、前記処理チャンバ内の前記第2のグループのセンサを較正するステップと、
    をさらに含む、請求項11に記載の方法。
  13. 処理チャンバに結合されたコントローラであって、命令を含むシステムメモリ、および前記命令を実行すると前記処理チャンバに方法を行わせるように構成されたプロセッサを備える、コントローラ、を備える、処理システムにおいて、前記方法が、
    前記処理チャンバ内で第1の所定のプロセスを行うときに処理チャンバから送信された第1の組の信号を受信するステップと、
    前記収集された第1の組の信号を分析するステップと、
    前記第1のグループのセンサからのセンサ応答をチェックするために、前記収集された第1の組の信号を前記コントローラに記憶されたデータベースと比較するステップと、
    ミスマッチセンサ応答が見つかると、前記収集された第1の組の信号に基づいてセンサを較正するステップと、
    引き続き前記処理チャンバ内で第1の一連のプロセスを行うステップと、
    前記一連のプロセスを行いながら前記センサから前記コントローラに送信された第2の組の信号を収集するステップと、
    を含む、処理システム。
  14. 前記第1の組のプロセスサンプルが、前記第1の所定のプロセスによって設定されたプロセスパラメータ、前記第1の所定のプロセスによって設定された前記プロセスパラメータの上下約20%に設定されたプロセスパラメータ、および前記第1の所定のプロセスで設定された前記プロセスパラメータの上下約10%に設定されたプロセスパラメータで実行される、請求項13に記載の処理システム。
  15. 前記第2の組のプロセスサンプルが、前記第1の所定のプロセスによって設定されたプロセスパラメータ、前記第1の所定のプロセスによって設定された前記プロセスパラメータの上下約20%に設定されたプロセスパラメータ、および前記第1の所定のプロセスで設定された前記プロセスパラメータの上下約10%に設定されたプロセスパラメータで実行される、請求項13に記載の処理システム。
JP2018500736A 2015-10-05 2016-08-31 半導体装置のためのチャンバ性能マッチングのための方法論 Active JP6860547B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/875,673 2015-10-05
US14/875,673 US10192763B2 (en) 2015-10-05 2015-10-05 Methodology for chamber performance matching for semiconductor equipment
PCT/US2016/049584 WO2017062115A1 (en) 2015-10-05 2016-08-31 Methodology for chamber performance matching for semiconductor equipment

Publications (2)

Publication Number Publication Date
JP2018533196A true JP2018533196A (ja) 2018-11-08
JP6860547B2 JP6860547B2 (ja) 2021-04-14

Family

ID=58448034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018500736A Active JP6860547B2 (ja) 2015-10-05 2016-08-31 半導体装置のためのチャンバ性能マッチングのための方法論

Country Status (6)

Country Link
US (1) US10192763B2 (ja)
JP (1) JP6860547B2 (ja)
KR (1) KR20180051480A (ja)
CN (1) CN108140588B (ja)
TW (1) TWI713581B (ja)
WO (1) WO2017062115A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230117323A (ko) 2022-01-25 2023-08-08 주식회사 히타치하이테크 장치 진단 장치, 반도체 제조 장치 시스템 및 반도체 장치 제조 시스템

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN107574427A (zh) * 2017-09-14 2018-01-12 德淮半导体有限公司 用于化学气相沉积过程的装置和方法
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
CN109935519B (zh) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 提高栅极绝缘层成膜均匀性的方法
US11486927B2 (en) * 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
US11961030B2 (en) * 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
US20230259112A1 (en) * 2022-01-27 2023-08-17 Applied Materials, Inc. Diagnostic tool to tool matching and comparative drill-down analysis methods for manufacturing equipment
US20230280736A1 (en) * 2022-03-02 2023-09-07 Applied Materials, Inc. Comprehensive analysis module for determining processing equipment performance
CN116387208B (zh) * 2023-06-02 2023-08-18 合肥喆塔科技有限公司 基于阈值管控的腔室匹配分析方法、系统、设备及介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100677012B1 (ko) * 1997-09-17 2007-01-31 동경 엘렉트론 주식회사 전기 임피던스 매칭 시스템 및 방법
EP1073777A2 (en) * 1998-04-14 2001-02-07 CVD Systems, Inc. Film deposition system
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7262865B2 (en) 2004-02-26 2007-08-28 Applied Materials, Inc. Method and apparatus for controlling a calibration cycle or a metrology tool
US7153709B1 (en) 2004-08-31 2006-12-26 Advanced Micro Devices, Inc. Method and apparatus for calibrating degradable components using process state data
US7275013B1 (en) * 2004-09-20 2007-09-25 University Of Notre Dame Duloc Plasma anemometer and method for using same
US9420603B2 (en) * 2006-09-08 2016-08-16 Qualcomm Incorporated Recovery from resource mismatch in a wireless communication system
US8195418B2 (en) * 2007-04-25 2012-06-05 Brooks Automation, Inc. Pressure measurement instrument and method
US7813895B2 (en) 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
KR101708077B1 (ko) * 2009-06-30 2017-02-17 램 리써치 코포레이션 프로세싱 챔버의 예측 예방 보전을 위한 방법 및 장치
KR101451772B1 (ko) * 2009-11-02 2014-10-16 엘아이지에이디피 주식회사 화학기상증착장치 및 화학기상증착장치의 온도제어방법
US8173451B1 (en) * 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US8193007B1 (en) * 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
US8773019B2 (en) * 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
JP2013212018A (ja) * 2012-03-30 2013-10-10 Nikon Corp 駆動装置、ステージ装置、及び露光装置
CN103592979B (zh) * 2012-08-15 2018-09-04 盛美半导体设备(上海)有限公司 清洗液流量控制系统及控制方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230117323A (ko) 2022-01-25 2023-08-08 주식회사 히타치하이테크 장치 진단 장치, 반도체 제조 장치 시스템 및 반도체 장치 제조 시스템

Also Published As

Publication number Publication date
CN108140588A (zh) 2018-06-08
CN108140588B (zh) 2021-09-07
TWI713581B (zh) 2020-12-21
WO2017062115A1 (en) 2017-04-13
JP6860547B2 (ja) 2021-04-14
TW201723221A (zh) 2017-07-01
US10192763B2 (en) 2019-01-29
US20170098565A1 (en) 2017-04-06
KR20180051480A (ko) 2018-05-16

Similar Documents

Publication Publication Date Title
JP6860547B2 (ja) 半導体装置のためのチャンバ性能マッチングのための方法論
TWI410822B (zh) 先進製程控制方法和系統
US20100076729A1 (en) Self-diagnostic semiconductor equipment
KR101311640B1 (ko) 웨이퍼 균일성 제어에서의 동적 계측 샘플링을 이용한 웨이퍼 처리 방법
US7502660B2 (en) Feature dimension deviation correction system, method and program product
US7502709B2 (en) Dynamic metrology sampling for a dual damascene process
US7567700B2 (en) Dynamic metrology sampling with wafer uniformity control
US20070055403A1 (en) Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7346412B2 (en) Manufacturing method of semiconductor integrated circuit device
JP2006511958A (ja) モデル予測の動的適応サンプリングレート
JP2009531866A5 (ja)
KR20070052746A (ko) 모델 피드백 업데이트를 갖는 격리/내포 캐스캐이딩 트림제어
JP2009075110A (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
US11378426B2 (en) System and method for monitoring sensor linearity as part of a production process
US20090112520A1 (en) Self-aware semiconductor equipment
JP2009295658A (ja) 半導体製造装置の校正方法、ならびに半導体装置の製造システムおよび製造方法
JP2009521800A (ja) 情報信憑性に基づく改良された状態推定
US7153709B1 (en) Method and apparatus for calibrating degradable components using process state data
JP2005033228A (ja) プラズマ処理装置および処理方法
Kurakula et al. Applications of Data Mining in Integrated Circuits Manufacturing
IE83920B1 (en) A method of fault detection in manufacturing equipment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210326

R150 Certificate of patent or registration of utility model

Ref document number: 6860547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250