CN108140588B - 用于半导体设备的匹配腔室性能的方法 - Google Patents

用于半导体设备的匹配腔室性能的方法 Download PDF

Info

Publication number
CN108140588B
CN108140588B CN201680033926.8A CN201680033926A CN108140588B CN 108140588 B CN108140588 B CN 108140588B CN 201680033926 A CN201680033926 A CN 201680033926A CN 108140588 B CN108140588 B CN 108140588B
Authority
CN
China
Prior art keywords
chamber
signals
sensor
sensors
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680033926.8A
Other languages
English (en)
Other versions
CN108140588A (zh
Inventor
路雪松
张�林
安德鲁·V·勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108140588A publication Critical patent/CN108140588A/zh
Application granted granted Critical
Publication of CN108140588B publication Critical patent/CN108140588B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37008Calibration of measuring system, probe, sensor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45032Wafer manufacture; interlock, load-lock module
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50388Integrated loader, shuttle transfer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施方式提供匹配及校准处理腔室中的处理腔室性能的方法。在一个实施方式中,用于校准用于半导体制造处理的处理腔室的方法包含以下步骤:在处理腔室中执行第一预定处理,在执行预定处理的同时收集第一组信号(第一组信号由设置在处理腔室中的第一组传感器传输至控制器),分析所收集的第一组信号,将所收集的第一组信号与储存在控制器中的数据库比较以检查来自第一组传感器的传感器响应,当发现失配传感器响应时基于所收集的第一组信号来校准传感器,随后在处理腔室中执行第一系列处理,及在执行系列处理的同时收集第二组信号(第二组信号由传感器传输至控制器)。

Description

用于半导体设备的匹配腔室性能的方法
技术领域
本发明的实施方式大体上相关于检测处理腔室中的处理性能的方法;更确切地说,是一种用于检测处理腔室中的处理性能来为半导体制造及工厂管理做腔室至腔室匹配的方法。
背景技术
在集成电路(IC)或芯片制造中,由芯片设计者来创造代表芯片的不同层的图案。由这些图案创造一系列的可重复使用的掩模或光掩模,以在制造处理期间转移每个芯片层的设计于半导体基板上。掩模图案产生系统使用精确激光或电子束以在对应的掩模上反映芯片每层的设计。之后很像摄影底片地使用掩模以转移每层的电路图案到半导体基板上。使用一系列处理来建立这些层,并且这些层转变成包含每个完成的芯片的微型晶体管及电路。典型地,通过一系列平版印刷术处理步骤来制造半导体基板上的装置,在一系列平板印刷术处理步骤中由多个覆盖层形成装置,多个覆盖层中的每一个覆盖层具有各自的图案。一般来说,使用一组15至100个掩模来构造芯片且这组掩模可重复使用。
在一个层及覆盖前一个层的下一层间,必须对齐所述一个层及所述下一层的各自的图案。可通过计量工具得到对齐掩模的测量,所述计量工具之后由平版印刷术工具使用以在曝光期间对齐随后的层并再次在平版印刷术处理后重新检查对齐的性能。然而,层之间的重叠误差(overlay errors)(或图案对正误差(pattern registration errors))是不可避免的,且制造必须满足的误差范围由IC设计者计算。装置结构的重叠误差可源于不同的误差来源,例如来自先前的曝光工具/计量工具及目前的曝光工具/计量工具的重叠误差、底层膜性质失配、可导致所沉积的膜的性质差异的计量工具或处理腔室间的匹配误差、或用以处理在基板上形成的不同膜层的处理腔室的失配的基线(baseline)设定及诸如此类。
随着关键尺寸(critical dimensions)(CD)缩小,装置结构的关键层的重叠误差必须为最小或被消除,以可靠地生产具有最小特征尺寸(如装置中的控制栅极的宽度)的装置。为了消除重叠误差的可能性,经常要求专用于制造特定膜层于相同基板上的单一处理腔室,而尝试消除工具至工具的制造误差或失配。然而,此方法常造成逻辑问题并不利地增加制造周期时间。此外,重叠的规格已变得更加具有挑战性;膜性质失配对重叠误差的作用(即,膜折射率或消光系数)可能会单独超过误差范围。在半导体制造中,必须以最小的失配来控制所使用的生产处理设备,使得生产处理设备的由每个工具产生的变量维持在特定操作限制内。不能将在生产线中的每个处理腔室中维持在操作限制内可轻易在不同制造阶段导致利用不同处理腔室处理的装置及/或晶片的损失或损害。
因此,存在对具有最小的处理变量失配(variable mismatch)的校正及匹配生产线中处理腔室的基线的改善的方法的需求,以改善装置性能并维持可预测的产品可靠度、一致性及产量。
发明内容
本发明的实施方式提供匹配及校准处理腔室中的处理腔室性能的方法。在一个实施方式中,用于校准用于半导体处理制造的处理腔室的方法包含以下步骤:在处理腔室中执行第一预定处理;在执行预定处理的同时收集第一组信号,第一组信号由设置在处理腔室中的第一组传感器传输至控制器;分析所收集的第一组信号;将所收集的第一组信号与储存于控制器中的数据库比较,以检查来自第一组传感器的传感器响应;当发现失配传感器响应时,基于所收集的第一组信号来校准传感器;随后在处理腔室中执行第一系列处理;及在执行系列处理的同时收集第二组信号,第二组信号由传感器传送至控制器。
在另一实施方式中,有一种计算机可读存储介质,所述计算机可读存储介质储存程序,当由处理器执行所述程序时,所述程序执行用于操作处理腔室的操作,所述操作包含以下步骤:通过基于由在处理腔室中操作第一预定处理而收集及分析的传感器响应来校准处理腔室中的传感器而执行第一硬件校准处理;及通过基于由在处理腔室中操作第一预定处理而收集及分析的传感器响应来校准处理腔室中的传感器而执行第二硬件校准处理。
在又一实施方式中,一种处理系统包含耦接至处理腔室的控制器,控制器包含含有指令的系统存储器及处理器,处理器经配置以在执行指令时使处理腔室执行方法,所述方法包含以下步骤:当在处理腔室中执行第一预定处理时接收从处理腔室传输的第一组信号;分析所收集的第一组信号;将所收集的第一组信号与储存于控制器中的数据库比较以检查来自第一组传感器的传感器响应;当发现失配传感器响应时,基于所收集的第一组信号来校准传感器;随后在处理腔室中执行第一系列处理;及在执行系列处理的同时收集第二组信号,第二组信号由传感器传输至控制器。
附图说明
为了可详细理解本发明上述特征的方式,可通过参考实施方式具有对上文所简要总结的本发明的更具体的描述,在附图中图示实施方式中的一些。然而,应注意到附图仅图示示例性实施方式并因此不被视为限制本发明的范围,可承认其他同等有效的实施方式。
图1描绘用于在基板上执行处理的等离子体处理腔室;
图2为根据本发明的实施方式的用于校准及匹配处理腔室性能至期望标准的方法的流程图;
图3A至图3B根据本发明的实施方式来描绘轨迹线,所述轨迹线描绘在处理腔室的操作期间所接收到的腔室参数;及
图4描绘表格,所述表格列出在完成腔室校准处理后控制在期望容差/限制内的示例性的膜性质。
为了助于了解,在可能的情况下已使用相同参考数字,以指示附图共有的相同元件。设想可有益地将一个实施方式的元件及特征整合至其他实施方式中而无须进一步的描述。
具体实施方式
本发明的实施方式提供用于检测处理腔室中的性能及有效匹配处理腔室性能至制造生产线中设定的标准要求的方法。所述方法提供系统检测过程,所述系统检测过程包含硬件匹配过程及晶片上结果匹配过程。所提供的方法可有效且快速检测在处理期间发生的失配项目(不论失配项目是源自于硬件设定、硬件实施误差、软件设定、传感器故障或处理参数设定匹配或诸如此类),以便快速校准及改正处理腔室中的失配。因此,在执行一系列匹配过程后,可将处理腔室性能及传感器设定匹配至用于生产线中其他处理腔室的标准设定,因此消除及减少在生产线中的处理腔室间的腔室失配的可能性并提高处理准确度。
如下文所进一步描述地,图1是示例性的适于执行选择性氧化处理的处理系统132的截面图。处理系统132可为
Figure GDA0003169608070000041
SE或
Figure GDA0003169608070000042
GT沉积系统,所有这些上述系统皆可自加利福尼亚州圣塔克拉拉市的应用材料公司得到。设想可调整其他处理系统以实施本发明,所述其他处理系统包含可从其他制造商得到的系统。
处理系统132包含耦接至气体面板130的处理腔室100及控制器110。处理腔室100一般包含界定内部空间126的顶部124、侧壁101及底壁122。
在腔室100的内部空间126中提供支撑底座150。可由铝、陶瓷及其他合适材料来制造支撑底座150。在一个实施方式中,由陶瓷材料(如氮化铝)来制造底座150,所述陶瓷材料是用于在高温环境(如等离子体处理环境)中使用而不会引发对底座150的热损伤的材料。可使用升举机构(未示出)来在腔室100内的垂直方向中移动底座150。
底座150可包含适于控制被支撑于底座150上的基板190的温度的嵌入式加热器元件170。在一个实施方式中,可通过施加来自电源106的电流至加热器元件170来电阻加热底座150。在一个实施方式中,加热器元件170可由封装于镍铁铬合金(例如
Figure GDA0003169608070000043
)护管(sheath tube)中的镍铬丝制造。通过控制器110来调节由电源106供应的电流以控制由加热器元件170产生的热,从而在膜沉积期间在任何合适的温度范围内维持基板190及底座150于基本恒定的温度。在另一实施方式中,底座150可根据需要维持于室温。在又一实施方式中,底座150还可根据需要包含冷却器(未展示),以根据需要将底座150冷却至低于室温的范围。可调整供应的电流以选择性地控制底座150的温度在约100摄氏度至约900摄氏度之间(如在约300摄氏度至约800摄氏度之间)。
可将温度传感器172(如热电偶)嵌入支撑底座150中以用方便的方式监测底座150的温度。控制器110使用所测量的温度以控制供应到加热器元件170的功率,以将基板维持在期望温度。
将真空泵102耦接至在腔室100的侧壁101中形成的口。使用真空泵102以在处理腔室100中维持期望气体压力。真空泵102还从腔室100排出后处理气体及处理的副产品。
具有多个孔128的喷头120被耦接至基板支撑底座150上方的处理腔室100的顶部124。使用喷头120的孔128以将处理气体引入至腔室100中。孔128可具有不同尺寸、数量、分布、形状、设计及直径,以助于针对不同处理需求的各种处理气体的流动。将喷头120连接至气体面板130,气体面板130允许在处理期间将各种气体供应至内部空间126。由离开喷头120的处理气体混合物形成等离子体以强化处理气体的热分解,导致材料沉积于基板190的表面191上。
喷头120及基板支撑底座150可在内部空间126中形成为一对间隔开的电极。一个或多个RF电源140通过匹配网络138来提供偏置电位给喷头120,以助于在喷头120及底座150间产生等离子体。可选地,可将RF电源140及匹配网络138耦接至喷头120、基板支撑底座150或耦接至喷头120及基板支撑底座150两者或耦接至设置在腔室100外部的天线(未示出)。在一个实施方式中,RF电源140可以约30kHz至约13.6MHz的频率提供约10瓦特及约3000瓦特。
可选的水蒸气产生(WVG)系统152耦接至处理腔室132,处理腔室132流体连通至界定在处理腔室100中的内部空间126。WVG系统152通过O2及H2的催化反应的方法来产生超高纯度的水蒸气。在一个实施方式中,WVG系统152具有催化剂衬里反应器(catalyst-linedreactor)或催化剂筒(catalyst cartridge),其中水蒸气通过化学反应方式产生。催化剂可包含金属或合金,如钯、铂、镍、上述材料的结合及上述材料的合金。
控制器110包含用于控制处理顺序及调节来自气体面板130及WVG系统152的气流的中央处理单元(CPU)112、存储器116及支撑电路114。CPU 112可为任何形式的可在工业环境中使用的通用计算机处理器。可将软件例程储存于存储器116中,存储器116例如随机存取存储器、只读存储器、软盘、或硬盘驱动或其他形式的数字存储。支撑电路114通常耦接到CPU 112,并且支撑电路可包含高速缓存、时钟电路、输入/输出系统、电源及诸如此类。通过多个信号电缆(统称为信号总线118)(其中一些在图1中图示)来处理在控制器110及处理系统132的各种部件间的双向通信。
图2为方法200的一个实施方式的流程图,方法200用于确定及/或校准处理腔室(如在图1中绘制的处理腔室100)的基线,以在半导体制造生产线中将处理腔室的基线匹配至所需水平(例如,用于所有处理腔室的需要被匹配至的制造标准)。腔室100可为新实施(new implement)及/或放置在生产线中的新组装的处理腔室。可选地,处理腔室100可为生产线中现有的处理腔室,所述现有的处理腔室的处理性能被要求校准及被要求检修以匹配生产线中的工业或设定标准。
方法200通过执行预定处理而开始于方框202,所述预定处理例如在处理腔室100中的BKM(最著名的方法)处理。BKM处理可为沉积处理、蚀刻处理、涂覆处理或当完成基线校准及/或匹配处理且处理腔室被释放至用于处理产品基板的生产线时感兴趣的处理腔室100经配置而后续执行的任何合适的处理。在一个范例中,此处执行的BKM处理为CVD沉积处理,如经配置以形成无定形碳层、氧化硅层、硅层、氮化硅层、低介电常数(low-k)材料或其他合适材料的沉积处理。
在处理腔室100经配置以执行单一层沉积处理以在基板上形成单一层的范例中,可选择单一层制作方法来为传感器值匹配、传感器响应匹配及处理响应匹配而执行。在处理腔室100经配置以执行双层、多层或复合结构沉积处理以在基板上形成双层/复合结构的范例中,可选择多层制作方法来为传感器值匹配、传感器响应匹配及处理响应匹配而顺序地或重复地执行。举例而言,当期望在基板上形成单一层时,可使用储存于控制器110中的单一层制作方法以执行一次沉积处理。可选地,当期望在基板上形成多层(例如,用于3D存储器栅极应用(3D memory gate)的阶梯结构,所述阶梯结构包含在基板上重复形成的第一层及第二层,直到达到期望厚度才停止)时,可使用储存于控制器110中的经选择的多个不同沉积制作方法(例如,在作为范例的阶梯结构中用于形成第一层的第一沉积制作方法及用于形成第二层的第二沉积制作方法)来执行循环或回环多层制作方法沉积处理。
在方框202的操作期间,可为匹配而检测如MFC(质量流控制器)、光发射光谱(OES)、RF信号检测器、压力检测器或相关于处理腔室的合适的传感器或检测器的传感器及/或检测器。在操作中,为了用于至期望值设定的匹配的分析,传感器可传输信号至控制器(如图1所绘制的控制器110)。根据本发明可被调整以控制处理腔室100的操作的控制器110的一个范例是可自加利福尼亚州圣塔克拉拉市的应用材料公司得到的先进处理控制(APC)E3TM统计处理控制模块。检测的传感器及自传感器传送的信号可包含处理参数及传感器响应,如气体流速、处理压力、RF功率信号、基板温度、RF反馈信号、离子浓度及其他可在方框202处理期间收集的合适的信号。在方框202中的子方框203处,控制器110可比较及分析在处理腔室100的操作期间所接收到的信号,以执行传感器值/响应匹配过程。
在一个范例中,把由控制器110接收的由传感器传输的信号与储存在数据库藏中的资料做比较与分析。可由控制器110中的E3TM统计处理控制模块监测及下载信号,并且根据需要即时(in-time)或离线分析这些信号。可将数据库藏储存于控制器110的存储器116中,或数据库藏来自储存于制造设施或EDA(电子设计自动化)系统中的其他统计处理控制(SPC)数据库。可从腔室参数的历史数值(来自其他处理腔室的过去的处理执行)或从经配置在生产线中用于生产的允许生产中的其他处理腔室匹配所述其他处理腔室的传感器值/响应至标准处理腔室的标准处理腔室(例如,或称为金腔室(Golden Chamber))来得到储存于数据库中的资料。可自腔室参数的这些历史数值来确定及选择腔室参数的标准值(例如,规格)及硬件设定,以最好地适合在处理腔室中执行的每个处理。
因此,在于子方框203处分析及比较所接收的信号后,可发现来自目标处理腔室(如处理腔室100)之传感器的偏差及失配值/响应。随后地,接着执行改正/校准处理以将处理腔室100的传感器的值/响应匹配至预定的标准值。
举例而言,图3A及图3B描绘在方框202处,于BKM执行期间,分别从标准/经校准处理腔室及感兴趣的处理腔室100检测的传感器之一的信号的轨迹线302及轨迹线304。在图3A的轨迹线302中,从标准/经校准处理腔室检测到的信号指示随时间推移没有显著干扰的轮廓鲜明、清晰的信号。相反地,图3B中的轨迹线304指示当处理进行时所发现的显著噪音(如圆圈306所示)。噪音可来自腔室的不稳定性、不正确的腔室参数设定、传感器故障、有缺陷的传感器、腔室部件缺陷、不相容的腔室部分及诸如此类,因而最终导致系统错误(error)或可能在操作期间产生颗粒。因此,所检测到的信号可帮助技术人员快速检修及校正传感器错误及问题。在校正/匹配处理后,由传感器传输的值可被设定及被调整为期望的值及性能。
在方框204处,在执行BKM后,执行DOE(实验设计)分离操作以用感兴趣的处理腔室100的不同处理窗口来执行处理。当以处理参数的不同设定(这些不同设定可提供更宽的用于在处理腔室100中执行的处理的处理窗口)操作处理腔室100时,DOE分离操作可帮助检测来自不同传感器的腔室性能的改变。
在一个范例中,DOE分离操作典型地包含5个测试样本,5个测试样本包含在BKM设定中设定的处理参数、高于BKM设定20%的处理参数、低于BKM设定20%的处理参数、高于BKM设定10%的处理参数及低于BKM设定10%的处理参数。注意到DOE分离操作可为任何大于2的数量。在DOE分离操作后,所有从感兴趣的处理腔室100的传感器传输的信号接着被收集、监测、分析,及在子方框205中将这些信号与储存在数据库中的标准值比较。子方框205中的操作与子方框203中的操作相似,但测试样本数量不同(例如,子方框203中用于BKM处理操作的的一个样本数据及子方框205中用于得到相较于来自BKM的处理参数范围的更宽的处理参数范围的多个样本数据)。
此外,在子方框205中的处理期间,执行传感器至传感器响应校准。在每个分离实验中,改变处理参数或传感器设定中的至少一个,以便将得到对应于处理参数变化或传感器设定的至少一个传感器响应。如本文所描述的传感器响应可包含任何所检测到的信号、结果或任何合适形式的响应,如腔室参数值、变量或任何所检测到的数字。注意到可根据需要改变超过一个的处理参数或传感器设定。
在得到传感器响应后,分析传感器响应及将传感器响应与数据库中的期望标准比较。将每个传感器响应与来自每个DOE分离实验中的原有(primary)传感器的标准值(例如,在DOE分离实验中设定的变量)比较。当发现到失配时,有缺陷的传感器被快速发现及辨识。因此,将执行对应的传感器校准或传感器替换处理,以校准有问题的特定传感器的性能或替换特定传感器以如所期望地匹配标准传感器性能。传感器校准过程是有效的检修处理,用以快速辨识有缺陷的传感器,以替换或校准、修复发生在处理腔室100中的问题、异常或错误。在比较、分析及校准每个传感器响应后,在操作204处利用BKM分离处理的传感器校准过程视为完成。
注意到如图2中所绘制的括号210所指示地,方框202及方框204的操作属于硬件匹配过程。因此,可在这两个操作中发现大部分的硬件错误,如传感器故障、腔室设定错误及诸如此类。如图2中所绘制的括号212所指示地,后文所述的方框206及方框208的操作属于晶片上结果匹配过程,晶片上结果匹配过程指示基于从在半导体晶片(半导体晶片在感兴趣的处理腔室100中被处理)上形成的膜层测量的数据/结果来微调或调整腔室参数及设定。
在方框206处,校正改正及校准硬件设定及腔室参数、执行DOE(实验设计)分离操作以用不同处理窗口执行处理,以在感兴趣的处理腔室100中形成具有不同水平的膜性质的膜层。此DOE分离操作可使处理腔室能够形成具有预定的不同膜性质的膜层,因此当形成具有相似膜性质的膜层时提供较宽的用于处理腔室100中所执行的处理的处理窗口。
在一个范例中,DOE分离操作典型地包含5个测试样本,5个测试样本包含在BKM设定中设定的处理参数、高于BKM设定20%的处理参数、低于BKM设定20%的处理参数、高于BKM设定10%的处理参数及低于BKM设定10%的处理参数的样本实验。注意到在DOE分离实验期间所处理的基板数量可为任何大于2的数量。在DOE分离操作后,通过多个计量工具传送在不同样本基板上形成的所有膜层,以执行一系列的测量/检测处理以确定在样本基板上形成的膜层的膜性质。
随后在子方框207中,通过统计处理控制模块来分析及比较从在样本基板上形成的膜层测量到的膜性质。与子方框205相似地,执行传感器至传感器响应校准以收集、监测、分析所测量的膜性质及将所测量的膜性质与数据库中所储存的标准值比较。在每个分离操作中,改变处理参数或传感器设定中的至少一个(例如变量)以便将得到至少一个对应至处理参数变化或传感器设定的传感器响应。在一个特定范例中,在DOE分离实验处要被检测或改变的本文所选择的传感器被视为关键传感器,以准确检测可使处理成为可能并准确执行处理的处理性能。举例而言,当执行沉积处理时,MFC传感器通常是所选择的要被调整、改变、检测及包含在DOE分离操作中的关键变量之一,由于MFC传感器在沉积处理期间控制的气体流速经常起到在沉积及形成材料层后可显著支配膜性质的关键作用。关键传感器的其他范例可包含压力传感器、温度传感器、RF传感器或诸如此类。
在测量所沉积的材料层的膜性质后,接着分析测量的数据及将测量的数据与数据库中的期望标准做比较,以计算及监测在每个DOE分离实验中设定的每个变量(例如,关键传感器)的性能。对测量数据的分析可指示来自传感器响应的失配值,以由在每个DOE分离实验中设定的变量快速辨识有缺陷的有问题的传感器,以有效发现失配。当发现失配时,快速发现及辨识有缺陷的传感器。因此,对应的传感器校准或传感器替换处理将执行,以校准有问题的特定传感器的性能或替换特定传感器从而如所期望地匹配至标准传感器性能。如上文所论述,传感器校准过程是有效的检修处理,用以快速辨识有缺陷的要替换及修复的传感器,辨识发生在处理腔室100中的处理或传感器问题、不正常或错误。在基于来自DOE分离操作中的被处理晶片的测量数据来比较、分析及校准每个传感器响应后,操作206处利用BKM分离处理的传感器校准过程可视为完成。
所测量的膜性质可包含膜厚度、膜均匀度、折射率、有效系数、膜应力、湿法蚀刻速率(WER)或干法蚀刻速率、平版印刷术重叠(lithography overlay)、电导率、电阻率、密度及诸如此类中的至少一个或多个。在分析所测量的数据后,若发现任何数据错误则可执行检修处理,以修复在处理腔室100中所发生的问题、异常或错误及进一步地将处理腔室性能匹配至期望的标准范围。
在方框208处,基于经分析的资料,可执行校准处理以分别校正在处理腔室中及BKM制作方法中设定的腔室参数及处理参数。校准处理可根据需要利用单一层制作方法或多层制作方法。可将校准处理后在基板上形成的所得膜性质的值控制在期望范围,以控制处理准确度、匹配度、一致性、可靠性及稳定性。
图4描绘表格,所述表格列出示例性的设定或控制在期望容差内的膜性质。即使是在腔室校准/匹配处理后,容差仍允许针对处理偏差(所述处理偏差源于每个腔室条件的轻微变化)的软限制。举例而言,可将每个从DOE分离操作的样本基板得到的测量数据控制在期望容差范围内,如在具有针对膜性质设定的上限及下限的目标值的确定百分比内。基于历史数据的检视来设定膜性质的这些上限及下限。当超过限制时,在校准处理期间或后校准处理期间,可将警报形式的通知(如软件系统中的视觉信号)及处理腔室中的问题的通知发送给工程师或半导体代工厂自动系统,以要求进一步的腔室校准/匹配处理。
在来自DOE分离操作的样本基板的所有膜性质皆落入图4的表格中设定的期望范围/限制中后,全部的腔室匹配/校准处理(包含图2中的括号210中所辨识的硬件匹配过程及括号212中所辨识的晶片上结果匹配过程)被视为完成且完成匹配处理。
在已完成图2中的硬件匹配过程210及晶片上结果匹配过程212但一些失配仍存在于处理腔室100中的事件中,可在处理腔室100中安装额外的腔室传感器组,以得到额外数据。额外的腔室传感器组可不同于已存在于处理腔室100中的传感器。可选地,额外的腔室传感器组可与已存在于处理腔室100中的传感器相似,但相较于已存在于处理腔室100中的传感器而言,额外的腔室传感器组具有更先进的控制及检测。举例而言,若常规的MFC不能检测流速故障,则可可选地利用具有较高灵敏度的数字MFC以帮助诊断腔室校准及匹配的问题。在将额外的腔室传感器组安装在处理腔室100后,可再次执行BKM处理以传输信号至处理腔室100中的控制器110。控制器110接着可再次分析所接收到的信号及传感器响应,以确定及快速辨识要根据需要在处理腔室100或BKM处理中被微调或调整的有缺陷的传感器。
本发明的实施方式提供用于确定处理腔室中的基线及有效匹配处理腔室性能至在制造生产线中设定的标准要求的方法。方法中的硬件匹配过程连同晶片上结果匹配过程有效及快速地基于所得到的传感器响应辨识有缺陷的传感器,以校准及校正处理腔室中的失配。因此,可有效消除及减少由处理腔室中的腔室性能失配产生的处理偏差的可能性,因而提升处理准确度。
上述技术可表示为计算机可读存储介质。在第一个范例中,计算机可读存储介质储存程序,当由处理器执行所述程序时,所述程序执行用于操作处理腔室的操作,所述操作包含以下步骤:
通过基于由在处理腔室中操作第一预定处理而收集及分析的传感器响应来校准处理腔室中的传感器而执行第一硬件校准处理;及
通过基于由在处理腔室中操作第一组处理而收集及分析的传感器响应来校准处理腔室中的传感器而执行第二硬件校准处理。
在第二个范例中,第一个范例的计算机可读存储介质可进一步包括:
通过基于从在一组基板上形成的膜层收集及分析的数据而调整在第一预定处理中设定的参数或处理腔室中的传感器而进行晶片上结果校准处理,所述一组基板具有在组中分别设置在处理腔室中的每个基板上执行的第二组处理。
在第三个范例中,第一个范例的计算机可读存储介质的第一组处理可进一步包括:
包括5个处理,所述处理包括在第一预定处理中设定的处理参数,高于及低于在第一预定处理中设定的处理参数20%的处理参数,及高于及低于在第一预定处理中设定的处理参数10%的处理参数。
在第四个范例中,第二个范例的计算机可读存储介质的第二组处理可进一步包括:
5个处理,所述5个处理包括在第一预定处理中设定的处理参数,高于及低于在第一预定处理中设定的处理参数20%的处理参数,及高于及低于在第一预定处理中设定的处理参数10%的处理参数。
在第五个范例中,第一个范例的计算机可读存储介质可进一步包括:
软件,所述软件具有储存在其中的用于数据比较及分析的数据库。
上述技术可以如所示出的不受所附权利要求限制地表达。
尽管上文针对本发明的实施方式,但可在没有背离本发明的基本范围的情况下设计本发明的其他及进一步的实施方式,且本发明的范围由下文的权利要求书确定。

Claims (15)

1.一种用于校准用于半导体制造处理的处理腔室的方法,所述方法包含以下步骤:
在处理腔室中执行第一预定处理;
在执行所述预定处理的同时收集第一组信号,所述第一组信号由第一组设置于所述处理腔室中的传感器传输至控制器;
分析所收集的所述第一组信号;
将所收集的所述第一组信号与储存于所述控制器中的数据库比较,以检查来自所述第一组传感器的传感器响应;
当发现失配传感器响应时,基于所收集的所述第一组信号来校准传感器;
随后在所述处理腔室中执行第一系列处理;及
在执行所述系列处理的同时收集第二组信号,所述第二组信号由所述传感器传送至所述控制器。
2.如权利要求1所述的方法,进一步包含以下步骤:
在所述腔室中所分别传送的一组基板上执行第二系列处理;及
在所述组的每个基板上以不同的膜性质形成膜层。
3.如权利要求2所述的方法,进一步包含以下步骤:
测量设置在每个基板中的每个膜层的膜性质;
将所述膜性质与储存于所述控制器中的所述数据库比较以检查来自所述第一组传感器的传感器响应;及
当发现失配传感器响应时,基于所测量的所述膜性质来校准所述处理腔室中的所述第一组传感器。
4.如权利要求2所述的方法,进一步包含以下步骤:
测量设置于每个基板中的每个膜层的膜性质;及
当发现失配传感器响应时,基于所测量的所述膜性质来校准在所述第一预定处理中设定的处理参数。
5.如权利要求1所述的方法,其中所述第一预定处理是设定用于所述处理腔室的BKM处理。
6.如权利要求1所述的方法,其中所述第一系列处理包含5个处理,所述5个处理包含设定在第一预定处理中的处理参数、高于及低于设定在所述第一预定处理中的所述处理参数20%的处理参数、及高于及低于设定在所述第一预定处理中的所述处理参数10%的处理参数。
7.如权利要求2所述的方法,其中所述第二系列处理包含5个处理,所述5个处理包含设定在所述第一预定处理中的处理参数、高于及低于设定在所述第一预定处理中的所述处理参数20%的处理参数、及高于及低于设定在所述第一预定处理中的所述处理参数10%的处理参数。
8.如权利要求3所述的方法,其中从在所述基板上形成的所述膜层所测量的所述膜性质包含膜厚度、膜均匀度、折射率、有效系数、膜应力、湿法蚀刻速率(WER)或干法蚀刻速率、平版印刷术重叠、电导率、电阻率及密度中的至少一个。
9.如权利要求1所述的方法,其中所述第一预定处理包含单一层制作方法或多层制作方法。
10.如权利要求3所述的方法,进一步包含以下步骤:
在所述处理腔室中实施第二组腔室传感器。
11.如权利要求10所述的方法,进一步包含以下步骤:
在所述处理腔室中执行所述第一预定处理;
收集第三组信号,所述第三组信号由所述第二组传感器传输。
12.如权利要求11所述的方法,进一步包含以下步骤:
通过所述控制器分析所述第三组信号,以检查来自所述第二组传感器的传感器响应;
将所述传感器响应与储存于所述控制器中的所述数据库做比较;及
当发现失配时,校准所述处理腔室中的所述第二组传感器。
13.一种处理系统,包含:
控制器,所述控制器耦接至处理腔室,所述控制器包含含有指令的系统存储器及处理器,所述处理器经配置以在执行所述指令时使所述处理腔室执行方法,所述方法包含以下步骤:
当在处理腔室中执行第一预定处理时接收第一组信号,所述第一组信号从处理腔室传输;
分析所收集的所述第一组信号;
将所收集的所述第一组信号与储存于所述控制器中的数据库做比较以检查来自第一组传感器的传感器响应;
当发现失配传感器响应时,基于所收集的所述第一组信号来校准传感器;
随后在所述处理腔室中执行第一系列处理;及
在执行所述系列处理的同时收集第二组信号,所述第二组信号由所述传感器传输至所述控制器。
14.如权利要求13所述的处理系统,其中以由所述第一预定处理设定的处理参数、设定为高于及低于由所述第一预定处理设定的所述处理参数20%的处理参数、及设定为高于及低于在所述第一预定处理中设定的所述处理参数10%的处理参数来操作第一组处理样本。
15.如权利要求13所述的处理系统,其中以由所述第一预定处理设定的处理参数、设定为高于及低于由所述第一预定处理设定的所述处理参数20%的处理参数、及高于及低于在所述第一预定处理中设定的所述处理参数10%的处理参数来操作第二组处理样本。
CN201680033926.8A 2015-10-05 2016-08-31 用于半导体设备的匹配腔室性能的方法 Active CN108140588B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/875,673 2015-10-05
US14/875,673 US10192763B2 (en) 2015-10-05 2015-10-05 Methodology for chamber performance matching for semiconductor equipment
PCT/US2016/049584 WO2017062115A1 (en) 2015-10-05 2016-08-31 Methodology for chamber performance matching for semiconductor equipment

Publications (2)

Publication Number Publication Date
CN108140588A CN108140588A (zh) 2018-06-08
CN108140588B true CN108140588B (zh) 2021-09-07

Family

ID=58448034

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680033926.8A Active CN108140588B (zh) 2015-10-05 2016-08-31 用于半导体设备的匹配腔室性能的方法

Country Status (6)

Country Link
US (1) US10192763B2 (zh)
JP (1) JP6860547B2 (zh)
KR (1) KR20180051480A (zh)
CN (1) CN108140588B (zh)
TW (1) TWI713581B (zh)
WO (1) WO2017062115A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN107574427A (zh) * 2017-09-14 2018-01-12 德淮半导体有限公司 用于化学气相沉积过程的装置和方法
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
US10971384B2 (en) * 2018-09-13 2021-04-06 Lam Research Corporation Auto-calibrated process independent feedforward control for processing substrates
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
CN109935519B (zh) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 提高栅极绝缘层成膜均匀性的方法
US11486927B2 (en) * 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
KR20230117323A (ko) 2022-01-25 2023-08-08 주식회사 히타치하이테크 장치 진단 장치, 반도체 제조 장치 시스템 및 반도체 장치 제조 시스템
US20230259112A1 (en) * 2022-01-27 2023-08-17 Applied Materials, Inc. Diagnostic tool to tool matching and comparative drill-down analysis methods for manufacturing equipment
US11961030B2 (en) * 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
US20230280736A1 (en) * 2022-03-02 2023-09-07 Applied Materials, Inc. Comprehensive analysis module for determining processing equipment performance
CN116387208B (zh) * 2023-06-02 2023-08-18 合肥喆塔科技有限公司 基于阈值管控的腔室匹配分析方法、系统、设备及介质

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270711A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 电抗匹配系统及方法
US7153709B1 (en) * 2004-08-31 2006-12-26 Advanced Micro Devices, Inc. Method and apparatus for calibrating degradable components using process state data
CN101513093A (zh) * 2006-09-08 2009-08-19 高通股份有限公司 无线通信系统中从资源失配进行的恢复
CN101688813A (zh) * 2007-04-25 2010-03-31 布鲁克斯自动化公司 测量仪器和方法
CN101847558A (zh) * 2009-03-27 2010-09-29 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8173451B1 (en) * 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
CN102473590A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 用于识别处理模块级失控事件的装置及其方法
CN102640260A (zh) * 2009-11-02 2012-08-15 丽佳达普株式会社 化学气相沉积设备以及化学气相沉积设备的温度控制方法
TW201241949A (en) * 2011-02-17 2012-10-16 Tokyo Electron Ltd Etch process control using optical metrology and sensor devices
JP2013212018A (ja) * 2012-03-30 2013-10-10 Nikon Corp 駆動装置、ステージ装置、及び露光装置
CN103592979A (zh) * 2012-08-15 2014-02-19 盛美半导体设备(上海)有限公司 清洗液流量控制系统及控制方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010034781A (ko) * 1998-04-14 2001-04-25 잭 피. 샐러노 박막 증착 시스템
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7262865B2 (en) 2004-02-26 2007-08-28 Applied Materials, Inc. Method and apparatus for controlling a calibration cycle or a metrology tool
US7275013B1 (en) * 2004-09-20 2007-09-25 University Of Notre Dame Duloc Plasma anemometer and method for using same
US7813895B2 (en) 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
US8773019B2 (en) * 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270711A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 电抗匹配系统及方法
US7153709B1 (en) * 2004-08-31 2006-12-26 Advanced Micro Devices, Inc. Method and apparatus for calibrating degradable components using process state data
CN101513093A (zh) * 2006-09-08 2009-08-19 高通股份有限公司 无线通信系统中从资源失配进行的恢复
CN101688813A (zh) * 2007-04-25 2010-03-31 布鲁克斯自动化公司 测量仪器和方法
CN101847558A (zh) * 2009-03-27 2010-09-29 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN102473590A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 用于识别处理模块级失控事件的装置及其方法
CN102640260A (zh) * 2009-11-02 2012-08-15 丽佳达普株式会社 化学气相沉积设备以及化学气相沉积设备的温度控制方法
US8173451B1 (en) * 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
TW201241949A (en) * 2011-02-17 2012-10-16 Tokyo Electron Ltd Etch process control using optical metrology and sensor devices
JP2013212018A (ja) * 2012-03-30 2013-10-10 Nikon Corp 駆動装置、ステージ装置、及び露光装置
CN103592979A (zh) * 2012-08-15 2014-02-19 盛美半导体设备(上海)有限公司 清洗液流量控制系统及控制方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
一种用于产品在线探伤的图像检测装置;郑科荣等;《中国科技论文在线》;20080115(第01期);全文 *

Also Published As

Publication number Publication date
KR20180051480A (ko) 2018-05-16
TW201723221A (zh) 2017-07-01
US20170098565A1 (en) 2017-04-06
JP2018533196A (ja) 2018-11-08
WO2017062115A1 (en) 2017-04-13
US10192763B2 (en) 2019-01-29
CN108140588A (zh) 2018-06-08
TWI713581B (zh) 2020-12-21
JP6860547B2 (ja) 2021-04-14

Similar Documents

Publication Publication Date Title
CN108140588B (zh) 用于半导体设备的匹配腔室性能的方法
TWI410822B (zh) 先進製程控制方法和系統
KR101311640B1 (ko) 웨이퍼 균일성 제어에서의 동적 계측 샘플링을 이용한 웨이퍼 처리 방법
US7580767B2 (en) Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7502660B2 (en) Feature dimension deviation correction system, method and program product
US20100076729A1 (en) Self-diagnostic semiconductor equipment
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
US7502709B2 (en) Dynamic metrology sampling for a dual damascene process
US7567700B2 (en) Dynamic metrology sampling with wafer uniformity control
JP2009531866A5 (zh)
JP4611894B2 (ja) 半導体集積回路装置の製造方法
US9519285B2 (en) Systems and associated methods for tuning processing tools
US11378426B2 (en) System and method for monitoring sensor linearity as part of a production process
JP2009521800A (ja) 情報信憑性に基づく改良された状態推定
JP2010524209A (ja) インラインリソグラフィ及びエッチングシステム
US11669079B2 (en) Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
US7153709B1 (en) Method and apparatus for calibrating degradable components using process state data
CN103811379B (zh) 工具优化调节系统和相关方法
JP2005033228A (ja) プラズマ処理装置および処理方法
Sofge Virtual Sensor Based Fault Detection and Classification on a Plasma Etch Reactor

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant