TWI417754B - 利用多層多輸入多輸出模型以產生金屬閘極結構之方法 - Google Patents

利用多層多輸入多輸出模型以產生金屬閘極結構之方法 Download PDF

Info

Publication number
TWI417754B
TWI417754B TW098126350A TW98126350A TWI417754B TW I417754 B TWI417754 B TW I417754B TW 098126350 A TW098126350 A TW 098126350A TW 98126350 A TW98126350 A TW 98126350A TW I417754 B TWI417754 B TW I417754B
Authority
TW
Taiwan
Prior art keywords
etch
data
chamber
watts
power
Prior art date
Application number
TW098126350A
Other languages
English (en)
Other versions
TW201009625A (en
Inventor
Merritt Funk
Radha Sundararajan
Asao Yamashita
Daniel J Prager
Hyung Joo Lee
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201009625A publication Critical patent/TW201009625A/zh
Application granted granted Critical
Publication of TWI417754B publication Critical patent/TWI417754B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

利用多層多輸入多輸出模型以產生金屬閘極結構之方法
本發明係關於晶圓處理,尤有關於使用多層多步驟處理序列與相關之多層多輸入多輸出(MLMIMO)模型在晶圓上即時地創造金屬閘極結構的設備及方法。
蝕刻處理行為本身係非線性且各步驟(各層)相互影響,或當聚集許多製程(蝕刻/CVD/佈植)時。藉著依據東京電子有限公司(TEL)腔室之實體模型及基底處理的處理交互作用知識,與來自製程改善與調整的大量資料及量測值,使用多輸入多輸出非線性模型而可遞迴計算及優化臨界尺寸(CD)、側壁角度(SWA)、深度、膜厚、過蝕刻、基蝕、表面清潔及損害管制的控制。現今低成本產品使用塊狀矽晶技術。隨著電晶體持續縮小,通道深度的影響逐漸緊要(超淺源極/汲極延伸部)。隨著絕緣層上矽晶(Silicon on Insulator,SOI)膜變薄,閘極及/或間隔物厚度與SOI膜厚度中的微小變化會影響電晶體的效能。當蝕刻程序失控時,閘極附近的材料移除將影響電性效能。
當前高效能的微處理器使用局部空乏式絕緣層上矽膜(partially depleted Silicon-on-Insulator film,PD SOI,給予0.2伏特的閾電壓)。PD SOI膜約50nm厚,同時閘極及/或間隔物減少量佔總閘極及/或間隔物厚度的一大部分(10%)。SOI膜的下一代稱為全空乏式絕緣層上矽膜(full depleted Silicon-on-Insulator film,FD SOI,給予0.8伏特的閾電壓及其厚度約~25nm)。目前因厚度控制均勻性上的限制及缺陷使這些膜無法量產。通道移動率又隨著SOI的厚度的變薄而降低。隨著更薄的膜,金屬閘極結構的控制變得更緊要。
本發明提供使用多層多步驟處理序列與相關之多層多輸入多輸出(MLMIMO)模型在晶圓上即時地創造金屬閘極結構的設備及方法。
將自下文的描述及其隨附之圖式中明瞭本發明的其它實施態樣。
基於應用需求,多層多輸入多輸出(MLMIMO)模型可再分為有限粒度的數層。每一層為實體材料,其層之分隔由材料變化或空間中層別邊界所示之。數層可為層與層的組合,如金屬閘極層及後續覆蓋該金屬閘極層之間隔物層的沈積及蝕刻。藉用以區隔數步驟之時間與終點偵測(EPD)可使數層與蝕刻步驟相對映。另外,使連續的即時控制器藉度量資料、感應器、及蝕刻模型的組合中的即時更新而運作。
實驗設計法則(DOE)可達到仿效每一潛在控制鈕的製程增益及與每一層相關之輸入及輸出的交互作用,和層層製程控制迴圈的交互作用及增益。判定每一控制鈕及輸出間之互動的方法可用以評估及優化模型的穩定性(如相對增益陣列)。此資訊也可驅使無交互作用之個別回饋迴圈的建立。
MLMIMO模型係用以對一組目標(或目標輸出)計算最適宜的輸入。約束條件可為製程參數(如時間、氣流、及層別溫度)的範圍。於MLMIMO模型發展期間,可施加一組權重以導引優化程序使當前處理計算於既定時間內之帶有最大值的輸出區分優先次序。可在對給定目標及增益常數之權重計算應用方程式的情形中使用目標權重,當該優化程序以線性或非線性方式偏離目標時,其實際上係為不利。目標可為中心目標或限制目標(高於既定值,以SWA為例)。
回饋可採多迴圈形式,每一目標輸出根據實際更小之預測誤差而帶有回饋誤差的計算。當使用MLMIMO模型時,可計算每一預測輸出誤差且與回饋量測值匹配以判定實際誤差。如指數加權移動平均法(EWMA)或卡爾曼濾波法(Kalman filter)的回饋過濾法可用以過濾雜訊。來自與多步驟序列相關之控制器的輸出可包括擬合良度(goodness of fit)值,且接著此GOF值可用作為級聯控制器(cascaded controller)的輸入。
當執行處理步驟而使控制器得以基於過去的計算、計算的誤差、工具狀態中變化或材料狀態執行更新動作時,MLMIMO控制器可包含不同次數的更新,接著併入最近一次的更新。
在若干多步驟序列中,當量測到光阻參數時,其可用於前饋,且可根據先前晶圓的回饋及腔室狀態資訊而對其加權。於開始一批晶圓時,該MLMIMO模型可用以為已圖案化軟式遮層使用最有名之值,並針對前批次分布的中心而對這些值加權。於批次處理期間,可使用如EWMA的加權法而量測並過濾蝕刻控制層(ECL)或硬式遮層的參數,以消除晶圓間(W2W)差異且回饋並傳至光阻SWA,用以更新現有前饋SWA值。該SWA圖案分析函數可歸類成雙峰圖案,所以二執行緒(thread)可被整理成回饋及/或前饋資料。在第一個例子中,更常藉由掃描台驅使晶圓間的SWA差異,所以可維持二前饋/回饋執行緒以優化效能。在第二個例子中,來自微影工具之晶圓間的CD變異受加熱板支配,所以可觀察到2、3、或4個變異圖形。當在微影處理後行IM量測時,可在晶圓處理之前建立整個晶圓上的圖形,且可在晶圓送至蝕刻器之前建立晶圓的CD及SWA圖案。當使用超過一處理執行緒(processing thread),可添加該執行緒作為晶圓的內文項目。此外,當提供掃瞄器及/或追蹤單元號碼、掃瞄器模組號碼、及加熱板號碼,其也用以群聚晶圓,且建立起自微影工具至蝕刻工具的前饋執行緒。另外,也可使用其它塗布器/顯影液的組合。
當根據內文群組而分類晶圓時,接著依據其群組或序列處理該等晶圓。當蝕刻工具中的處理序列與微影工具中的處理序列相同時,可對現有的FB控制器編程,以在晶圓間針對微影工具內的引入偏移及蝕刻工具內的偏移做調整。
本發明提供處理晶圓的設備及方法,該晶圓在其上具有大量半導體裝置,甚至有大量電晶體閘極與/或間隔物結構。在各種的實施例中,所提供的設備及方法用以創造與/或使用MLMIMO評估程式庫;用以執行MLMIMO處理序列,該序列可包括一或多個量測程序、一或多個沈積程序、一或多個部分蝕刻(Partial etch)程序、一或多個全蝕刻(Poly Etch)程序;及用以驗證MLMIMO模型及相關的處理序列。
可在晶圓上不同位置處設置一或多個週期結構,且該一或多個週期結構可用以評估及/或驗證MLMIMO模型及相關的處理序列。晶圓可具有與其相關的晶圓資料,且該晶圓資料可包括即時及歷史資料。此外,晶圓可具有與其相關的其它資料,且該其它資料可包括閘極結構資料、所需位置的數目、可視位置的數目、一或多個位置的信心資料及/或風險資料、位置分級資料、轉移序列資料、或製程相關資料、或評估/驗證相關資料、或其組合。與MLMIMO相關之晶圓關聯的資料可包括轉移序列資料,該轉移序列資料可用以建立何時且何處轉移晶圓,及可使用操作狀態資料改變轉移序列。
基於應用需求,該MLMIMO模型可再分為有限粒度的數層。每一層為實體材料,其層之分隔由材料變化或空間中層別邊界所示之。數層可為層與層的組合,如金屬閘極層及後續覆蓋該金屬閘極層之間隔物層的沈積及蝕刻。
藉用以區隔數步驟之時間與EPD可使數層與蝕刻步驟相對映。另外,使連續的即時控制器藉度量資料、感應器、及蝕刻模型的組合中的即時更新而運作。
處理中所用的分析裝置根據單一迴圈控制與多變量控制的比較而控制多變量應用,且表示為一陣列之比(對於所有可能輸入輸出對),其係輸入輸出變量對間單一迴圈行為的量測,與在若干多變量控制的想理化下相同輸入輸出對行為的相對量測之比。
MLMIMO模型係用以對一組目標(或目標輸出)計算最適宜的輸入。約束條件可為製程參數(如時間、氣流、及層別溫度)的範圍。藉著MLMIMO,可施加一組權重以導引優化程序使當前處理計算於既定時間內之帶有最大值的輸出區分優先次序。可在對給定目標及增益常數之權重計算應用方程式的情形中使用目標權重,當該優化程序以線性或非線性方式偏離目標時,其實際上係為不利。目標可為中心目標或限制目標(高於既定值,以SWA為例)。
回饋可採多迴圈形式,每一目標輸出根據實際更小之預測誤差而帶有回饋誤差的計算。當使用MLMIMO模型時,可計算每一預測輸出誤差且與回饋量測值匹配以判定實際誤差。如EWMA或卡爾曼濾波法的回饋過濾法可用以過濾雜訊。層控制器的輸出可包括擬合良度值,且接著此GOF值可用作為級聯層控制器的輸入。
晶圓可劃分成一或多個上邊緣區、一或多個中心區、及一或多個下邊緣區。
當執行處理步驟而使控制器得以基於過去的計算、計算的誤差、工具狀態中變化或材料狀態執行更新動作時,層控制器(layer controller)可包含不同次數的更新,接著併入最近一次的更新。
隨著特徵尺寸降至65nm技術節(65 nm node)之下,精確的處理及/或量測資料變得更重要且更難以獲得。MLMIMO模型及相關的處理序列可用以更精確地處理及/或量測這些超小型裝置與特徵。來自MLMIMO程序的資料可與警戒及/或控制限相比,當違背流程規則(run-rule)時,會產生警報指示處理問題,並即時執行修正程序。
圖1依據本發明之實施例顯示處理系統的示範方塊圖。在此說明性實施例中,處理系統100包括微影子系統110、掃瞄器子系統120、蝕刻子系統130、沈積子系統140、檢驗子系統150、度量子系統160、傳遞子系統170、製造執行系統(MES)180、系統控制器190、及記憶體/資料庫195。在此說明性實施例中顯示單一子系統(110、120、130、140、150、160、及170),但此非本發明所需。在若干實施例中,多子系統(110、120、130、140、150、160、及170)可用於處理系統100。此外,一或多個子系統(110、120、130、140、150、160、及170)可包括一或多個用於MLMIMO模型及相關處理序列的處理元件。
可利用資料傳遞子系統191使系統控制器190與微影子系統110、掃瞄器子系統120、蝕刻子系統130、沈積子系統140、檢驗子系統150、度量子系統160、及傳遞子系統170結合。可利用資料傳遞子系統181使系統控制器190與MES 180結合。另外,可使用其它組態。例如,蝕刻子系統130、沈積子系統140、度量子系統160、及部分傳遞子系統170可為東京電子有限公司所提供之TactrasTM 系統的一部分。
微影子系統110可包含一或多個傳遞/儲存元件112、一或多個處理元件113、一或多個控制器114、及一或多個評估元件115。一或多個傳遞/儲存元件112可與一或多個處理元件113結合,及/或與一或多個評估元件115結合,且可與傳遞子系統170結合(111)。傳遞子系統170可與微影子系統110結合(111),且一或多個晶圓105可在傳遞子系統170及微影子系統110間即時傳遞(111)。例如,傳遞子系統170可與一或多個傳遞/儲存元件112結合、與一或多個處理元件113結合、及/或與一或多個評估元件115結合。一或多個控制器114可與一或多個傳遞/儲存元件112結合、與一或多個處理元件113結合、及/或與一或多個評估元件115結合。
在若干實施例中,微影子系統110可利用一程序或多程序而在一或多個晶圓上執行塗布程序、加熱程序、量測程序、檢驗程序、對準程序、及/或儲存程序。例如,一或多種微影相關的製程可用以沈積一或多層遮層(其包括光阻材料、及/或抗反射塗層(ARC)材料),且可用以加熱處理(烘烤)此一或多層遮層。此外,微影子系統110可用以顯影、量測、及/或檢驗一或多個晶圓上的一或多層已圖形化遮層。
掃瞄器子系統120可包含一或多個傳遞/儲存元件122、、一或多個處理元件123、一或多個控制器124、及一或多個評估元件125。一或多個傳遞/儲存元件122可與一或多個處理元件123結合,及/或與一或多個評估元件125結合,且可與傳遞子系統170結合(121)。傳遞子系統170可與掃瞄器子系統120結合(121),且一或多個晶圓105可在傳遞子系統170及掃瞄器子系統120間即時傳遞(121)。例如,傳遞子系統170可與一或多個傳遞/儲存元件122結合、與一或多個處理元件123結合、及/或與一或多個評估元件125結合。一或多個控制器124可與一或多個傳遞/儲存元件122結合、與一或多個處理元件123結合、及/或與一或多個評估元件125結合。
在若干實施例中,掃瞄器子系統120可用以執行濕及/或乾曝光程序,且在其它情況中,掃瞄器子系統120可用以執行超紫外光(EUV)曝光程序。
蝕刻子系統130可包含一或多個傳遞/儲存元件132、、一或多個處理元件133、一或多個控制器134、及一或多個評估元件135。一或多個傳遞/儲存元件132可與一或多個處理元件133結合,及/或與一或多個評估元件135結合,且可與傳遞子系統170結合(131)。傳遞子系統170可與蝕刻子系統130結合(131),且一或多個晶圓105可在傳遞子系統170及蝕刻子系統130間即時傳遞(131)。例如,傳遞子系統170可與一或多個傳遞/儲存元件132結合、與一或多個處理元件133結合、及/或與一或多個評估元件135結合。一或多個控制器134可與一或多個傳遞/儲存元件132結合、與一或多個處理元件133結合、及/或與一或多個評估元件135結合。例如,一或多個處理元件133可用以執行電漿或非電漿蝕刻、灰化、修邊(trimming)、及清潔程序。評估程序及/或檢驗程序可用以量測及/或檢驗晶圓的一或多個表面及/或層別。可如本文圖2A-2G及圖3A-3G所述般架構蝕刻子系統130。
沈積子系統140可包含一或多個傳遞/儲存元件142、、一或多個處理元件143、一或多個控制器144、及一或多個評估元件145。一或多個傳遞/儲存元件142可與一或多個處理元件143結合,及/或與一或多個評估元件145結合,且可與傳遞子系統170結合(141)。傳遞子系統170可與沈積子系統140結合(141),且一或多個晶圓105可在傳遞子系統170及沈積子系統140間即時傳遞(141)。例如,傳遞子系統170可與一或多個傳遞/儲存元件142結合、與一或多個處理元件143結合、及/或與一或多個評估元件145結合。一或多個控制器144可與一或多個傳遞/儲存元件142結合、與一或多個處理元件143結合、及/或與一或多個評估元件145結合。例如,一或多個處理元件143可用以執行物理氣相沈積(PVD)程序、化學氣相沈積(CVD)程序、離子化物理氣相沈積(iPVD)程序、原子層沉積(ALD)程序、電漿輔助原子層沉積(PEALD)程序、及/或電漿輔助化學氣相沈積(PECVD)程序。評估程序及/或檢驗程序可用以量測及/或檢驗晶圓的一或多個表面。
檢驗子系統150可包含一或多個傳遞/儲存元件152、、一或多個處理元件153、一或多個控制器154、及一或多個評估元件155。一或多個傳遞/儲存元件152可與一或多個處理元件153結合,及/或與一或多個評估元件155結合,且可與傳遞子系統170結合(151)。傳遞子系統170可與檢驗子系統150結合(151),且一或多個晶圓105可在傳遞子系統170及檢驗子系統150間即時傳遞(151)。例如,傳遞子系統170可與一或多個傳遞/儲存元件152結合、與一或多個處理元件153結合、及/或與一或多個評估元件155結合。一或多個控制器154可與一或多個傳遞/儲存元件152結合、與一或多個處理元件153結合、及/或與一或多個評估元件155結合。
度量子系統160可包含一或多個傳遞/儲存元件162、、一或多個處理元件163、一或多個控制器164、及一或多個評估元件165。一或多個傳遞/儲存元件162可與一或多個處理元件163結合,及/或與一或多個評估元件165結合,且可與傳遞子系統170結合(161)。傳遞子系統170可與度量子系統160結合(161),且一或多個晶圓105可在傳遞子系統170及度量子系統160間即時傳遞(161)。例如,傳遞子系統170可與一或多個傳遞/儲存元件162結合、與一或多個處理元件163結合、及/或與一或多個評估元件165結合。一或多個控制器164可與一或多個傳遞/儲存元件162結合、與一或多個處理元件163結合、及/或與一或多個評估元件165結合。度量子系統160可包含一或多個處理元件163,其可用以執行即時光學度量,該即時光學度量用以利用程式庫為基或迴歸為基之技術量測晶圓上一或多處的目標結構。例如,晶圓上的位置可包括MLMIMO處、目標處、重疊處、對準處、量測處、驗證處、檢驗處、或損害鑑定處,或其組合。例如,可儲存一或多個「完美晶圓(golden wafer)」或參考晶片並將其週期地用以驗證一或多個處理元件163及/或一或多個評估元件165的效能。
在若干實施例中,度量子系統160可包括整合式光學數位輪廓測繪(iODP)元件(未顯示),且iDOP元件/系統係由Timbre科技公司(Tel子公司)所提供。另外,可使用其它度量系統。例如,iDOP技術可用以獲得即時資料,其包括臨界尺寸(CD)資料、閘極結構資料、及厚度資料,且該iDOP資料的波長範圍可為約小於200nm至約大於900nm不等。示範iDOP元件可包括ODP輪廓程式庫元件、輪廓應用伺服器(PAS)、及ODP輪廓軟體元件。該ODP輪廓程式庫元件可包括光譜的應用具體資料庫元件及其對應半導體輪廓、CD、與膜厚。PAS元件可包括至少一電腦,其與光學硬體及電腦網路連接。PAS元件可用以提供資料通信、OPD程式庫操作、量測處理、結果生成、結果分析、及結果輸出。ODP輪廓軟體元件可包括安裝在PAS元件的軟體,以管理量測配方、ODP輪廓程式庫元件、ODP輪廓資料、ODP輪廓搜索/匹配結果、ODP輪廓計算/分析結果、資料通信、及各種度量元件與電腦網路的PAS介面。
度量子系統160可使用偏振反射量測術(polarizing reflectometry)、頻譜式橢偏術(Spectroscopic Ellipsometer)、反射量測術、或其他光學量測技術,以量測晶圓之精確的裝置輪廓、精確的CD、及多層膜厚。可執行該整合式度量處理(如iDOP)作為整合式子系統全組的整合處理。此外,該整合處理消除中斷晶圓以執行分析或長時間等待來自外部系統資料的需求。iDOP技術可配合現存之線上輪廓及CD量測的薄膜度量系統而使用,也可與TEL處理系統及/或微影系統整合一起,以提供即時處理監控。可藉由應用Maxwell方程及使用數值分析技術對Maxwell方程求解而產生模擬的度量資料。
傳遞子系統170可包括與傳遞軌道(175、176、及177)結合的傳遞元件174,其用以接收晶圓、傳遞晶圓、對準晶圓、儲存晶圓、及/或延遲晶圓。例如,傳遞元件174可支撐二或多個晶圓。另外,可使用其它傳遞手段。傳遞子系統170可依據MLMIMO模型、MLMIMO相關處理序列、傳遞序列、操作狀態、晶圓及/或處理狀態、處理時間、現在時間、晶圓資料、晶圓上的位置數目、晶圓上的位置類型、所需位置的數目、已完成位置的數目、剩餘位置的數目、或信心資料,或其任一組合而裝載、傳遞、儲存、及/或卸載晶圓。
在若干例子中,傳遞子系統170可使用負載資料判定在何處及何時傳遞晶圓。在其它例子中,傳遞系統可使用MLMIMO模型化資料判定在何處及何時傳遞晶圓。另外,可使用其它程序。例如,當晶圓的第一數量少於或等於可提供之處理元件的第一數量時,可使用傳遞子系統170將晶圓的第一數量傳遞至一或多個子系統中可提供之處理元件的第一數量。當晶圓的第一數量大於可提供之處理元件的第一數量時,使用傳遞/儲存元件(112、122、132、142、152、及162)及/或傳遞子系統170儲存及/或延遲若干晶圓。
此外,當執行微影相關程序、掃瞄器相關程序、檢驗相關程序、量測相關程序、評估相關程序、蝕刻相關程序、沈積相關程序、熱處理相關程序、塗布相關程序、對準相關程序、拋光相關程序、儲存相關程序、傳遞程序、清潔相關程序、重工相關程序、氧化相關程序、氮化相關程序、或外部處理元件,或其任一組合時,可使用該一或多個子系統(110、120、130、140、150、160、及170)。
可針對該子系統(110、120、130、140、150、160、及170)建立操作狀態資料,且可藉由MLMIMO相關處理序列使用及/或更新之。此外,可針對傳遞/儲存元件(112、122、132、142、152、及162)、處理元件(113、123、133、143、153、及163)、評估元件(115、125、135、145、155、及165)建立操作狀態資料,且可藉由MLMIMO相關處理序列更新之。例如,處理元件的操作狀態資料可包括可用資料、處理元件的匹配資料、若干處理步驟及/或位置的預期處理時間、良率資料、處理元件的信心資料及/或風險資料、或一或多個MLMIMO相關程序的信心資料及/或風險資料。可藉由即時查詢一或多個處理元件及/或一或多個子系統而獲得更新的操作狀態。可藉由即時查詢一或多個傳遞元件及/或一或多個傳遞子系統而獲得更新的負載資料。
利用資料傳遞子系統191使一或多個控制器(114、124、134、144、154、及164)與控制器190結合及/或彼此結合。另外,可使用其它結合組態。該等控制器可串聯及/或並聯,且可具有一或多個輸入埠及/或一或多個輸出埠。例如,該等控制器可包括具有一或多個處理元件的微處理器。
此外,可使用內部網路、網際網路、有線、及/或無線連接使子系統(110、120、130、140、150、160、及170)彼此結合及與其它裝置結合。控制器(114、124、134、144、154、及164)可按照要求與外部裝置結合。
當執行即時MLMIMO相關程序時,可使用一或多個控制器(114、124、134、144、154、164、及190)。控制器可即時接收來自MLMIMO模型的資料,以更新子系統、處理元件、製程、配方、輪廓、影像、圖形、模擬、序列資料、及/或模型資料。一或多個控制器(114、124、134、144、154、164、及190)可用以與製造執行系統(MES)180或其它系統(未顯示)交換一或多個半導體設備通訊標準(SECS)訊息、讀取及/或移除資訊、前饋及/或回饋訊息、及/或傳送訊息(如SECS訊息)。可在控制器間交換一或多個格式化訊息,且該等控制器可處理訊息及即時萃取新資料。當得到新資料時,該新資料可即時地用以更新當前用於晶圓或批次的模型及/或程序。例如,當在檢查目前的布局前更新模型及/或程序,可使用更新的模型及/或程序檢查目前的布局。當在處理目前的布局前不能執行更新時,可使用未更新的模型及/或程序檢查目前的布局。此外,當改變光阻、改變光阻模型、改變處理序列、改變設計法則、或改變布局時,可使用格式化的訊息。
在若干例子中,MES 180可用以即時監視若干子系統及/或系統處理,且工廠程度的介入及/或判斷規則可用以判定監視哪一製程及使用哪一資料。例如,當發生MLMIMO相關錯誤狀況時,工廠程度的介入及/或判斷規則可用以判定如何管理資料。MES 180也可提供模型化資料、處理序列資料、及/或晶圓資料。
此外,控制器(114、124、134、144、154、164、及190)可按照需求包括記憶體(未顯示)。例如,該記憶體(未顯示)可用於儲存資訊及控制器所執行的指令,且可用於儲存處理系統100中之各種電腦/處理器之指令執行期間的暫時變量或其它中間資訊。一或多個控制器(114、124、134、144、154、164、及190)或其它系統組件可包含自電腦可讀媒體中讀取資料及/或指令的手段,且可包含將資料及/或指令寫入電腦可讀媒體的手段。
處理系統100可響應處理系統中的電腦/處理器而執行本發明的部分或全部處理步驟,該處理系統執行記憶體中所含及/或信息中所接收之一或多個指令的之一或多個序列。該等指令可由另一電腦、電腦可讀媒體、或網路連線而接受。
在若干實施例中,可使用來自東京電子有限公司(TEL)的系統構件架構整合式系統,且可包括外部的子系統及/或工具。例如,可提供量測元件,其包括CD掃描電子顯微(CDSEM)系統、穿透式電子顯微(TEM)系統、聚焦離子束(FIB)系統、光學數位輪廓測繪(ODP)系統、原子力顯微(AFM)系統、或其它度量系統。該等子系統及/或處理元件可具有不同的介面需求,且該等控制器可用以滿足這些不同的介面需求。
一或多個子系統(110、120、1320、140、150、160、及170)可執行控制應用、圖形使用者介面(GUI)應用、及/或資料庫應用。此外,一或多個子系統(110、120、1320、140、150、160、及170)及/或控制器(114、124、134、144、154、164、及190)可包括實驗設計法則(DOE)應用、先進製程控制(APC)應用、故障偵測及分類(FDC)應用、及/或批間(Run-to-run,R2R)應用。
來自MLMIMO模型化程序的輸出資料及/或訊息可用於後續程序中,以優化製程精確性及準確度。資料可即時傳至MLMIMO相關程序作為即時變量參數,覆蓋當前模型值,並降低DOE表。即時資料可配合程式庫為基的系統、或迴歸為基的系統、或其組合而使用,以優化MLMIMO相關程序。
當使用迴歸為基的程式庫產生程序時,可使測得的MLMIMO模型相關資料與模擬的MLMIMO模型相關資料比較。可基於數組製程相關參數而迭代地產生該模擬的MLMIMO模型相關資料,以獲得該組製程相關參數的收斂值,其產生最接近該測得MLMIMO模型相關資料的該模擬MLMIMO模型相關資料。當使用程式庫為基的製程時,可使用MLMIMO模型相關程序、配方、輪廓、及/或模型產生及/或加強MLMIMO模型相關程式庫。例如,MLMIMO模型相關程式庫可包括模擬及/或測得的MLMIMO模型相關資料與對應的處理序列資料組。可即時執行該迴歸為基及/或程式庫為基的製程。用以產生MLMIMO相關程式庫的替代程序可包括使用機器學習系統(MLS)。例如,在產生MLMIMO相關程式庫資料之前,可使用已知的輸入及輸出資料訓練該MLS,且可以一組MLMIMO相關程式庫資料訓練該MLS。
MLMIMO模型可包括無論何時遭遇匹配之關聯事由所執行的介入及/或判斷規則。可根據歷史程序、客戶經驗、或製程知識建立介入及/或判斷規則及/或限制,或自主機電腦獲得之。規則可用於故障偵測及分類(FDC)程序中,以判定如何響應警報情況、錯誤情況、故障情況、及/或警告情況。規則為基的FDC程序區分故障優先次序並分類之、預測系統效能、預測預防性維護時程、降低停機檢修時間、及延長系統中消耗性零件的使用壽命。可響應警報/故障而發生各種作用,且所發生的作用可為內文為基,且可用規則、系統/製程配方、腔室類型、識別碼、負載埠號、卡匣號、批次號、控制批(control job)ID、處理批(process job)ID、槽號及/或資料類型而具體說明該內文資料。
當超過限制時,失敗的程序或處理序列可報告故障,且當到達限制時,成功的程序可產生警告訊息。可在資料庫中針對程序錯誤儲存預先指明的故障動作,且當錯誤發生時,可自該資料庫中檢索之。例如,當量測程序失敗時,MLMIMO相關程序可拒絕晶圓之一或多處的資料。
MLMIMO模型可用以產生、修正、及/或評估不同時間及/或不同處之孤立及/或嵌套的結構。例如,在孤立及/或嵌套之結構附近的閘極堆疊尺寸及晶圓厚度資料可為不同,且在開口區及/或溝渠陣區附近的閘極堆疊尺寸及晶圓厚度資料可為不同。MLMIMO模型可針對孤立及/或嵌套之結構產生優化資料,以更新及/或優化處理配方及/或處理時間。
MLMIMO模型可使用終點偵測(EPD)及處理時間資料以改善精確度。當使用EPD終止蝕刻程序時,該EPD時間資料及該處理速率資料可用以估算蝕刻量及/或估算厚度。
在各種例子中,MLMIMO模型相關限制可由在「完美」處理腔室中執行MLMIMO模型相關程序而獲得之、可為程式庫中所儲存的歷史資料、可藉執行已驗證的沈積程序而獲得之、可自MES 180中獲得之、可為模擬資料、且可為預測資料。部分蝕刻的程序限制可由在「完美」處理腔室中執行部分蝕刻的程序而獲得之、可為程式庫中所儲存的歷史資料、可藉執行已驗證之部分蝕刻的程序而獲得之、可自MES 180中獲得之、可為模擬資料、且可為預測資料。
圖2A-2G依據本發明之實施例顯示蝕刻子系統的示範方塊圖。
圖2A中顯示第一示範蝕刻子系統200A,且所說明的蝕刻子系統200A包括電漿處理腔室210、晶圓座220,待處理之晶圓225固定於期上、氣體注入系統240、及壓力控制系統257。例如,可使用基座229使晶圓座220與電漿處理腔室210結合,並使晶圓座220與電漿處理腔室210分隔。例如,晶圓225可為半導體晶圓、工作件、或液晶顯示器(LCD)。例如,電漿處理腔室210可用以促成毗鄰晶圓225表面之處理區域245的電漿產生,其中經由加熱電子與離子化氣體間的撞擊而形成電漿。經氣體注入系統240引入離子化氣體或氣體混合物,並調整處理壓力。如所期待,電漿係用以產生專用於預定材料製程的物質,且幫助晶圓225的材料沈積或自晶圓225之裸露表面移除材料。例如,控制器255可用以控制壓力控制系統257及氣體注入系統240。
例如,可藉由機械人傳遞系統經槽閥(slot valve,未顯示)及腔室通孔(chamber feed-through,未顯示)傳遞晶圓225進出電漿處理腔室210,其中以晶圓座220內所收納的升降銷(未顯示)接收晶圓並由其中所收納的裝置機械地移動之。自傳遞系統接收晶圓225之後,該晶圓便降至晶圓座220的上表面。
例如,晶圓225可經靜電夾鉗系統(未顯示)而固定在晶圓座220。此外,晶圓座220更包括溫度控制元件227及溫度控制系統228。再者,可經雙(中心/邊緣)背側氣體系統226傳送氣體至晶圓背側,以改善晶圓225及晶圓座220間氣體間隙的熱傳導。當升降溫度需要晶圓的額外溫度控制時,可使用雙(中心/邊緣)背側氣體系統。例如,溫度控制元件227可包括冷卻元件、電阻式加熱元件、或熱電加熱器/冷卻器。
如圖2A所示,晶圓座220包括下電極221,射頻(RF)功率經其而與處理區域245中的電漿耦合。例如,可藉RF功率的傳輸(自RF產生器230經阻抗匹配網路232至下電極221)對下電極221施加RF電壓的偏壓。該RF偏壓可用以加熱電子而形成並維持電漿。典型RF偏壓的頻率可自1MHz至100MHz不等且最好係13.56MHz。
另外,可在不同頻率時對下電極221施加RF功率。此外,阻抗匹配網路232用以使反射功率最小化而讓處理腔室210之電漿的RF功率傳輸最大化。可利用各種匹配網路拓樸及自動控制法。
持續參照圖2A,可經氣體注入系統240將製程氣體引入處理區域245的一或多個區域。例如,製程氣體可包括氧化物蝕刻應用的氣體混合物(如氬、四氟化碳(CF4 )及氧(O2 ),或氬(Ar)、C4 F8 及O2 ),或其它化學劑,例如O2 /CO/Ar/C4 F8 、O2 /CO/Ar/C5 F8 、O2 /CO/Ar/C4 F6 、O2 /Ar/C4 F6 、N2 /H2 、溴化氫(HBr)。氣體注入系統240可用以降低晶圓225之污染物的引入或使其最小化,且可包括氣體注入充氣部241及多孔噴淋頭氣體注入板242。例如,可自氣體輸送系統(未顯示)中供應製程氣體。氣體注入系統240可提供不同製程氣體至處理區域245的不同區域。
例如,壓力控制系統257可包括抽取速率能夠高達每秒5000升(且更大)的渦輪分子真空泵浦(TMP)258,及用以控制腔室壓力的閘門閥259。在習知用於乾式電漿蝕刻處理的電漿處理裝置中,通常運用每秒1000至3000升的TMP。TMP係用於低壓處理,通常低於50m Torr。高壓時,該TMP抽取速地會急遽降低。針對高壓處理(即高於100m Torr),可使用機械升壓幫浦及乾式粗抽泵浦。此外,用以監視腔室壓力的裝置(未顯示)可與電漿處理腔室210結合。例如,該壓力量測裝置可為MKS儀器公司(Andover,MA)所市售的628B型Baratron絕對電容式壓力計。
如圖2A中所繪,蝕刻子系統200A可包括一或多個感測器250,其與電漿處理腔室210結合以獲得效能資料,且控制器255與感測器250結合以接收效能資料。感測器250可包括電漿處理腔室210內在的感測器及電漿處理腔室210外部的感測器兩者。內在的感測器可包括與電漿處理腔室210之功能性有關的感測器,如下述的量測:氦背側氣體壓力、氦背側氣流、靜電夾鉗(ESC)電壓、ESC電流、晶圓座220溫度(或下電極(LEL)溫度)、冷媒溫度、上電極(UEL)溫度、順向RF功率、反射的RF功率、RF自感應DC偏壓、RF峰值對峰值電壓、腔室壁溫度、製程氣體流速、製程氣體分壓、腔室壓力、電容器設定(即C1及C2的位置)、聚焦環厚度、RF時(RF hours)、聚焦環RF時、及其任一統計值。另外,如圖2A所示,外部的感測器可包括一或多個光學裝置234,用以監視自處理區域245中之電漿發射出的光線,及/或如圖2A所示,包括一或多個電性量測裝置236,用以監視電漿處理腔室210的電子系統。光學裝置234可包括光學感測器,其可用作為終點偵測器(EPD)並提供EPD資料。例如,可使用光發射光譜儀(OES)。
電性量測裝置236可包括電流及/或電壓探針、功率計、或能譜分析儀。例如,電性量測裝置236可包括RF阻抗分析儀。此外,電子訊號的量測(如電壓或電流的時間記錄曲線)允許使用Fourier級數表示將訊號(假定係週期訊號)轉變成頻域。其後,可監視該Fourier頻譜(或針對時變訊號,監視該頻譜),並分析之以說明電漿狀態的特性。在替代的實施例中,電性量測裝置236可包括寬頻RF天線,其有助於量測電漿處理腔室外部之放射的RF場。
控制器255包括微處理器、記憶體、及數位I/O埠(其可能包括D/A及/或A/D轉換器),該控制器能夠產生足以通信的控制電壓並輸入訊號至蝕刻系統,和監視來自該蝕刻系統的輸出訊號。如圖2A所示,控制器255與第一RF產生器230、阻抗匹配網路232、氣體注入系統240、壓力控制系統257、背側氣體系統226、溫度控制系統228、光學裝置234、電性量測裝置236及感測器250結合,並與其交換資訊。記憶體中所儲的程式係用以依據所儲的程式配方而與蝕刻子系統200A之前述組件互動。
在圖2B所示的示範實施例中,蝕刻子系統200B與圖2A的實施例相似,且除了參照圖2A所述的那些組件之外,為了,可能增加電漿密度及/或改善電漿處理均勻度,更包括穩定、或機械式或電子式轉動磁場系統260。再者,為了調節轉速及場強度,控制器255可與磁場系統260結合。轉動磁場的設計及實施係熟悉本技藝者所知悉的。
在圖2C所示的實施例中,蝕刻子系統200C與圖2A或圖2B的實施例相似,且更包括上電極270,RF功率自RF產生器272經選用的阻抗匹配網路274與其耦合。施加至上電極之RF功率的頻率可約為0.1MHz至約200MHz不等。此外,施加至下電極221之RF功率的頻率可約為0.1MHz至約100MHz不等。另外,為了控制加至上電極270的RF功率,控制器255可與RF產生器272及阻抗匹配網路274結合。上電極的設計及實施係熟悉本技藝者所知悉的。上電極270及氣體注入系統240可如所示地彼此結合。
如圖2D所示的實施例,蝕刻子系統200D與圖2A或圖2B的實施例相似,且更包括感應線圈280,RF功率通過RF產生器282經選用的阻抗匹配網路284與其耦合。RF功率自感應線圈280經介電體窗(未顯示)與處理區域245感應地耦合。施加至感應線圈280之RF功率的頻率可約為10MHz至約100MHz不等。同樣地,施加至下電極221之RF功率的頻率可約為0.1MHz至約100MHz不等。此外,可利用有槽的法拉第屏蔽(slotted Faraday shield)以降低感應線圈280與電漿間的電容式耦合。再者,為了控制施加至感應線圈280的功率,控制器255可與RF產生器282及阻抗匹配網路284結合。
在替代的實施例(未顯示)中,感應線圈可使用「螺旋」線圈或「盤型」線圈。感應耦合電漿(ICP)源或變壓耦合電漿(TCP)源的設計及實施係熟悉本技藝者所知悉的。
在圖2E所示的實施例中,蝕刻子系統200E與圖2A、圖2B、圖2C、及圖2D的實施例相似,且更包括第二RF產生器235,用以經選用的阻抗匹配網路237使RF功率與晶圓座220耦合。針對第一RF產生器230或第二RF產生器235或兩者,施加至晶圓座220之RF功率的頻率可約為0.1MHz至約200MHz不等。第二RF產生器235的RF頻率可相對高於第一RF產生器230的RF頻率。此外,自第一RF產生器230至晶圓座220的RF功率可為振幅調變(amplitude modulated),自第二RF產生器235至晶圓座220的RF功率可為振幅調變,或兩RF功率可為振幅調變。如所預期的,較高頻率的RF功率為振幅調變。再者,為了控制施加至晶圓座220的RF功率,控制器255可與第二RF產生器235及阻抗匹配網路237結合。晶圓座之RF系統的設計及實施係熟悉本技藝者所知悉的。
在圖2F所示的實施例中,蝕刻子系統200F與圖2A及圖2E的實施例相似,且更包括表面波電漿(SWF)源285。SWF 285可包含槽孔天線(如徑向槽孔天線),微波功率透過微波產生器286經選用的阻抗匹配網路287而與其耦合。
在圖2G所示的實施例中,蝕刻子系統200G與圖2C的實施例相似,且更包括分離的上電極(270a,270b),RF功率可自RF產生器272經阻抗匹配網路274及功率分配器290而與其耦合。施加至分離之上電極(270a,270b)的RF功率的頻率可約為0.1MHz至約200MHz不等。此外,施加至下電極221之功率的頻率可約為0.1MHz至約100MHz不等。再者,為了控制施加至上電極270的RF功率,控制器255可與RF產生器272及阻抗匹配網路274結合。可設計該功率分配器及該分離之上電極且使其用以對處理區域245之中央及邊緣提供不同RF功率準位,以促進毗鄰晶圓225表面之處理區域245中的電漿產生及控制。分離的上電極(270a,270b)及氣體注入系統240可如所示般彼此結合,或可使用其它組態。
圖3A-3G依據本發明之實施例顯示蝕刻子系統的額外實施例。圖3A-3G說明示範蝕刻子系統300A-300G,其與圖2A-2G所示的示範蝕刻子系統200A-200G相似,但蝕刻子系統300A-300G包括至少一直流電(DC)電極305及至少一DC電源306。
於圖形化蝕刻中,常利用乾式電漿蝕刻處理,且為了加熱電子並引起製程氣體的後續離子化及原子及/或分子組成解離,藉由使電磁(EM)能(如射頻(RF)功率)與製程氣體耦合而自該製程氣體中形成電漿。此外,為了於部分RF循環(即耦合RF功率的正半周)期間產生轟擊晶圓表面高能(彈道)電子束,負的高電壓直流電(DC)電力可與電漿處理系統結合。吾人已觀察到彈道電子束可強化乾式電漿蝕刻處理的特性,例如改善底下(待蝕刻)之薄膜與遮層間的蝕刻選擇比,降低如電子遮蔽損害的充電損害等。在名為「電漿處理設備及方法,(Plasma processing apparatus and method)」之待審的美國專利申請案第11/156559號(公開號第2006/0037701A1號)中揭露有關彈道電子束之產生的額外細節,其整個內容併於本文以供參考。一般而言,如圖3A-3G所示,可在各種類型的電漿處理系統內執行該彈道電子束。
DC電極305可包括含矽材料及/或已摻雜的含矽材料。DC電源306可包括可變DC電源供應器。此外,DC電源306可包括雙極DC電源供應器。DC電源306更可包括用以執行下述中至少一者的系統:監視、調整、或控制DC電源306的極性、電流、電壓、及/或開/關狀態。一旦形成電漿,DC電源306促進彈道電子束的形成。可利用電濾波器使來自DC電源306的RF功率去耦。
例如,DC電源306施加予DC電極305的DC電壓可約為-2000伏特至約1000伏特不等。如所預期,DC電壓的絕對值具有等於或大於約100伏特之值,且更如預期的是,DC電壓的絕對值具有等於或大於約500伏特之值。此外,最好該DC電壓具有負極性。而且,最好該DC電壓為具有大於自偏壓電壓之絕對值的負電壓。
在另一實施例中,可使用化學氧化物移除(Chemical Oxide Removal,COR)子系統(未顯示)來移除或修整氧化的多晶矽材料。此外,可利用COR子系統來移除或修整氧化物遮罩層。舉例而言,COR子系統可包含一化學處理模組(未顯示),其用以化學處理晶圓上的裸露表面層(如氧化物表面層),藉此處理化學品吸附於裸露表面上而影響表面層的化學變化。再者,COR子系統可包含用以對晶圓進行熱處理的熱處理模組(未顯示),藉此升高晶圓溫度以使晶圓上經化學修飾的裸露表面層脫附(或蒸發)。
圖4依據本發明之實施例顯示多層多輸入多輸出示範(MLMIMO)模型優化及控制方法學的簡單方塊圖。在該說明性MLMIMO模型方法學中,顯示第一圖形化閘極堆疊401及後處理閘極堆疊405的示範圖像。第一圖形化閘極堆疊401的軟式遮層可包括一或多個軟式遮層特徵CD402及一或多個軟式遮層特徵側壁角度(SWA)403。可使用第一組參數404表明第一圖形化閘極堆疊401的特性,該組參數包括中心及邊緣輪廓資料項、中心及邊緣厚度(厚度C/E)資料項、中心CD資料項、邊緣CD資料項、中心SWA資料項、及邊緣SWA資料項。另外,可使用不同組參數。後處理閘極堆疊405可包括一或多個CD406及一或多個SWA407。可使用第二組參數408表明後處理閘極堆疊405的特性,該組參數包括中心及邊緣金屬閘極資料項、中心及邊緣硬式遮層資料項、一或多層含矽層的中心及邊緣資料項、中心SWA資料項、及邊緣SWA資料項。另外,可使用不同組參數。
在說明性方法學中,第一整合式度量(IM)工具(第一ODP-IM)控制器/模型410可與一或多個多晶矽蝕刻(P-E)工具控制器/模型420結合。一或多個多晶矽蝕刻(P-E)工具控制器/模型420可與一或多個清潔/灰化工具控制器/模型421結合。一或多個清潔/灰化工具控制器/模型421可與一或多個金屬閘極蝕刻(MGE)工具控制器/模型422結合。一或多個金屬閘極蝕刻(MGE)工具控制器/模型422可與一或多個輸出度量工具(第二OPD-IM)控制器/模型430結合。
第一整合式度量工具(第一ODP-IM)控制器/模型410可接收資料412且可提供前饋資料(411,415)。第二度量工具(第二ODP-IM)控制器/模型430可發送資料431且可提供回饋資料435。在若干例子中,晶圓間前饋資料(W2W FF)415可與第一ODP-IM控制器/模型410相關,且晶圓間回饋資料(W2W BF)435可與第二ODP-IM控制器/模型430相關。此外,一或多個控制器/模型(420、421及422)可用以於晶圓對晶圓的基礎上(W2W)控制閘極堆疊輪廓及於晶圓內的基礎上(WiW)控制閘極堆疊輪廓(425)。
資料項416可被送至第一計算元件440,其可用以計算晶圓中心處及晶圓邊緣處的閘極堆疊偏差。第一計算元件440可用以計算晶圓中心處及晶圓邊緣觸的偏差。可提供第一組目標參數441予第一計算元件440,且提供第一組濾波器輸出471予第一計算元件440。可將來自第一計算元件440的輸出資料項442提供予一或多個MLMIMO模型優化器450。
一或多個MLMIMO模型優化器450可設有一或多個約束參數451,其包括工具限制、配方限制、及/或時間限制。在所示的例子中,約束參數451可包括步驟為基的晶圓溫度限制或製程氣體限制。一或多個MLMIMO模型優化器450可判定一或多組配方/腔室參數456,其可被送至一或多個工具控制器/模型(420、421及422)。
一或多個工具控制器/模型(420、421及422)可用以計算預估資料項427,其可包括一或多個預估蝕刻偏差、一或多個預估SWA偏差、一或多個蝕刻配方的一或多個預估步驟時間、及或多個蝕刻配方的一或多個預估製程氣體流。
一或多個第二ODP-IM控制器/模型430可提供一或多個實際輸出433予一或多個比較元件460,且一或多個實際輸出433可與一或多個預估資料項427相比。可使來自一或多個比較元件460的一或多個錯誤值465提供予一或多個EWMA過濾器470。
一或多個EWMA過濾器470可提供一或多個第一過濾輸出471予第一計算元件440,且一或多個EWMA過濾器470可提供一或多個第二過濾輸出472予一或多個權重控制器/模型480。每一EWMA過濾器470可過濾並針對單一參數或錯誤值提供回饋資料。另外,每一EWMA過濾器470可過濾並針對多參數或錯誤值提供回饋資料。一或多個權重控制器/模型480可接收來自一或多個MLMIMO模型優化器450的一或多個目標資料項445及一或多個回饋資料項455。此外,一或多個權重控制器/模型480可對一或多個MLMIMO模型優化器450提供一或多個動態變化加權輸入481。根據回饋誤差而使用動態加權的概念係迫使優化器以最重要受控變數(CV)之更好控制(運轉時間中控制系統的手動調整自動化)為目標地把權重(再平衡)區分優先次序。
在若干實施例中,用於控制的操縱變數及/或擾動變數可包括於運轉時間內依下述方法所動態模型化及所更新之計算值:1)模型化程序以將OES感測器資料與受控變數(CV)配對之基本模型關係開始。例如,可使用來自該OES的微量氣體資料計算原子O或F之量,且消耗的原子O或F之量可用以預測CD或SWA。此為回饋更新迴圈,或蝕刻步驟期間的即時調整。2)在執行濕式清潔後,於調節或生產期間所處理的第一圖形化閘極堆疊將用以計算及更新此微量氣體模型。3)可在生產圖形化晶圓的運轉時間中使用相對增益矩陣(RGA)法,以評估何時使用感測器資料與CV回饋的相關關係替代計算值。將再評估既定CV值的RGA矩陣,以判定感測器為基之測得變數(MV)值是否強過於用作為即時CV值之微影引入的CV。4)此外,使用OES訊號之中心至邊緣感測器偵測自當前腔室中心對邊緣的蝕刻率中指出底抗反射塗層(BARC)厚度-變化率也可用作為一般理解的例子,以藉調整過蝕刻步驟中心至邊緣的凸起(如O2 流、溫度、頂功率、壓力),調整過蝕刻配方設定而改善均勻性(修正先前蝕刻步驟的非均勻性)。IM CV將係進來的晶圓膜厚以分隔進來者。
在若干實施例,與多晶矽蝕刻(P-E)序列或金屬閘極蝕刻(MGE)序列所產生之各種特徵部相關的受控變數可為中心CD及SWA值、中間CD及SWA值、邊緣CD及SWA值、及極端邊緣CD及SWA值,且此需要在晶圓上之四或多處總共八個IM量測值。可使用動態取樣執行前後IM量測值。
在其它實施例中,操縱變數可包括晶圓座中一或多區的背側氣體流,且可於處理期間動態地控制該背側氣體流,以依據進來的CV需求而調整本質上非徑向的晶圓區,針對改善的晶圓內處理均勻性提供動態背側氣體溫度控制。
在其它實施例中,操縱變數可包括邊緣氣體注入流速的流速。此工作方式也可用以降低晶圓邊緣的匱乏問題(starvation problem),且依據進來的特性及腔室狀態使邊緣匱乏成可控變數。
在若干MLMIMO模型中,可於離線觸發的計算更新程序期間在批次間更新交互作用項。例如,可藉檢查當前系統對交叉項中之改變得敏感性及藉運行一組預先定義的偏值差(delta offset)查看調整交叉項是否已改善平均控制,而觸發交叉項計算更新。RGA也用於此計算,且該觸發事件可用以針對MLMIMO模型執行適配的回饋更新。例如,當自腔室至腔室複製MLMIMO模型及使該MLMIMO模型得以適應新腔室行為時,可使用適配的回饋。當釋放新產品產生另一用途,且舊的產品方程可用以啟動模型,接著在許多晶圓之後觸發模型更新及調整新模型,且接著可使用產生的模型並監視效能。
圖5依據本發明之實施例說明產生金屬閘極結構之多步驟處理序列的示範觀點。在該說明性實施例中,顯示六個示範閘極堆疊(501-506)但此非本發明所需。另外,可使用帶有不同組態的不同數量的閘極堆疊。
在若干實施例中,可使用多晶矽蝕刻(P-E)處理序列及金屬閘極蝕刻(MGE)處理序列創造多層金屬閘極堆疊(501、502、503、504、505、及506)。例如,該P-E處理序列可包括矽抗反射塗布(Si-ARC)層蝕刻序列、蝕刻控制層(ECL)蝕刻序列、四乙基矽酸(TEOS)層蝕刻序列、TEOS過蝕刻(OE)蝕刻序列、及灰化序列。此外,金屬閘極蝕刻(MGE)處理序列可包括「貫穿(BT)」蝕刻程序、主蝕刻(ME)蝕刻程序、過蝕刻(OE)蝕刻程序、氮化鈦(TiN)蝕刻程序、及高介電常數(HK)蝕刻程序。
顯示閘極堆疊501,其包括晶圓層510、金屬閘極層515、第三硬式遮層520、第一含矽層525、第二含矽層530、第二硬式遮層535、閘極寬控制層540、第一硬式遮層545、及軟式遮層特徵部的圖形550。例如,晶圓層510可包括半導體材料;金屬閘極層515可包括HfO2 ;第三硬式遮層520可包括TiN;第一含矽層525可包括非晶矽(a-Si);第二含矽層530包括SiN;第二硬式遮層535包括四乙基矽酸(TEOS)[Si(OC2 H5 )4 ];閘極寬控制層540可包括有機平坦化層(OPL);第一硬式遮層545可包括Si-ARC材料;及軟式遮層特徵部550可包括光阻材料。
可利用模型(560-570)使第一多層多步驟(MLMS)處理序列模型化,且模型(560-570)可使用傳送裝置575交換測得變量(MV)資料、可使用傳送裝置580交換擾動變量(DV)資料、及可使用傳送裝置585交換受控變量(CV)資料。模組(560-570)可接收、處理、及/或傳送與本文所述之蝕刻程序相關的MV資料、DV資料、及CV資料。
第一模型560可為第一閘極堆疊501的第一整合式度量(IM)模型,且可包括第一ODP模型。第一模型560可用以判定軟式遮層(光阻)特徵部550的輪廓資料。
顯示第二閘極堆疊502,其包括晶圓層510、金屬閘極層515、第三硬式遮層520、第一含矽層525、第二含矽層530、第二硬式遮層535、閘極寬控制層540、第一硬式遮層特徵部545a、及已蝕刻的軟式遮層特徵部550a。例如,晶圓層510可包括半導體材料;金屬閘極層515可包括HfO2 ;第三硬式遮層520可包括TiN;第一含矽層525可包括非晶矽(a-Si);第二含矽層530包括SiN;第二硬式遮層535包括TEOS;閘極寬控制層540可包括蝕刻控制材料;第一硬式遮層特徵部545a可包括已蝕刻的Si-ARC材料;及已蝕刻的軟式遮層特徵部550a可包含已蝕刻的光組材料。在第一蝕刻程序期間,軟式遮層特徵部550a的圖案可用以創造第一硬式遮層特徵部545a的圖形。
可使用第一蝕刻程序蝕刻其上具有第一閘極堆疊501的圖形化晶圓,以創造其上具有第二閘極堆疊502的圖形化晶圓。在若干實施利中,可使用Si-ARC層蝕刻程序。另外,可使用其它蝕刻程序。可針對該第一蝕刻程序創造一或多個第一蝕刻模型561。
於該Si-ARC層蝕刻程序期間,腔室壓力可自約12 mT至約18 mT不等;該上功率可在約450瓦至約550瓦中變化;該下功率可在約90瓦至約110瓦中變化;ESC電壓可設定在約2500V;四氟化碳(CF4 )流速可在約60 sccm及約100 sccm之間變化;三氟甲烷(CHF3 )流速可在約40 sccm及約60 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約10度C至約30度C中變化;晶圓座的中心溫度可在約12度C至約20度C中變化;晶圓座的邊緣溫度可在約8度C至約12度C中變化;晶圓座的中心背側壓力可在約15 Torr至約25 Torr中變化;晶圓座的邊緣背側壓力可在約27 Torr至約33 Torr中變化;及處理時間可在約60秒至約90秒中變化。
第三模型562可為第二閘極堆疊502的第二整合式度量(IM)模型,且可包括第二ODP模型。第二閘極堆疊502可用以判定已蝕刻的軟式遮層特徵部550a及第一硬式遮層特徵部545a的輪廓資料。
顯示第三閘極堆疊503,其包括晶圓層510、金屬閘極層515、第三硬式遮層520、第一含矽層525、第二含矽層530、第二硬式遮層535、閘極寬控制層特徵部540b、及已蝕刻的第一硬式遮層特徵部545b。例如,晶圓層510可包括半導體材料;金屬閘極層515可包括HfO2 ;第三硬式遮層520可包括TiN;第一含矽層525可包括非晶矽(a-Si);第二含矽層530包括SiN;第二硬式遮層535包括TEOS;閘極寬控制層特徵部540b可包括蝕刻ODL;及已蝕刻的第一硬式遮層特徵部545b可包括已蝕刻的Si-ARC材料。於第二蝕刻程序期間,已蝕刻的第一硬式遮層特徵部545b的圖形可用以創造閘極寬控制層特徵部540b的圖形。
可使用第二蝕刻程序蝕刻其上具有第二閘極堆疊502的圖形化晶圓,以創造其上具有第三閘極堆疊503的圖形化晶圓。在若干實施利中,可使用蝕刻控制層(ECL)蝕刻程序。另外,可使用其它蝕刻程序。可針對該第二蝕刻程序創造一或多個第二蝕刻模型563。
於該蝕刻控制層蝕刻程序期間,腔室壓力可自約15 mT至約25 mT不等;該上功率可在約450瓦至約550瓦中變化;該下功率可在約90瓦至約110瓦中變化;ESC電壓可設定在約2500V;O2 流速可在約30 sccm及約50 sccm之間變化;CO2 流速可在約70 sccm及約90 sccm之間變化;HBr流速可在約25 sccm及約35 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約10度C至約30度C中變化;晶圓座的中心溫度可在約12度C至約20度C中變化;晶圓座的邊緣溫度可在約8度C至約12度C中變化;晶圓座的中心背側壓力可在約15 Torr至約25 Torr中變化;圓座的邊緣背側壓力可在約27 Torr至約33 Torr中變化;及處理時間可在約90秒至約130秒中變化。
第四模型564可為第三閘極堆疊503的第三整合式度量(IM)模型,且可包括第三ODP模型。第三ODP模型564可用以判定閘極寬控制層特徵部540b及已蝕刻的第一硬式遮層特徵部545b的輪廓資料。
顯示第四閘極堆疊504,其包括晶圓層510、金屬閘極層515、第三硬式遮層520、第一含矽層525、第二含矽層530、及第二硬式遮層特徵部535c。例如,晶圓層510可包括半導體材料;金屬閘極層515可包括HfO2 ;第三硬式遮層520可包括TiN;第一含矽層525可包括非晶矽(a-Si);第二含矽層530包括SiN;第二硬式遮層特徵部535c包括TEOS材料。於第三蝕刻程序期間,閘極寬控制層特徵部540b的圖形可用以創造第二硬式遮層特徵部535c。
第七模型566可為第四閘極堆疊504的第四整合式度量(IM)模型,且可包括第四ODP模型。第四ODP模型566可用以判定第二硬式遮層特徵部535c的輪廓資料。
可使用第三蝕刻程序蝕刻其上具有第三閘極堆疊503的圖形化晶圓,以創造其上具有第四閘極堆疊504的圖形化晶圓。在若干實施利中,可使用TEOS蝕刻序列,其包括TEOS層蝕刻程序、TEOS OE蝕刻程序、及灰化程序。另外,可使用其它蝕刻、灰化、或清潔程序。可針對該TEOS蝕刻序列創造一或多個第三蝕刻模型565。
於TEOS層蝕刻程序期間,腔室壓力可自約35 mT至約45 mT不等;該上功率可在約550瓦至約650瓦中變化;該下功率可在約90瓦至約110瓦中變化;ESC電壓可設定在約2500V;CF4 流速可在約40 sccm及約60 sccm之間變化;CHF3 流速可在約40 sccm及約60 sccm之間變化;O2 流速可在約3 sccm及約7 sccm之間變化;頂腔室溫度可在約30度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約30度C至約50度C中變化;晶圓座的中心溫度可在約25度C至約35度C中變化;晶圓座的邊緣溫度可在約8度C至約12度C中變化;晶圓座的中心背側壓力可在約15 Torr至約25 Torr中變化;晶圓座的邊緣背側壓力可在約27 Torr至約33 Torr中變化;及處理時間可在約50秒至約90秒中變化。
於TEOS OE蝕刻程序期間,腔室壓力可自約35 mT至約45 mT不等;該上功率可在約550瓦至約650瓦中變化;該下功率可在約90瓦至約110瓦中變化;ESC電壓可設定在約2500V;CF4 流速可在約40 sccm及約60 sccm之間變化;CHF3 流速可在約40 sccm及約60 sccm之間變化;O2 流速可在約3 sccm及約7 sccm之間變化;頂腔室溫度可在約30度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約30度C至約50度C中變化;晶圓座的中心溫度可在約25度C至約35度C中變化;晶圓座的邊緣溫度可在約8度C至約12度C中變化;晶圓座的中心背側壓力可在約15 Torr至約25 Torr中變化;晶圓座的邊緣背側壓力可在約27 Torr至約33 Torr中變化;及處理時間可在約5秒至約10秒中變化。
於該灰化程序期間,腔室壓力可自約125 mT至約175 mT不等;該上功率可在約350瓦至約450瓦中變化;該下功率可在約20瓦至約30瓦中變化;ESC電壓可設定在約2500V;O2 流速可在約430 sccm及約470 sccm之間變化;頂腔室溫度可在約30度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約70度C至約80度C中變化;晶圓座的中心溫度可在約70度C至約80度C中變化;晶圓座的邊緣溫度可在約8度C至約12度C中變化;晶圓座的中心背側壓力可在約15 Torr至約25 Torr中變化;晶圓座的邊緣背側壓力可在約27 Torr至約33 Torr中變化;及處理時間可在約150秒至約210秒中變化。
顯示第五閘極堆疊505,其包括晶圓層510、金屬閘極層515、已蝕刻的第三硬式遮層特徵部520d、已蝕刻的第一含矽層特徵部525d、已蝕刻的第二含矽層特徵部530d、及已蝕刻的第二硬式遮層特徵部535d。例如,晶圓層510可包括半導體材料;金屬閘極層515可包括HfO2 ;已蝕刻的第三硬式遮層特徵部520d可包括TiN;已蝕刻的第一含矽層特徵部525d可包括非晶矽(a-Si);已蝕刻的第二含矽層特徵部530d包括SiN;及已蝕刻的第二硬式遮層特徵部535d包括TEOS。於第四蝕刻程序期間,可執行清潔程序及可移除剩餘的閘極寬控制層材料540c。
可使用第四蝕刻程序蝕刻其上具有第四閘極堆疊504的圖形化晶圓,以創造其上具有第五閘極堆疊505的圖形化晶圓。在若干實施利中,可使用第一硬式遮層蝕刻序列,其包括貫穿(BT)蝕刻程序、主蝕刻(ME)蝕刻程序、過蝕刻(OE)蝕刻程序、及氮化鈦(TiN)蝕刻程序。另外,可使用其它蝕刻、灰化或清潔程序。可針對該第一硬式遮層蝕刻序列創造一或多個第四蝕刻模型567。
於BT蝕刻程序期間,腔室壓力可自約8 mT至約12 mT不等;該上功率可在約600瓦至約700瓦中變化;該下功率可在約175瓦至約200瓦中變化;ESC電壓可設定在約2500V;CF4 流速可在約120 sccm及約150 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約10度C至約30度C中變化;晶圓座溫度可在約60度C至約70度C中變化;晶圓座的中心背側壓力可在約8 Torr至約12 Torr中變化;晶圓座的邊緣背側壓力可在約8 Torr至約12 Torr中變化;及處理時間可在約5秒至約15秒中變化。
於ME蝕刻程序期間,腔室壓力可自約8 mT至約12 mT不等;該上功率可在約120瓦至約150瓦中變化;ESC電壓可設定在約2500V;O2 流速可在約2 sccm及約6 sccm之間變化;HBr流速可在約220 sccm及約280 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約10度C至約30度C中變化;晶圓座溫度可在約60度C至約70度C中變化;晶圓座的中心背側壓力可在約8 Torr至約12 Torr中變化;晶圓座的邊緣背側壓力可在約8 Torr至約12 Torr中變化;及處理時間可在約50秒至約70秒中變化。
於OE蝕刻程序期間,腔室壓力可自約8 mT至約12 mT不等;該上功率可在約120瓦至約150瓦中變化;該下功率可在約20瓦至約40瓦中變化;ESC電壓可設定在約2500V;O2 流速可在約2 sccm及約6 sccm之間變化;HBr流速可在約220 sccm及約280 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約60度C至約80度C中變化;晶圓座溫度可在約60度C至約70度C中變化;晶圓座的中心背側壓力可在約8 Torr至約12 Torr中變化;晶圓座的邊緣背側壓力可在約8 Torr至約12 Torr中變化;及處理時間可在約20秒至約30秒中變化。
於TiN蝕刻程序期間,腔室壓力可自約8 mT至約12 mT不等;該上功率可在約180瓦至約220瓦中變化;該下功率可在約40瓦至約60瓦中變化;ESC電壓可設定在約2500V;氯(Cl2 )流速可在約12 sccm及約18 sccm之間變化;Ar流速可在約180 sccm及約220 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約50度C至約70度C中變化;底腔室溫度可在約60度C至約80度C中變化;晶圓座溫度可在約60度C至約70度C中變化;晶圓座的中心背側壓力可在約8 Torr至約12 Torr中變化;晶圓座的邊緣背側壓力可在約8 Torr至約12 Torr中變化;及處理時間可在約50秒至約80秒中變化。
第九模型568可為第五閘極堆疊505的第五整合式度量(IM)模型,且可包括第五ODP模型。第五ODP模型568可用以判定已清潔之第三硬式遮層特徵部520d的輪廓資料、已清潔之第一含矽層特徵部525d、已清潔之第二含矽層特徵部530d、及已清潔之第二硬式遮層特徵部535d的輪廓資料。
顯示第六閘極堆疊506,其包括晶圓層510及金屬閘極層特徵部515e。於第五蝕刻程序期間,可蝕刻第三硬式遮層特徵部520d、第一含矽層特徵部525d、第二含矽層特徵部530d、及第二硬式遮層特徵部535d,以創造金屬閘極層特徵部515e的圖形。
可使用第五蝕刻程序蝕刻其上具有第五閘極堆疊505的圖形化晶圓,以創造其上具有第六閘極堆疊506的圖形化晶圓。在若干實施利中,可使用第二硬式遮層蝕刻序列,其包括金屬層(HK)蝕刻程序。另外,可使用其它蝕刻、灰化、或清潔程序。可針對該第二硬式遮層蝕刻序列創造一或多個第五蝕刻模型569。
於HK蝕刻程序期間,HK腔室壓力可自約8 mT至約12 mT不等;該上功率可在約550瓦至約650瓦中變化;ESC電壓可設定在約500V;三氯化硼(BCl3 )流速可在約120 sccm及約180 sccm之間變化;頂腔室溫度可在約70度C至約90度C中變化;腔室壁溫度可在約40度C至約60度C中變化;底腔室溫度可在約60度C至約80度C中變化;及處理時間可在約30秒至約40秒中變化。
第十一模型570可為第六閘極堆疊506的第六整合式度量(IM)模型,且可包括第六ODP模型。第六ODP模型570可用以判定金屬閘極層特徵部515e的輪廓資料。
於製程發展期間,實驗設計(DOE)技術可用以檢查初測的模型(560-570)組及發展縮減的MLMIMO模型組。
圖6依據本發明之實施例說明創造金屬閘極結構之第二多步驟處理序列的示範視圖。在該說明性實施例中,顯示三個示範閘極堆疊(601-603),但此非本發明所需。另外,可使用不同數量的閘極堆疊、不同數量的模型、及不同組態。
在若干實施例中,可使用第一多層多步驟(MLMS)處理序列及第二多層多步驟(MLMS)處理序列創造多層金屬閘極堆疊(圖6的601、602、及603)。例如,該第一MLMS處理序列可如上述地包括Si-ARC層蝕刻程序,及如上述地包括蝕刻控制層(ECL)蝕刻程序。此外,該第二多層多步驟(MLMS)處理序列可如上述地包括TEOS層蝕刻程序、如上述地包括TEOS過蝕刻(OE)蝕刻程序、如上述地包括灰化程序、如上述地包括貫穿(BT)蝕刻程序、如上述地包括主蝕刻(ME)蝕刻程序、如上述地包括過蝕刻(OE)蝕刻程序、如上述地包括氮化鈦(TiN)蝕刻程序、及如上述地包括HK蝕刻程序。
顯示第一閘極堆疊601,其包括晶圓層610、金屬閘極層615、第三硬式遮層620、第一含矽層625、第二含矽層630、第二硬式遮層635、閘極寬控制層640、第一硬式遮層645、及軟式遮層特徵部的圖形650。例如,晶圓層610可包括半導體材料;金屬閘極層615可包括HfO2 ;第三硬式遮層620可包括TiN;第一含矽層625可包括非晶矽(a-Si);第二含矽層630包括SiN;第二硬式遮層635包括TEOS;閘極寬控制層640可包括ODL;第一硬式遮層645可包括Si-ARC材料;及軟式遮層特徵部650可包括光阻材料。
可針對第一閘極堆疊601建立第一ODP模型660,且第一ODP模型660可用以判定光阻特徵部650的輪廓資料及其它相關層的資料。第一ODP模型660可提供DV資料予MIMLMO模型661。
可使用第一MLMS處理程序蝕刻其上具有第一閘極堆疊601的圖形化晶圓,以創造其上具有第二閘極堆疊602的圖形化晶圓。例如,該第一MLMS處理序列可如上述地包括Si-ARC層蝕刻程序,及如上述地包括蝕刻控制層(ECL)蝕刻程序。
可利用MLMIMO模型661使該第一MLMS處理序列模型化,且MLMIMO模型661可使用傳送裝置675交換測得變量(MV)資料、可使用傳送裝置680交換擾動變量(DV)資料、及可使用傳送裝置685交換受控變量(CV)資料。MLMIMO模型661可包括與本文所述之Si-ARC層蝕刻程序及蝕刻控制層(ECL)蝕刻程序相關的MV資料、DV資料、及CV資料。模型(660-664)可接收、處理、產生、及/或傳送與本文所述之程序相關的MV資料、DV資料、及CV資料。
顯示第二閘極堆疊602,其包括晶圓層610、金屬閘極層615、第三硬式遮層620、第一含矽層625、第二含矽層630、第二硬式遮層635、已蝕刻的閘極寬控制層特徵部640a、及已蝕刻的第一硬式遮層特徵部645a。例如,晶圓層610可包括半導體材料;金屬閘極層615可包括HfO2 ;第三硬式遮層620可包括TiN;第一含矽層625可包括非晶矽(a-Si);第二含矽層630包括SiN;第二硬式遮層635包括TEOS;閘極寬控制層特徵部640a可包括已蝕刻的ECL材料;及已蝕刻的第一硬式遮層特徵部645a可包括已蝕刻的Si-ARC材料。於第一MLMS處理序列期間,軟式遮層特徵部650的圖形可用以創造已蝕刻之第一硬式遮層特徵部645a的圖形及已蝕刻之閘極寬控制層特徵部640a的圖形。
可針對第二閘極堆疊602建立第二ODP模型662,且第二ODP模型662可用以判定閘極寬控制層特徵部640a、已蝕刻之第一硬式遮層特徵部645a的輪廓資料,及其它相關層的資料。
可使用第二MLMS處理程序蝕刻其上具有第二閘極堆疊602的圖形化晶圓,以創造其上具有第三閘極堆疊603的圖形化晶圓。例如,該第二MLMS處理程序可如上述地包括TEOS層蝕刻程序、如上述地包括TEOS過蝕刻(OE)蝕刻程序、如上述地包括灰化程序、如上述地包括貫穿(BT)蝕刻程序、如上述地包括主蝕刻(ME)蝕刻程序、如上述地包括過蝕刻(OE)蝕刻程序、如上述地包括氮化鈦(TiN)蝕刻程序、及如上述地包括HK蝕刻程序。
可利用第二MLMIMO模型663使該第二MLMS處理序列模型化,且MLMIMO模型663可使用傳送裝置675交換測得變量(MV)資料、可使用傳送裝置680交換擾動變量(DV)資料、及可使用傳送裝置685交換受控變量(CV)資料。MLMIMO模型663可包括與本文所述之下列蝕刻程序相關的MV資料、DV資料、及CV資料:如上述的TEOS層蝕刻程序、如上述的TEOS過蝕刻(OE)蝕刻程序、如上述的灰化程序、如上述的貫穿(BT)蝕刻程序、如上述的主蝕刻(ME)蝕刻程序、如上述的過蝕刻(OE)蝕刻程序、如上述的氮化鈦(TiN)蝕刻程序、及如上述的HK蝕刻程序。
顯示第三閘極堆疊603,其包括晶圓層610及金屬閘極層特徵部615b的圖形。例如,晶圓層610可包括半導體材料;金屬閘極層特徵部615b可包括HfO2 。於第二MLMS處理序列期間,第一硬式遮層特徵部645a的圖形及閘極寬控制層特徵部640a的圖形可用以創造金屬閘極層特徵部615b的圖形。
可針對第三閘極堆疊603建立第三ODP模型664,且第三ODP模型664可用以判定金屬閘極層特徵部615b的輪廓資料,及其它相關層的資料。
於MLMIMO模型發展期間,可使用各種路徑675建立操縱變數(MV)並行前饋及/或回饋;可使用各種路徑680建立擾動變量(DV)並行前饋及/或回饋;及可使用各種路徑685建立受控變量(CV)並行前饋及/或回饋。此外,可優化MLMIMO模型中實際所用的前饋及回饋路徑(675、680、及685)的數目。DOE技術可用以檢查此模型(660-664)組及發展縮減的前饋及回饋路徑/變量組。可於模型發展及DOE程序期間使用三個示範閘極堆疊(601-603)中一或多個與一或多個模型(660-664)。三個示範閘極堆疊(601-603)中一或多個的配方資料及/或製程資料及一或多個模型(660-664)的模型化資料可儲於程式庫中,並用於MLMIMO模型化程序期間。此外,可使用本文圖2A-2G及圖3A-3G所述之一或多個蝕刻子系統執行該第一及第二MLMS處理序列。
圖7依據本發明之實施例說明創造金屬閘極結構之第三多步驟模型化序列的示範視圖。在其它實施例中,可使用第一多層多步驟(MLMS)處理序列及第二多層多步驟(MLMS)處理序列創造多層金屬閘極結構(圖7的701、702、及703)。例如,該第一MLMS處理序列可如上述地包括Si-ARC層蝕刻程序、如上述地包括蝕刻控制層(ECL)蝕刻程序、如上述地包括TEOS層蝕刻程序、如上述地包括TEOS過蝕刻(OE)蝕刻程序、如上述地包括灰化程序。此外,該第二多層多步驟(MLMS)處理序列如上述地包括貫穿(BT)蝕刻程序、如上述地包括主蝕刻(ME)蝕刻程序、如上述地包括過蝕刻(OE)蝕刻程序、如上述地包括氮化鈦(TiN)蝕刻程序、及如上述地包括HK蝕刻程序。
顯示第一閘極堆疊701,其包括晶圓層710、金屬閘極層715、第三硬式遮層720、第一含矽層725、第二含矽層730、第二硬式遮層735、閘極寬控制層740、第一硬式遮層745、及軟式遮層特徵部的圖形750。例如,晶圓層710可包括半導體材料;金屬閘極層715可包括HfO2 ;第三硬式遮層720可包括TiN;第一含矽層725可包括非晶矽(a-Si);第二含矽層730包括SiN;第二硬式遮層735包括TEOS;閘極寬控制層740可包括蝕刻控制材料;第一硬式遮層745可包括Si-ARC材料;及軟式遮層特徵部750可包括光阻材料。
可針對第一閘極堆疊701建立第一ODP模型760,且第一ODP模型760可用以判定光阻特徵部750的輪廓資料及其它相關層的資料。
可使用第一MLMS處理程序蝕刻其上具有第一閘極堆疊701的圖形化晶圓,以創造其上具有第二閘極堆疊702的圖形化晶圓。例如,該第一MLMS處理序列可如上述地包括Si-ARC層蝕刻程序、如上述地包括蝕刻控制層(ECL)蝕刻程序、如上述地包括TEOS層蝕刻程序、如上述地包括TEOS過蝕刻(OE)蝕刻程序、如上述地包括灰化程序。
可利用模型(760-764)使該第三MLMS處理序列模型化,且模型(760-764)可使用傳送裝置775交換測得變量(MV)資料、可使用傳送裝置780交換擾動變量(DV)資料、及可使用傳送裝置785交換受控變量(CV)資料。模型(760-764)可接收、創造、處理、及/或傳送與本文所述之程序相關的MV資料、DV資料、及CV資料。
顯示第二閘極堆疊702,其包括晶圓層710、金屬閘極層715、第三硬式遮層720、第一含矽層725、第二含矽層730、已蝕刻的第二硬式遮層特徵部735a。例如,晶圓層710可包括半導體材料;金屬閘極層715可包括HfO2 ;第三硬式遮層720可包括TiN;第一含矽層725可包括非晶矽(a-Si);第二含矽層730包括SiN;已蝕刻的第二硬式遮層特徵部735a包括TEOS。於第一MLMS處理序列期間,軟式遮層特徵部750的圖形可用以創造第二硬式遮層特徵部735a的圖形。
可針對第二閘極堆疊702建立第二ODP模型762,且第二ODP模型762可用以判定第二硬式遮層特徵部735a的輪廓資料及其它相關層的資料。
可使用第二MLMS處理程序蝕刻其上具有第二閘極堆疊702的圖形化晶圓,以創造其上具有第三閘極堆疊703的圖形化晶圓。例如,該第二多層多步驟(MLMS)處理序列如上述地包括貫穿(BT)蝕刻程序、如上述地包括主蝕刻(ME)蝕刻程序、如上述地包括過蝕刻(OE)蝕刻程序、如上述地包括氮化鈦(TiN)蝕刻程序、及如上述地包括HK蝕刻程序。
可利用第二MLMIMO模型763使該第二MLMS處理序列模型化,且MLMIMO模型763可使用傳送裝置775交換測得變量(MV)資料、可使用傳送裝置780交換擾動變量(DV)資料、及可使用傳送裝置785交換受控變量(CV)資料。MLMIMO模型763可包括與本文所述之下列蝕刻程序相關的MV資料、DV資料、及CV資料:如上述的貫穿(BT)蝕刻程序、如上述的主蝕刻(ME)蝕刻程序、如上述的過蝕刻(OE)蝕刻程序、如上述的氮化鈦(TiN)蝕刻程序、及如上述的HK蝕刻程序。
可針對第三閘極堆疊703建立第三ODP模型764,且第三ODP模型764可用以判定已蝕刻之金屬閘極特徵部715b的輪廓資料,及其它相關層的資料。
於MLMIMO模型發展期間,可使用各種路徑775建立操縱變數(MV)並行前饋及/或回饋;可使用各種路徑780建立擾動變量(DV)並行前饋及/或回饋;及可使用各種路徑785建立受控變量(CV)並行前饋及/或回饋。此外,DOE技術可用以檢查此模型(760-764)組及發展優化的MLMIMO模型組。可於模型發展及DOE程序期間使用三個示範閘極堆疊(701-703)中一或多個與一或多個模型(760-764)。三個示範閘極堆疊(701-703)中一 或多個的配方資料及/或製程資料及一或多個模型(760-764)的模型化資料可儲於程式庫中,並用於MLMIMO模型化程序期間。此外,可使用本文圖2A-2G及圖3A-3G所述之一或多個蝕刻子系統執行該第一及第二MLMS處理序列。
圖8依據本發明之實施例顯示多層多輸入多輸出(MLMIMO)模型的示範概要圖。示範概要圖800包括第一閘極堆疊810、第二閘極堆疊820、及第三閘極堆疊830。第一處理序列815可用以自第一閘極堆疊810中創造第二閘極堆疊820;第二處理序列825可用以自第二閘極堆疊820中創造第三閘極堆疊830;及第三處理序列835可用以量測第三閘極堆疊830。
第一處理序列815可包括第一量測程序(Meas1)及第一蝕刻程序(Etcha);第二處理序列825可包括第二量測程序(Meas2)及第二蝕刻程序(Etchb);及第三處理序列835可包括第三量測程序(Meas3)。
第一模型(model1)可用以使第一處理序列815模型化及可包括第一組擾動變量(DV1a-na)、第一組操縱變量(MV1a-na)及第一組受控變量(CV1a-na)。第二模型(model2)可用以使第二處理序列825模型化及可包括第二組擾動變量(DV1b-nb)、第二組操縱變量(MV1b-nb)及第二組受控變量(CV1b-nb)。
圖9依據本發明之實施例說明二部多層多輸入多輸出(MLMIMO)模型的示範方塊圖。
顯示第一綜合模型910,其係與多晶矽蝕刻(P-E)序列相關且包括第一組MV(1a-na)、第一組DV(1a-na)及第一組CV(1a-na)。顯示第一組示範MV 911,其包括與模型910相關的八個操縱變量{MV(1a)-MV(8a)}。另外,不同數目的不同操縱變量可與第一模型910相關。顯示第一組示範DV 912,其包括與模型910相關的六個擾動變量{DV(1a)-DV(6a)}。另外,不同數目的不同擾動變量可與第一模型910相關。顯示第一組示範CV 913,其包括與模型910相關的六個受控變量{CV(1a)-CV(6a)}。另外,不同數目的不同受控變量可與第一模型910相關。此外,顯示與模型910相關的第一組示範方程式915。另外其它方程式可與第一模型910相關。
顯示第二綜合模型920,其與金屬閘極蝕刻(MGE)序列相關且包括第二組MV(1b-nb)、第二組DV(1b-nb)及第二組CV(1b-nb)。顯示第二組示範MV 921,其包括與第二模型920相關的八個操縱變量{MV(1b)-MV(8b)}。另外,不同數目的不同操縱變量可與第二模型920相關。顯示第二組示範DV 922,其包括與第二模型920相關的六個擾動變量{DV(1b)-DV(6b)}。另外,不同數目的不同擾動變量可與第二模型920相關。顯示第三組示範CV 923,其包括與第二模型920相關的六個受控變量{CV(1b)-CV(6b)}。另外,不同數目的不同受控變量可與第二模型920相關。此外,顯示與第二模型920相關的第二組示範方程式925。另外其它方程式可與第二模型920相關。
可使與第一模型910相關的一或多個變量(911、912、或913)前饋930至第二模型920,且可使與第二模型920相關的一或多個變量(921、922、或923)回饋935至第一模型910。
圖10依據本發明之實施例說明發展多層多輸入多輸出(MLMIMO)模型的示範流程圖。在該說明性實施例中,顯示程序1000具有若干步驟。另外,可使用不同數量的替代步驟。
在1010中,一或多個多層處理序列可確定為多層多輸入多輸出模型化分析程序的候選者。在若干例子中,可建立一或多個MLMIMO模型,以創造一或多個金屬閘極結構(圖6的601、602、及603)及(圖7的701、702、及703)。
在1015中,可判定第一組受控輸出變量(CV)及與該等CV相關的範圍。可藉終端用戶或客戶確定一或多個CV。該等CV可包括與一或多個金屬閘極結構(圖6的601、602、及603)及(圖7的701、702、及703)相關的一或多個臨界尺寸(CD)及/或一或多個側壁角度(SWA)。在若干例子中,可使用多晶矽蝕刻(P-E)處理序列及金屬閘極蝕刻(MGE)處理序列創造該金屬閘極結構(圖6的601、602、及603)及(圖7的701、702、及703)。例如,可執行金屬閘極蝕刻(MGE)處理序列,以在閘極堆疊中創造一或多個金屬閘極特徵部,且可針對pFET裝置、nFET裝置、三閘極(Tri-gate)裝置、及鰭式FET(FinFET)裝置執行不同的金屬閘極蝕刻序列。
在1020中,可針對與MLMIMO相關的操縱變量(MV)使用一或多個候選配方判定第一組候選者。該等MV可包括晶圓內(WiW)操縱變量(WiW-MV),且該WiW-MV可包括在處理一晶圓時可控制之「快速」MV。該等MV可包括晶圓間(W2W)操縱變量(W2W-MV),且該W2W-MV可包括在處理一批晶圓時可控制之「慢速」MV。可針對候選配方中之各步驟檢驗MV的範圍。
當使用帶有快速響應時間的二區晶圓座時,該晶圓座的中心溫度及邊緣溫度可用作為(WiW-MV)且可一步步改變之。當配合分離的上電極及功率分配器而使用帶有快速響應時間的RF源時,電漿的中心RF功率及邊緣RF功率可用作為(WiW-MV)且可一步步改變之。當使用低溫冷卻器(-10C)時,中心至邊緣會有較大的溫差。此外,壓力、時間、及氣流可用作為MV。
擾動變量(DV)可包括中心及邊緣處的光阻CD及SWA、中心及邊緣處的蝕刻控制層CD及SWA、中心及邊緣處的層厚、不同層的化學及蝕刻率特性、腔室上的維護事件、腔室間的資料、及IM間的資料。
在1025中,可執行實驗設計法則(DOE)程序,以分析MLMIMO模型。使用物理分析及工程經驗,可執行DOE程序以建立連接MV及CV的統計模型。當實驗的數量增加時,可獲得更精確的模型,但會耗費額外材料及時間。因此,成本及有效性會限制DOE晶圓的數量。為了盡可能降低數量但也防止不精確性,良好設計的DOE具有關鍵的重要性。如此DOE之最關鍵的因子係預測模型的格式。可選擇一或多個模型類型、可針對CV及/或MV設置範圍、及可使用統計軟體(如JMP,一種SAS學會的統計軟體)以建立一或多個DOE表。該DOE資料可用以建立候選的MV、CV、及DV,其與第一多晶矽蝕刻(P-E)序列及金屬閘極蝕刻(MGE)序列相關。在其它分析程序中,可使用其它MV、DV及CV。在若干實施例中,蝕刻腔室及IM腔室的腔室狀態資料可用作為操縱變量。另外,製程模型化可假定腔室狀態在晶圓及/或批次間係穩定的。
在若干實施例中,PE處理序列可包括Si-ARC層蝕刻程序、蝕刻控制層(ECL)蝕刻程序、TEOS層蝕刻程序、TEOS過蝕刻(OE)蝕刻程序、及灰化程序。此外,金屬閘極蝕刻(MGE)序列可包括「貫穿(BT)」蝕刻程序、主蝕刻(ME)蝕刻程序、過蝕刻(OE)蝕刻程序、氮化鈦(TiN)蝕刻程序、及HK蝕刻程序。可針對P-E處理序列及針對金屬閘極蝕刻(MGE)序列獲得DOE資料。
在1030中,於執行構成一或多個DOE表所需的該P-E序列及該金屬閘極蝕刻序列之後,可使用最小平方技術及統計軟體創造帶有二次及交互項的非線性模型。在若干模型中,可消除具有與其有關之非常小係數的項數。
在1035中,可使用該DOE資料創造一或多個線性增益矩陣(G)。例如,
對於i=1,2,...,n及j=1,2,...,n。符號表示除MVj 保持常數外,對所有操縱變量進行估值的偏微分,且此項係CVi 及MVj 間的開迴路(open-loop)增益。此外,符號可被解釋為閉迴路(closed-loop)增益,當所有控制迴路係封閉時,其表示MVj 及CVi 的效應。
當獲得非方形矩陣時,可除去若干MV或CV以創造方形矩陣。此外,當MV多於CV時,可使用非方形RGA(NRGA)分析非方形矩陣。例如,
且使用偽逆矩陣(G+ )取代正常的反矩陣(G-1 )。NRGA提供一些選擇方形系統的基準,但其基準在若干非方形系統中未必有效,所以可能需要考慮子系統之方形成對(square pairing)的所有組合。為比較一子系統及其它子系統,RGA成對規則可用作為矩陣。此創造子組合,接著比較以求最佳方形矩陣。
在1040中,可使用一或多個線性增益矩陣(G)計算一或多個相對增益陣列(RGA)。例如,當使用方形矩陣時,
其中G係增益矩陣且G-1 係反增益矩陣。
在1045中,RGA中的成對規則可用以調查MV及CV的最佳組合。RGA分析可用於測得模型參數的選擇,且可選擇CV-MV對,俾使其總和接近1。此外,可避免負元素上的成對。此外,該RGA分析可用以判定若干候選模型及確認最佳情況的解法。當CV多於MV時,RGA分析可用於選擇最可控的CV(CV相對於MV的靈敏度分析)。
在1050中,可判定系統的穩定性及調節。例如,Niederlinski穩定性定理陳述如果下式成立,對角化成對所產生的閉迴路系統係不穩的:
其中G係增益矩陣且gii 係該增益矩陣的對角元素。可使用下式判定增益矩陣(G)的狀況:
G =USV T
其中G、U、S、及V係使用奇異值分解法(SVD)所判定的矩陣。此外,可使用S矩陣中較大值與較小值之比判定條件數目(CN)。可在Pierre Corriou所著之名為「製程控制:理論及應用」的書(ISBN:1852337761)中找到關於Niederlinski定理的額外資訊,其內容併於本文中。例如,當CN大於五十時,該系統係近乎奇異且將具有差的控制能力。
在1055中,可使用實際的設備及/或效能約束優化該MLMIMO模型。在若干例子中,可檢查量測位置並選擇該量測位置以優化效能,可建立量測程序前及或量測程序後的數目以優化效能,可檢查多腔室序列以優化效能。可藉調整EWMA濾波法優化回饋。可判定MV的時間常數,且其更新頻率可依據批次間(L2L)、W2W、WiW、及處理步驟值。此外,可檢查處理中心點、CV中心點、及MV中心點,以優化效能。歷史資料可用以執行模擬。
晶圓可包括一或多層,其包括半導體材料、碳材料、介電材料、玻璃材料、陶瓷材料、金屬材料、氧化材料、遮層材料、或平坦化材料、或其組合。
在其它實施例中,可使用已確認的MLMIMO模型及已確認的處理序列處理一或多個晶圓。當使用已確認的MLMIMO模型時,可在測試晶圓上創造一或多個已確認的金屬閘極結構,且當檢查該測試晶圓時,可使用測試參考週期性結構。於檢查期間,可自該測試參考週期性結構中獲得檢查資料。最佳的估計結構及相關的最佳估計資料可選自於包括已確認之金屬閘極結構及相關資料的MLMIMO程式庫。可於該測試參考週期性結構及來自該程式庫之最佳估計結構間計算一或多個差異,可將該差異與匹配基準、創造基準、或產品需求、或其任一組合作比較。當使用匹配基準時,該測試參考週期性結構可被認作為該MLMIMO程式庫的構體,且假設符合或超過該匹配基準,該測試晶圓則可被認作為參考性「完美」晶圓。當使用創造基準時,該測試參考週期性結構可被認作為該MLMIMO程式庫的新構體,且假設符合該創造基準,該測試晶圓則可被認作為已確認的參考晶圓。當使用產品需求時,該測試參考週期性結構可被認作為已確認的結構,且假設符合該產品需求,該測試晶圓則可被認作為已確認的產品晶圓。假設未符合一或多個基準或產品需求,則可應用修正動作。可針對該測試參考結構使用該測試參考結構資料及該最佳估計結構資料而建立MLMIMO相關信心資料及/或風險資料。例如,MLMIMO評估程式庫資料可包括擬合優度(GOF)資料、創造規則資料、量測資料、檢驗資料、驗證資料、圖資料、信心資料、精確性資料、製程資料、或非均勻性資料,或其任一組合。
當產生及/或檢查金屬閘極相關結構時,可使用精確性及/或誤差限制。當這些限制不正確時,可執行改進程序。另外,可執行其它程序、可使用其它位置、或可使用其它晶圓。當使用改進程序時,該改進程序可利用雙線性改進法、Lagrange改進法、三次仿樣函數改進法、Aitken改進法、加權平均改進法、多項二次改進法、雙三次改進法、Turran改進法、小波改進法、Bessel改進法、Everett改進法、有限差值改進法、Gauss改進法、Hermite改進法、Newton均差改進法、密切改進法、、或Thiele改進法,或其組合。
圖11依據本發明之實施例說明使用多層多輸入多輸出(MLMIMO)模型的簡單流程圖。
在1110中,處理系統可接收第一組圖案化晶圓及相關的晶圓資料,且每一圖案化晶圓可包括第一圖案化軟式遮層及複數個額外層別。該第一圖案化軟式遮層可包括複數個閘極相關的軟式遮層特徵部及至少一第一週期性估計結構。該晶圓資料可包括該第一圖案化軟式遮層上之至少一週期結構的即時整合式度量(IM)資料。
在1115中,可使用第一多層蝕刻序列創造第二組圖案化晶圓,且該第一多層蝕刻序列可用以藉用該第一圖形化軟式遮層使第一組額外層圖形化,而在受控遮層中創造第一中間圖形。
在1120中,可針對該第一多層蝕刻序列使用該第一多層蝕刻序列的第一多層多輸入多輸出(MLMIMO)模型判定第一模擬資料。該第一MLMIMO模型可包括第一數量(Na )的受控變量(CV1a 、CV2a 、...、CVNa )、第一數量(Ma )的操縱變量(MV1a 、MV2a 、...、MVMa )、及第一數量(La )的擾動變量(DV1a 、DV2a 、...、DVLa ),其中(La 、Ma 、及Na )係大於1的整數。
在1125中,可使用第二多層蝕刻序列創造第三組圖案化晶圓,且該第二多層蝕刻序列可用以藉用該受控遮層中的該第一中間圖形使第二組額外層圖形化,而創造第一金屬閘極結構的圖形。
在1130中,可針對該第二多層蝕刻序列使用該第二多層蝕刻序列的第二MLMIMO模型創造第二模擬資料。第二MLMIMO模型可包括第二數量(Nb )的受控變量(CV1b 、CV2b 、...、CVNb )、第二數量(Mb )的操縱變量(MV1b 、MV2b 、...、MVMb )、及第一數量(Lb )的擾動變量(DV1b 、DV2b 、...、DVLb ),其中(Lb 、Mb 、及Nb )係大於1的整數。
在1135中,可針對該第三組圖形化晶圓之至少一者獲得估計資料。
在1140中,可執行詢問以判定該估計資料是否在一或多個限制內。當該估計資料在一或多個限制內時,程序1100可分至1145。當該估計資料不在一或多個限制內時,程序1100則可分至1150。
在1145中,當該估計資料小於第一金屬閘極限制時,該第三組圖案化晶圓可被認作為已確認的晶圓。
在1150中,當該估計資料不小於第一金屬閘極限制時,可執行修正動作。
圖12依據本發明之實施例說明使用MLMIMO之程序的運轉時間流程圖。當收集資料時,可使用若干晶圓並可確認候選擾動變量。於資料收集期間,可使與一或多個CV相關的變量最小化,且所收集的資料可用於模擬。該模擬可執行與生產中所用之閘極蝕刻處理相同的序列。
在1210中,可在整合式度量腔室中量測一或多個晶圓,且可獲得第一數量(I)的擾動變量DV(I)值。此外,可接收或分析其它感測器。該IM資料可包括來自每一進來的晶圓上之圖形化遮層中多處的CD及SWA。可建立第二數量(m)的操縱變量MV(m)。
在若干實施例中,可使用IM工具量測與晶圓狀態相關之進來的擾動變量,且該IM資料可包括整個晶圓上多處的輪廓資料、CD資料、SWA資料、及BARC資料。例如,可選擇8-10個中心處,其可代表該晶圓的中心,且可選擇在相同半徑上的8-10個邊緣處,其可代表該晶圓的邊緣並針對每一控制而優化。可針對晶圓的每一區域選擇相同數量的位置,以對所有區域給予相同精確性權重。因為每一電晶體結構可具有若干與蝕刻輪廓控制需求相關的變量,所以應選擇格柵密度及電晶體形式,以關聯於最關鍵之晶片階層效能度量(如P或N通道電晶體形式)。
CD DV可為關鍵的DV且可具有相關的DC,其可因多晶矽蝕刻(P-E)程序期間運作的機制而修正量測。SWA可為主要的修正器,其隨著角度少於九十度而在敏感性上有所增加。此外,如果中間的CD給予與最終CD最精確的關聯性,則可使用中間的CD。因為中間的CD平均了頂端及底端CD量測值的差異,故中間的CD在簡單項中效果最好。
CD的第二修正器可為整塊晶圓上及晶圓間的BARC厚度差異。因為BARC蝕刻期間光阻持續地被蝕刻,如果BARC厚度不均勻的話,其厚度會影響CD。較薄的BARC會給予較短的蝕刻時間,及較厚的BARC會給予較長的蝕刻時間,且較長的蝕刻時間將導致更小的CD。因此,BARC的不均勻會直接引起中央至邊緣之CD變化的增大,其於部分及最終蝕刻期間需對控制而模型化。
在顯影程序之後會獲得IM資料,且所獲得的IM資料可用作為微影子系統中的IM單元、蝕刻子系統中的IM單元、或獨立的IM單元。
此外,感測器及狀態資料可用於顯示預估電漿腔室狀態的DV。例如,當不使用調整晶圓而處理批次(晶圓)時,該腔室狀態會受到偏移的影響。有助於腔室狀態前饋DV的變量可包括下述事件:腔室清潔、零件置換、化學變換、閒置時間、調整晶圓、腔室暫停、手動調整、晶圓材料變化、及產品密度變化。
在1215中,可過濾及/或限制所收到的資料。例如,可使用箱形或晶鬚運算法過濾量測DV,其消除靜態上似乎為相同群體的位置,且對剩餘的位置求平均以代表該晶圓的實體區域。
在1220中,可計算一或多個CV且可對多晶矽蝕刻序列判定CD、SWA、不均勻值及/或輪廓變化。在若干例子中,可使用下式建立第三數量(Na)的控制變量:
CV(Na )=f Na {MV (1a ),...MV (Ma -1),MV (Ma ),DV (1a ),...DV (La -1),DV (La )}+offset Na
其中La、Ma、及Na係大於二的整數。
例如當已確認四個CV、六個MV、及四個DV時,四個帶有較高次數及交互項的非線性模型可定義如下:
CV (1a )=f 1 a {MV (1a ),MV (2a ),MV (3a ),MV (4a ),MV (5a ),MV (6a ),DV (1a ),DV (2a ),DV (3a ),DV (4a )}+offset 1 a
CV (2a )=f 2 a {MV (1a ),MV (2a ),MV (3a ),MV (4a ),MV (5a ),MV (6a ),DV (1a ),DV (2a ),DV (3a ),DV (4a )}+offset 2 a
CV (3a )=f 3 a {MV (1a ),MV (2a ),MV (3a ),MV (4a ),MV (5a ),MV (6a ),DV (1a ),DV (2a ),DV (3a ),DV (4a )}+offset 3 a
CV (4a )=f 4 a {MV (1a ),MV (2a ),MV (3a ),MV (4a ),MV (5a ),MV (6a ),DV (1a ),DV (2a ),DV (3a ),DV (4a )}+offset 4 a
此外,可使用二次目標函數計算優化的製程設定,且目標偏差CV可定義如下:
t (Na )={DV (La )-目標CV(Na)}
當Na=4及La=4時,可獲得下列方程式:
t (1a )={DV (1a )-目標CV(1a)}
t (2a )={DV (2a )-目標CV(2a)}
t (3a )={DV (3a )-目標CV(3a)}
t (4a )={DV (4a )-目標CV(4a)}
使用該等模型及目標項,用於與多晶矽蝕刻序列相關之非線性程式規劃的第一二次目標函數可定義如下:
且當Na=4時,可獲得下列簡單方程式:
且Wja 為權重因子。此外,操縱變量MV(1a)可具有上下限,其可用作為不等式的限制條件,且當1a=4時可建立下式:
其中a1-h1係依據設備限制條件的常數。優化器使用測得的CD及SWA值,以計算MV,且該優化器可藉使用非線性程式規劃將帶有方程式(2)的方程式(1a)最小化而判定多晶矽蝕刻配方。例如MATLAB優化工具箱可用於此模擬。
此外,可計算一或多個CV,且可針對金屬閘極蝕刻序列判定CD、SWA、不均勻值、及/或輪廓變化。在若干例子中,可使用下式建立第三數量(Nb)的控制變量:
CV(Nb )=f Nb {MV (1b )},...MV (Mb -1),MV (Mb ),Dv (1b ),...DV (Lb -1),DV (Lb )+offset Nb
其中Lb、Mb、及Nb係大於二的整數。
例如當已確認四個CV、六個MV、及四個DV時,四個帶有較高次數及交互項的非線性模型可定義如下:
CV (1b )=f 1 b {MV (1b ),MV (2b ),MV (3b ),MV (4b ),MV (5b ),MV (6b ),DV (1b ),DV (2b ),DV (3b ),DV (4b )}+offset 1 b
CV (2b )=f 2 b {MV (1b ),MV (2b ),MV (3b ),MV (4b ),MV (5b ),MV (6b ),DV (1b ),DV (2b ),DV (3b ),DV (4b )}+offset 2 b
CV (3b )=f 3 b {MV (1b ),MV (2b ),MV (3b ),MV (4b ),MV (5b ),MV (6b ),DV (1b ),DV (2b ),DV (3b ),DV (4b )}+offset 3 b
CV (4b )=f 4b {MV (1b ),MV (2b ),MV (3b ),MV (4b ),MV (5b ),MV (6b ),DV (1b ),DV (2b ),DV (3b ),DV (4b )}+offset 4 b
在1225中,可使用二次目標函數計算優化的製程設定,且目標偏差CV可定義如下:
t (Nb )={DV (Lb )-目標CV(Nb)}
當Na=4及La=4時,可獲得下列方程式:
t (1b )={DV (1b )-目標CV(1b)}
t (2b )={DV (2b )-目標CV(2b)}
t (3b )={DV (3b )-目標CV(3b)}
t (4b )={DV (4b )-目標CV(4b)}
使用該等模型及目標項,用於與金屬閘極蝕刻序列相關之非線性程式規劃的第二二次目標函數可定義如下:
且當Na=4時,可獲得下列簡單方程式:
且Wjb 為權重因子。此外,操縱變量MV(1b)可具有上下限,其可用作為不等式的限制條件,且當1b=4時可建立下式:
其中a2-h2係依據設備限制條件的常數。優化器使用測得的CD及SWA值,以計算MV,且該優化器可藉使用非線性程式規劃將帶有方程式(4)的方程式(3a)最小化而判定金屬閘極蝕刻配方。例如MATLAB優化工具箱可用於此模擬。
在1230中,可針對多晶矽蝕刻序列及金屬閘極蝕刻序列使用一或多個由優化器所建立的MV而定義製程配方,且可使用新的MV值調整製程配方。非線性最適化可用以處理非線性關係及與蝕刻製程相關的限制條件,以在每一運作後藉調整配方,而使多晶矽蝕刻序列及金屬閘極蝕刻序列的效能最大化。
可前饋IM資料予優化器以計算操縱變量之值。可搭配每一CV目標值使用與每一受控變量(CV)相關的非線性模型公式。二次目標函數可利用權重因子,以把目標函數中的每一CV項區分優先次序,且MLMIMO中的優化器可用以藉使用非線性程式規劃將帶有MV限制條件的目標函數最小化或最大化,而判定蝕刻配方。
在1235中,可使用已調整的配方處理一或多個晶圓。例如,該已調整的配方可包括來自優化器針對多晶矽蝕刻序列及金屬閘極蝕刻序列的優化MV值。
在1240中,可針對一或多個已處理的晶圓獲得量測資料。例如,可在晶圓上一或多處進行量測。在執行多晶矽蝕刻及/或執行金屬閘極蝕刻之後,可使用IM工具量測輸出的CV。
在1245中,可過濾及/或限制來自多晶矽蝕刻序列及/或金屬閘極蝕刻序列的資料。
在1250中可針對多晶矽蝕刻序列及金屬閘極蝕刻序列計算製程誤差。例如,可針對每一CV計算誤差(實際輸出減去模型輸出)。
在1255中,可針對多晶矽蝕刻序列及金屬閘極蝕刻序列計算回饋資料項。例如,誤差可用以使用指數加權移動平均法(EWMA)而更新MLMIMO模型的CV偏差值。
在1260中,可針對多晶矽蝕刻序列及/或金屬閘極蝕刻序列更新新的模型偏差值。可提供這些偏差值予優化器,以用於針對下一次運作補償擾動。使用此偏差值直至新的更新值產出。可執行此程序直至處理完最終的晶圓。
當使用提前發送(send-ahead)的晶圓時,可在多晶矽蝕刻序列及金屬閘極蝕刻序列中的中間點處獲得IM資料。當需要新及/或額外量測資料、檢驗資料、及/或評估資料時,可自晶圓上一或多處中獲得額外的MLMIMO資料。例如,可在一或多處測得晶圓上的量測結構,如週期格柵、週期陣列、及/或其他週期結構。
在第一替代實施例中,該第一多層蝕刻序列可更包括:a1)在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;a2)執行該第一多層蝕刻序列中的第一蝕刻程序,其中該第一蝕刻程序係用以使用第一圖形化軟式遮層創造第一組圖形化層,該第一組圖形化層包括具有複數個第一硬式遮層特徵部的已蝕刻第一硬式遮層,及具有已蝕刻軟式遮層特徵部的已蝕刻軟式遮層,其中該第一硬式遮層包括含矽抗反射塗層(ARC)材料;a3)執行該第一多層蝕刻序列中的第二蝕刻程序,其中該第二蝕刻程序係用以使用已蝕刻的第一硬式遮層在第二組圖形化層中創造第一中間圖形,該第二組圖形化層包括具有複數個已蝕刻第一硬式遮層特徵部的再蝕刻第一硬式遮層,及具有複數個閘極寬度控制特徵部的已蝕刻閘極寬度控制層,其中該第一中間圖形包括至少一第二週期評估結構,其中該閘極寬度控制層包括改良的光阻材料;a4)針對該第一圖形化晶圓使用至少一第二週期評估結構獲得第一評估資料。
在第二替代實施例中,該第一多層蝕刻序列可更包括:b1)在第二蝕刻腔室中,使用與該第二蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第二溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層,在該第一多層蝕刻序列被確定為已確認的多層蝕刻序列後,傳送該第一圖形化晶圓;b2)執行第三蝕刻程序,其中該第三蝕刻程序係用以在第三組圖形化層中使用該再蝕刻第一硬式遮層及/或該已蝕刻閘極寬度控制層,而創造第二中間圖形,該第三組圖形化層包括具有複數個已蝕刻閘極寬度控制特徵部的再蝕刻閘極寬度控制層、具有複數個第二硬式遮層特徵部的已蝕刻第二硬式遮層(氮化鈦(TiN)層)、具有複數個氮化矽(SiN)特徵部的已蝕刻氮化矽(SiN)層、具有複數個非晶矽(a-Si)特徵部的已蝕刻非晶矽(a-Si)層、及具有複數個第二硬式遮層特徵部的已蝕刻第二硬式遮層(TEOS);b3)在第一清潔腔室中,使用與該第一清潔腔室結合的傳送子系統將該第一圖形化晶圓傳遞至第三溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;b4)執行該第二多層蝕刻序列中的第一清潔程序,其中創造第四組圖形化遮層,其中該第四組圖形化遮層包括具有複數個已清潔第二硬式遮層特徵部的已清潔第二硬式遮層(TEOS)、具有複數個已清潔氮化矽(SiN)特徵部的已清潔氮化矽(SiN)層、具有複數個已清潔非晶矽(a-Si)特徵部的已清潔非晶矽(a-Si)層、及具有複數個已清潔第三硬式遮層特徵部的已清潔第三硬式遮層(氮化鈦(TiN)層);b5)在第四蝕刻腔室中,使用與該第四蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第四溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;b6)執行該第二多層蝕刻序列中的第四蝕刻程序,其中該第四蝕刻程序係用以在第四組圖形化層中使用該已清潔第二硬式遮層(TEOS)、已清潔氮化矽(SiN)層、已清潔非晶矽(a-Si)層、或已清潔第三硬式遮層(氮化鈦(TiN)層),或其任一組合而創造第四中間圖形,該第四組圖形化層包括複數個閘極堆疊、每一閘極堆疊包括含金屬的特徵部、氮化鈦(TiN)特徵部、非晶矽特徵部、氮化矽(SiN)特徵部及TEOS特徵部;b7)針對該第一圖形化晶圓使用至少一額外週期評估結構獲得額外評估資料,其中該第四中間圖形包括至少一額外週期評估結構;b8)當額外評估資料少於該第一額外多蝕刻限制時,將該第二多層蝕刻序列確定為已確認的多層蝕刻序列;及b9)當額外評估資料不少於該第一額外多蝕刻限制時,執行額外修正動作。
在第三替代實施例中,該第二多層蝕刻序列可包括:c1)在第二蝕刻腔室中,使用與該第二蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第二溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層,在確認該第一多層蝕刻序列後執行該第二多層蝕刻序列,該第二多層蝕刻序列中的第三蝕刻程序,其中該第二組圖形化晶圓包括複數個已部分蝕刻的晶圓;c2)執行該第二多層蝕刻序列中的第三蝕刻程序,使用該第二多層蝕刻序列中的第三蝕刻程序在該第一已部分蝕刻的晶圓上蝕刻第二組額外層,該第三蝕刻程序使用該第二組遮層中的中間圖形而產生第三組圖形化遮層,其中該第二組額外層包括TEOS層、氮化矽(SiN)層、非晶矽層及氮化鈦(TiN)層;c3)在第一清潔腔室中,使用與該第一清潔腔室結合的傳送子系統將第一圖形化晶圓傳遞至第三溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;c4)執行第一清潔程序,其中創造第四組圖形化遮層;c5)在第四蝕刻腔室中,使用與該四蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第四溫控晶圓座,其中該傳送子系統係用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;c6)執行該第二多層蝕刻序列中的第四蝕刻程序,其中該第四蝕刻程序係用以使用該第四組圖形化遮層創造第五組圖形化遮層,該第五組圖形化遮層包括複數個閘極堆疊、每一閘極堆疊包括含金屬的特徵部、氮化鈦(TiN)特徵部、非晶矽特徵部、氮化矽(SiN)特徵部及TEOS特徵部;c7)針對該第一圖形化晶圓使用至少一額外週期評估結構獲得額外評估資料;c8)當額外評估資料少於該第一額外多蝕刻限制時,將該第二多層蝕刻序列確定為第二已確認的多層蝕刻序列;及c9)當額外評估資料不少於該第一額外多蝕刻限制時,執行額外修正動作。
在若干實施例中,歷史及/或即時資料可包括一或多個晶圓的MLMIMO圖、晶圓相關圖、製程相關圖、損害評估圖、參考圖、量測圖、預測圖、風險圖、檢驗圖、確認圖、評估圖、粒子圖、及/或信心圖。此外,該MLMIMO程序可使用晶圓圖,其可包括一或多個擬合良度(GOF)圖、一或多個厚度圖、一或多個閘極相關圖、一或多個臨界尺寸(CD)圖、一或多個CD輪廓圖、一或多個材料相關圖、一或多個結構相關圖、一或多個側壁角度圖、一或多個不同寬度圖、或其組合。
當創造及/或修正晶圓圖時,可不需及/或需要對整個晶圓計算值,且晶圓圖可包括一或多處、一或多個晶片/晶粒、及/或一或多個不同形狀區的資料。例如,處理腔室可具有獨特的特性,其可影響該晶圓之特定區中的處理結果品質。此外,製造商可允許該晶圓之一或多區中之晶片/晶粒的低精確性的製程及/或評估資料,以使良率最大化。當圖中的值靠近限制值時,信心值可能低於圖中的值不靠近限制值時的信心值。此外,可針對不同晶片/晶粒及/或晶圓的不同區域對精確值加權。例如,可指定較高的信心權重給與一或多個先前使用之評估處相關的精確性計算及/或精確性之資料。
此外,與一或多個製程相關的處理結果、量測、檢驗、確認、評估、及/或預測圖可用以計算晶圓的信心圖。例如,來自另一圖的值可用作為權重因子。
雖然上文僅詳盡第描述本發明的特定實施例,熟悉本技藝者將輕易理解到,在實質不脫離本發明的新穎教示及優點下,可在該等實施例中做修正。因此,所有如此的修正旨在被包含進本發明的範疇。
因此,該描述不旨在限制本發明,且在了解可修正及變化該等實施例下,本文已詳盡地描述本發明的組態、操作、及行為。因此,前文詳細的描述並不意味或旨在以任何形式限制本發明,相反地由隨附的請求項定義本發明的範疇。
100...處理系統
110...微影子系統
105...晶圓
111...結合/傳遞
112...傳遞/儲存元件
113...處理元件
114...控制器
115...評估元件
120...掃瞄器子系統
121...結合/傳遞
122...傳遞/儲存元件
123...處理元件
124...控制器
125...評估元件
130...蝕刻子系統
131...結合/傳遞
132...傳遞/儲存元件
133...處理元件
134...控制器
135...評估元件
140...沈積子系統
141...結合/傳遞
142...傳遞/儲存元件
143...處理元件
144...控制器
145...評估元件
150...檢驗子系統
151...結合/傳遞
152...傳遞/儲存元件
153...處理元件
154...控制器
155...評估元件
160...度量子系統
161...結合/傳遞
162...傳遞/儲存元件
163...處理元件
164...控制器
165...評估元件
170...傳遞子系統
174...傳遞元件
175...傳遞軌道
175...傳遞軌道
177...傳遞軌道
180...製造執行系統(MES)
181...資料傳遞子系統
190...系統控制器
191...資料傳遞子系統
195...記憶體/資料庫
200A-200G...蝕刻子系統
210...電漿處理腔室
220...晶圓座
221...下電極
226...背側氣體系統
227...溫度控制元件
228...溫度控制系統
229...基座
225...晶圓
230...RF產生器
232...阻抗匹配網路
234...光學裝置
235...RF產生器
236...電性量測裝置
237...阻抗匹配網路
240...氣體注入系統
241...氣體注入充氣部
242...多孔噴淋頭氣體注入
245...處理區域
250...感測器
255...控制器
257...壓力控制系統
258...渦輪分子真空泵浦(TMP)
259...閘門閥
260...轉動磁場系統
270...上電極
270a...上電極
270b...上電極
272...RF產生器
274...阻抗匹配網路
280...感應線圈
282...RF產生器
284...阻抗匹配網路
285...表面波電漿(SWF)源
286...微波產生器
287...阻抗匹配網路
290...功率分配器
300A-300G...蝕刻子系統
305...DC電極
306...DC電源
401...第一圖形化閘極堆疊
402...特徵CD
403...特徵側壁角度(SWA)
404...第一組參數
405...後處理閘極堆疊
406...CD
407...SWA
408...第二組參數
410...第一整合式度量工具(第一ODP-IM)控制器/模型
411...前饋資料
412...資料
415...前饋資料
416...資料項
420...多晶矽蝕刻(P-E)工具控制器/模型
421...清潔/灰化工具控制器/模型
422...金屬閘極蝕刻(MGE)工具控制器/模型
425...控制W2W及WiW的閘極堆疊輪廓-CD及SWA
427...預估資料項
430...輸出度量工具(第二OPD-IM)控制器/模型
431...資料
433...實際輸出
435...回饋資料
440...第一計算元件
441...第一組目標參數
442...輸出資料項
445...目標資料項
450...MLMIMO模型優化器
451...約束參數
455...回饋資料項
456...配方/腔室參數
460...比較元件
465...錯誤值
470...EWMA過濾器
471...第一組濾波器輸出/第一過濾輸出
472...第二過濾輸出
480...權重控制器/模型
481...動態變化加權輸入
501-506...閘極堆疊
510...晶圓層
515...金屬閘極層
515e...金屬閘極層特徵部
520...第三硬式遮層
520d...第三硬式遮層特徵部
525...第一含矽層
525d...第一含矽層特徵部
530...第二含矽層
530d...第二含矽層特徵部
535...第二硬式遮層
535c...第二硬式遮層特徵部
535d...第二硬式遮層特徵部
540...閘極寬控制層
540b...閘極寬控制層特徵部
540c...閘極寬控制層材料
545...第一硬式遮層
545a...第一硬式遮層特徵部
545b...第一硬式遮層特徵部
550...軟式遮層特徵部
550a...軟式遮層特徵部
560-570...模型
561...第一蝕刻模型
562...第三模型
563...第二蝕刻模型
564...第四模型/第三ODP模型
565...第三蝕刻模型
566...第七模型/第四ODP模型
567...第四蝕刻模型
568...第九模型/第五ODP模型
569...第五蝕刻模型
570...第十一模型/第六ODP模型
575...傳送裝置
580...傳送裝置
585...傳送裝置
601-603...閘極堆疊
610...晶圓層
615‧‧‧金屬閘極層
615b‧‧‧金屬閘極層特徵部
620‧‧‧第三硬式遮層
625‧‧‧第一含矽層
630‧‧‧第二含矽層
635‧‧‧第二硬式遮層
640‧‧‧閘極寬控制層
640a‧‧‧閘極寬控制層特徵部
645‧‧‧第一硬式遮層
645a‧‧‧第一硬式遮層特徵部
650‧‧‧軟式遮層特徵部/光阻特徵部
660-664‧‧‧模型
661‧‧‧MIMLMO‧‧‧模型
675‧‧‧傳送裝置/路徑
680‧‧‧傳送裝置/路徑
685‧‧‧傳送裝置/路徑
701-703‧‧‧多層金屬閘極結構
710‧‧‧晶圓層
715‧‧‧金屬閘極層
715b‧‧‧金屬閘極特徵部
720‧‧‧第三硬式遮層
725‧‧‧第一含矽層
730‧‧‧第二含矽層
735‧‧‧第二硬式遮層
735a‧‧‧第二硬式遮層特徵部
740‧‧‧閘極寬控制層
740a‧‧‧閘極寬度控制層特徵部
745‧‧‧第一硬式遮層
745b‧‧‧第一硬式遮層特徵部
750‧‧‧軟式遮層特徵部/光阻特徵部
760-764‧‧‧模型
775‧‧‧傳送裝置/路徑
780‧‧‧傳送裝置/路徑
785‧‧‧傳送裝置/路徑
800‧‧‧示範概要圖
810‧‧‧第一閘極堆疊
815‧‧‧第一處理序列
820‧‧‧第二閘極堆疊
825‧‧‧第二處理序列
830‧‧‧第三閘極堆疊
835‧‧‧第三處理序列
910‧‧‧第一綜合模型
911‧‧‧第一組示範MV
912‧‧‧第一組示範DV
913‧‧‧第一組示範CV
915‧‧‧第一組示範方程式
920‧‧‧第二綜合模型
921‧‧‧第二組示範MV
922‧‧‧第二組示範DV
923‧‧‧第三組示範CV
925‧‧‧第二組示範方程式
930‧‧‧前饋
935‧‧‧回饋
1000‧‧‧程序
1010-1055‧‧‧步驟
1100‧‧‧程序
1110-1150‧‧‧步驟
1200‧‧‧程序
1210-1260‧‧‧步驟
藉由例子及參照隨附圖示說明本發明,且圖中相似的參照數字代表對應的元件,其圖式為:
圖1依據本發明之實施例顯示處理系統的示範方塊圖。
圖2A-2G依據本發明之實施例顯示蝕刻子系統的示範方塊圖。
圖3A-3G依據本發明之實施例顯示額外之蝕刻子系統的示範方塊圖。
圖4依據本發明之實施例顯示多層多輸入多輸出示範(MLMIMO)模型優化及控制方法學的簡單方塊圖。
圖5依據本發明之實施例說明產生金屬閘極結構之多步驟處理序列的示範觀點。
圖6依據本發明之實施例說明創造金屬閘極結構之第二多步驟處理序列的示範視圖。
圖7依據本發明之實施例說明創造金屬閘極結構之第三多步驟模型化序列的示範視圖。
圖8依據本發明之實施例顯示多層多輸入多輸出(MLMIMO)模型的示範概要圖。
圖9依據本發明之實施例說明二部多層多輸入多輸出(MLMIMO)模型的示範方塊圖。
圖10依據本發明之實施例說明發展多層多輸入多輸出(MLMIMO)模型的示範流程圖。
圖11依據本發明之實施例說明使用多層多輸入多輸出(MLMIMO)模型的簡單流程圖。
圖12依據本發明之實施例說明使用MLMIMO之程序的運轉時間流程圖。
1010‧‧‧對於該多層處理序列,判定多層多輸入多輸出(MLMIMO)模型
1015‧‧‧判定受控輸出變量(CVs)
1020‧‧‧判定操縱變量的候選者(MVs)
1025‧‧‧執行實驗設計法則(DOE)程序以分析MLMIMO模型
1030‧‧‧創造帶有二次項及交互項的非線性模型
1035‧‧‧使用DOE資料創造線性增益矩陣
1040‧‧‧計算相對增益陣列(RGA)
1045‧‧‧在RGA中使用成對規則調查MVs的最佳組合
1050‧‧‧使用Niederlinski穩定性定理及奇異值分解法(SVD)調查系統穩定性及調節
1055‧‧‧使用實際的設備/效能約束優化MLMIMO模型

Claims (32)

  1. 一種使用多層多輸入多輸出(MLMIMO)模型的方法,包括:接收第一組圖形化晶圓與相關的晶圓資料,每一圖形化晶圓具有第一圖形化軟式遮層與複數個額外層,該第一圖形化軟式遮層包括複數個金屬閘極相關的軟式遮層特徵部與至少一第一週期性評估結構,該晶圓資料包括該第一圖形化軟式遮層中之至少一第一週期性評估結構的即時整合式度量(IM)資料;建立第一多層多步驟(MLMS)處理序列,其中該第一MLMS處理序列包括第一組多晶矽蝕刻程序且用以在第一組額外層中使用該第一圖形化軟式遮層而建立第一閘極寬度控制圖形;使用該第一MLMS處理序列創造第二組圖形化晶圓;針對該第一MLMS處理序列使用第一多層多輸入多輸出(MLMIMO)模型創造該第一MLMS處理序列的第一模擬資料,其中該第一MLMIMO模型包括第一數量(Na)的第一受控變量(CV1a,CV2a,...,CVNa)、第一數量(Ma)的第一操縱變量(MV1a,MV2a,...,MVMa)與第一數量(La)的第一擾動變量(DV1a,DV2a,...,DVLa),其中(La、Ma、與Na)係大於一的整數;建立第二MLMS處理序列,其中該第二MLMS處理序列用以藉使用該第一閘極寬度控制圖形使第二組額外層圖形化,而創造金屬閘極結構的第一受控圖形;使用該第二MLMS處理序列創造第三組圖形化晶圓;針對該第二MLMS處理序列使用第二MLMIMO模型創造該第二MLMS處理序列的第二模擬資料,其中該第二MLMIMO模型包括第二數量(Nb)的第二受控變量(CV1b,CV2b,...,CVNb)、第二數量(Mb)的第二操縱變量(MV1b,MV2b,...,MVMb)與第二數量(Lb)的第二擾動變量(DV1b,DV2b,...,DVLb),其中(Lb、Mb、與Nb)係大於一的整數;獲得該第三組圖形化晶圓之至少一者的評估資料;當該評估資料小於第一金屬閘極限制時,將該第三組圖形化晶圓確定為已確認的晶圓;及 當該評估資料不小於該第一金屬閘極限制時,執行修正動作。
  2. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,其中使用該第一組圖形化晶圓、該第二組圖形化晶圓、或該第三組圖形化晶圓,或其任一組合執行清潔序列。
  3. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:使用與該第一組圖形化晶圓相關的即時IM資料或額外的量測資料,建立該第一數量(La)的該第一擾動變量(DV1a,DV2a,...,DVLa);使用第一蝕刻腔室建立該第一數量(Ma)的該第一操縱變量(MV1a,MV2a,...,MVMa),其中該第一組(MV1a,MV2a,...,MVMa)包括一或多個晶圓內操縱變量(WiW-MV),用以在處理晶圓時變換,與一或多個晶圓間操縱變量(W2W-MV),用以在已處理該晶圓之後變換;及計算該第一數量(Na)的該第一受控變量(V1a,CV2a,...,CVNa)其中CV(Na)=f Na {MV 1a ,...MV Ma-1,MV Ma ,DV 1a ,...DV La-1,DV La }+OFFSET(N a )。
  4. 專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:使用與該第二組圖形化晶圓相關的即時IM資料,建立該第二數量(Lb)的該第二擾動變量(DV1b,DV2b,...,DVLb);使用第二蝕刻腔室建立該第二數量(Mb)的該第二操縱變量(MV1b,MV2b,...,MVMb),其中該第二組(MV1b,MV2b,...,MVMb)包括一或多個晶圓內操縱變量(WiW-MV),用以在處理晶圓時變換,與一或多個晶圓間操縱變量(W2W-MV),用以在已處理該晶圓之後變換;及計算該二數量(Nb)的該第二受控變量(CV1b,CV2b,...,CVNb),其中CV(Nb)=f Nb {MV 1b ,...MV Mb-1,MV Mb ,DV 1b ,...DV Lb-1,DV Lb }+OFFSET(N b )。
  5. 專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,其中該第一擾動變量(DV1,1,DV1,2,...,DV1,N1)包括與晶圓邊緣相關之第一評估特徵部的第一臨界尺寸(CD)、與晶圓中央相關之第二評估特徵部的第二CD、與晶圓邊緣相關之第一評估特徵部的第一側壁角度、與晶圓中央相關之第二評估特徵部的第二側壁角度、軟式遮層厚度、該額外層之至少一者的層厚、該軟式遮層的蝕刻率、該額外層之一或多者的蝕刻率、至少一蝕刻腔室參數、至少一整合式度量(IM)裝置參數、與至少一腔室維護參數。
  6. 專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,該第一MLMS處理序列更包括:在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行第一硬式遮層蝕刻程序,其中第一硬式遮層包括含矽抗反射塗層(ARC)材料與該第一硬式遮層蝕刻程序包括:在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力介於12 mT至18 mT之間;於該第一硬式遮層蝕刻程序期間之第一時間內,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該第一時間內,該第一中央溫度係攝氏12度與攝氏20度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在15 Torr與25 Torr間,該第一邊緣背側壓力在27 Torr與33 Torr間;於該第一硬式遮層蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括CF4與CHF3,CF4流速在 60sccm與100sccm之間變化,且第一CHF3流速在40sccm及60sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該第一硬式遮層蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係介於450瓦至550瓦之間,且第二RF功率係介於10瓦至100瓦之間;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該第一硬式遮層蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,該第一RF功率係介於450瓦至550瓦之間,且該低RF功率係介於90瓦至110瓦之間。
  7. 專利範圍第6項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該第一硬式遮層蝕刻程序,使用第一簡化MLMIMO模型創立該第一MLMS處理序列之第一模擬資料子集,其中該第一簡化MLMIMO模型包括第一簡化數量(Na1)的第一受控變量(CV1a1,CV2a1,...,CVNa1)、第一簡化數量(Ma1)之第一操縱變量(MV1a1,MV2a1,...,MVMa1)與第一簡化數量(La1)的第一擾動變量(DV1a1,DV2a1,...,DVLa1),其中(La1、Ma1、與Na1)係大於一的整數;獲得該第一硬式遮層蝕刻程序的評估資料;使用該第一模擬資料子集與該第一硬式遮層蝕刻程序之評估資料間的差異,判定該第一硬式遮層蝕刻程序的風險資料;當第一風險資料小於第一風險限制時,將該第一硬式遮層蝕刻程序確定為已確認的程序;及 當第一風險資料不小於第一風險限制時,將該第一硬式遮層蝕刻程序確定為未確認的程序。
  8. 專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,該第一MLMS處理序列更包括:在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行Si-ARC層蝕刻程序;及執行第一蝕刻控制層(ECL)蝕刻程序,其中該ECL包括閘極寬度控制材料且該ECL蝕刻程序包括:在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係15 mT至25 mT不等;於該ECL蝕刻程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,該第一中央溫度係攝氏12度與攝氏20度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在15 Torr與20 Torr間,該第一邊緣背側壓力在27 Torr與33 Torr間;於該ECL蝕刻程序期間提供第二處理氣體至該第一蝕刻腔室中,其中該第二處理氣體包括O2、CO2、與HBr,第二O2流速在30sccm與50sccm之間變化,第二CO2流速在70sccm及90sccm之間變化,且第二HBr流速在25sccm及35sccm之間變化,其中一氣體注入系統用以提供該第二處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該第一硬式遮層蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第 一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自450瓦至550瓦不等,且第二RF功率係自10瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該第一硬式遮層蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自90瓦至110瓦不等。
  9. 如申請專利範圍第8項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該第一ECL蝕刻程序,使用第一簡化MLMIMO模型創立該第一MLMS處理序列之第一模擬資料子集,其中該第一簡化MLMIMO模型包括第一簡化數量(Na1)的第一受控變量(CV1a1,CV2a1,...,CVNa1)、第一簡化數量(Ma1)之第一操縱變量(MV1a1,MV2a1,...,MVMa1)與第一簡化數量(La1)的第一擾動變量(DV1a1,DV2a1,...,DVLa1),其中(La1、Ma1、與Na1)係大於一的整數;獲得該第一ECL蝕刻程序的評估資料;使用該第一模擬資料子集與該第一ECL蝕刻程序之評估資料間的差異,判定該第一ECL蝕刻程序的風險資料;當第一風險資料小於第一風險限制時,將該第一ECL蝕刻程序確定為已確認的程序;及當第一風險資料不小於第一風險限制時,將該第一ECL蝕刻程序確定為未確認的程序。
  10. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,該第二MLMS處理序列更包括:在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層; 執行Si-ARC層蝕刻程序、且/或蝕刻控制層(ECL)蝕刻程序;執行第二硬式遮層蝕刻程序,其中第二硬式遮層包括四乙基矽酸(TEOS)材料且該第二硬式遮層蝕刻程序包括:於該第二硬式遮層蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係35 mT至45 mT不等;於第一硬式遮層蝕刻程序期間之第一時間內,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該第一時間期間,該第一中央溫度係攝氏25度與攝氏35度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在15 Torr與25 Torr間,該第一邊緣背側壓力在27 Torr與33 Torr間;於該第二硬式遮層蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括CF4、CHF3、與O2,第一CF4流速在40sccm與60sccm之間變化,第一CHF3流速在40sccm及60sccm之間變化,且第一O2流速在3sccm及7sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該第二硬式遮層蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自550瓦至650瓦不等,且第二RF功率係自50瓦至150瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該第二硬式遮層蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率 係自90瓦至110瓦不等。
  11. 如申請專利範圍第10項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該第二硬式遮層蝕刻程序,使用第一簡化MLMIMO模型創立該第一MLMS處理序列之第一模擬資料子集,其中該第一簡化MLMIMO模型包括第一簡化數量(Na1)的第一受控變量(CV1a1,CV2a1,...,CVNa1)、第一簡化數量(Ma1)之第一操縱變量(MV1a1,MV2a1,...,MVMa1)與第一簡化數量(La1)的第一擾動變量(DV1a1,DV2a1,...,DVLa1),其中(La1、Ma1、與Na1)係大於一的整數;獲得該第二硬式遮層蝕刻程序的評估資料;使用該第一模擬資料子集與該第二硬式遮層蝕刻程序之評估資料間的差異,判定該第二硬式遮層蝕刻程序的風險資料;當第一風險資料小於第一風險限制時,將該第二硬式遮層蝕刻程序確定為已確認的程序;及當第一風險資料不小於第一風險限制時,將該第二硬式遮層蝕刻程序確定為未確認的程序。
  12. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行Si-ARC層蝕刻程序、或第一蝕刻控制層(ECL)蝕刻程序、或第二硬式遮層蝕刻程序,或其任一組合:執行TEOS過蝕刻(OE)蝕刻程序,其中該TEOS OE蝕刻程序包括:於該TEOS OE蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係35 mT至45 mT不等; 於該TEOS OE蝕刻程序期間之第一時間內,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該第一時間期間,該第一中央溫度係攝氏25度與攝氏35度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在15 Torr與25 Torr間,該第一邊緣背側壓力在27 Torr與33 Torr間;於該TEOS OE蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括CF4、CHF3、與O2,第一CF4流速在40sccm與60sccm之間變化,第一CHF3流速在40sccm及60sccm之間變化,且第一O2流速在3sccm及7sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該TEOS OE蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自550瓦至650瓦不等,且第二RF功率係自50瓦至150瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該TEOS OE蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自90瓦至110瓦不等。
  13. 如申請專利範圍第12項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該TEOS OE蝕刻程序,使用第一簡化MLMIMO模型創立該第一MLMS處理序列之第一模擬資料子集,其中該第一簡化 MLMIMO模型包括第一簡化數量(Na1)的第一受控變量(CV1a1,CV2a1,...,CVNa1)、第一簡化數量(Ma1)之第一操縱變量(MV1a1,MV2a1,...,MVMa1)與第一簡化數量(La1)的第一擾動變量(DV1a1,DV2a1,...,DVLa1),其中(La1、Ma1、與Na1)係大於一的整數;獲得該TEOS OE蝕刻程序的評估資料;使用該第一模擬資料子集與該TEOS OE蝕刻程序之評估資料間的差異,判定該TEOS OE蝕刻程序的風險資料;當第一風險資料小於第一風險限制時,將該TEOS OE蝕刻程序確定為已確認的程序;及當第一風險資料不小於第一風險限制時,將該TEOS OE蝕刻程序確定為未確認的程序。
  14. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將第一圖形化晶圓傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行Si-ARC層蝕刻程序、或第一蝕刻控制層(ECL)蝕刻程序、或第二硬式遮層蝕刻程序或過蝕刻(OE)蝕刻程序,或其任一組合:在第一灰化腔室中,使用與該第一灰化腔室結合的傳送子系統將第一圖形化晶圓傳遞至該第一多區溫控晶圓座;執行灰化程序,其中該灰化程序包括:於該灰化程序期間,在該第一灰化腔室中建立第一腔室壓力,其中該第一腔室壓力係125 mT至175 mT不等;於灰化程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該灰化程序期間,該第一中央溫度係攝氏70度與攝氏80度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷 卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在15 Torr與25 Torr間,該第一邊緣背側壓力在27 Torr與33 Torr間;於該灰化程序程序期間提供第一處理氣體至該第一灰化腔室中,其中該第一處理氣體包括O2,第一O2流速在430sccm及470sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一灰化腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一灰化腔室中的中央區且提供第二RF功率至該第一灰化腔室中的邊緣區,其中於該灰化程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自350瓦至450瓦不等,且第二RF功率係自10瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該灰化程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自20瓦至30瓦不等。
  15. 如申請專利範圍第14項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該灰化程序,使用第一簡化MLMIMO模型創立該第一MLMS處理序列之第一模擬資料子集,其中該第一簡化MLMIMO模型包括第一簡化數量(Na1)的第一受控變量(CV1a1,CV2a1,...,CVNa1)、第一簡化數量(Ma1)之第一操縱變量(MV1a1,MV2a1,...,MVMa1)與第一簡化數量(La1)的第一擾動變量(DV1a1,DV2a1,...,DVLa1),其中(La1、Ma1、與Na1)係大於一的整數;獲得該灰化程序的評估資料;使用該第一模擬資料子集與該灰化程序之評估資料間的差 異,判定該灰化程序的風險資料;當第一風險資料小於第一風險限制時,將該灰化程序確定為已確認的程序;及當第一風險資料不小於第一風險限制時,將該灰化程序確定為未確認的程序。
  16. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:執行第一多層多步驟(MLMS)處理序列,其中創建該第二組圖形化晶圓;在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將該第二組圖形化晶圓中第一者傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行貫穿(BT)蝕刻程序,其中該BT蝕刻程序包括:於該BT蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係8 mT至12 mT不等;於BT蝕刻程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該BT蝕刻期間,該第一中央溫度係攝氏70度與攝氏80度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在8 Torr與12 Torr間,該第一邊緣背側壓力在8 Torr與12 Torr間;於該BT蝕刻程序程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括CF4,第一CF4流速在120sccm及150sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配 器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該BT蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自600瓦至700瓦不等,且第二RF功率係自10瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該BT蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自175瓦至200瓦不等。
  17. 如申請專利範圍第16項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該BT蝕刻程序,使用第二簡化MLMIMO模型創造該第二MLMS處理序列的第二模擬資料子集,其中該第二簡化MLMIMO模型包括第二簡化數量(Nb)的第二受控變量(CV1b1,CV2b1,...,CVNb1)、第二簡化數量(Mb)的第二操縱變量(MV1b1,MV2b1,...,MVMb1)與第二簡化數量(Lb)的第二擾動變量(DV1b1,DV2b1,...,DVLb1),其中(Lb1、Mb1、與Nb1)係大於一的整數;獲得該BT蝕刻程序的第二評估資料;使用該第二模擬資料子集與該BT蝕刻程序之第二評估資料間的差異,判定該BT蝕刻程序的風險資料;當第二風險資料小於第二風險限制時,將該BT蝕刻程序確定為已確認的程序;及當第二風險資料不小於第二風險限制時,將該BT蝕刻程序確定為未確認的程序。
  18. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括: 執行第一多層多步驟(MLMS)處理序列,其中創建該第二組圖形化晶圓;在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將該第二組圖形化晶圓中第一者傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行貫穿(BT)蝕刻程序;執行主蝕刻(ME)蝕刻程序,其中該ME蝕刻程序包括:於該ME蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係8 mT至12 mT不等;於ME蝕刻程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該ME蝕刻期間,該第一中央溫度係攝氏70度與攝氏80度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在8 Torr與12 Torr間,該第一邊緣背側壓力在8 Torr與12 Torr間;於該ME蝕刻程序程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括CF4,第一CF4流速在120sccm及150sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該ME蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自120瓦至150瓦不等,且第二RF功率係自0瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該 第一多區溫控晶圓座中的下電極,於該ME蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自0瓦至10瓦不等。
  19. 如申請專利範圍第18項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該ME蝕刻程序,使用第二簡化MLMIMO模型創造該第二MLMS處理序列的第二模擬資料子集,其中該第二簡化MLMIMO模型包括第二簡化數量(Nb)的第二受控變量(CV1b1,CV2b1,...,CVNb1)、第二簡化數量(Mb)的第二操縱變量(MV1b1,MV2b1,...,MVMb1)與第二簡化數量(Lb)的第二擾動變量(DV1b1,DV2b1,...,DVLb1),其中(Lb1、Mb1、與Nb1)係大於一的整數;獲得該ME蝕刻程序的第二評估資料;使用該第二模擬資料子集與該ME蝕刻程序之第二評估資料間的差異,判定該ME蝕刻程序的風險資料;當第二風險資料小於第二風險限制時,將該ME蝕刻程序確定為已確認的程序;及當第二風險資料不小於第二風險限制時,將該ME蝕刻程序確定為未確認的程序。
  20. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:執行第一多層多步驟(MLMS)處理序列,其中創建該第二組圖形化晶圓;在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將該第二組圖形化晶圓中第一者傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行貫穿(BT)蝕刻程序與/或主蝕刻(ME)蝕刻程序; 執行過蝕刻(OE)蝕刻程序,其中該OE蝕刻程序包括:於該OE蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係8 mT至12 mT不等;於OE蝕刻程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該OE蝕刻期間,該第一中央溫度係攝氏70度與攝氏80度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在8 Torr與12 Torr間,該第一邊緣背側壓力在8 Torr與12 Torr間;於該OE蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括O2與HBr,第一O2流速在2sccm及6sccm之間變化,第一HBr流速在220sccm及280sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該OE蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自120瓦至150瓦不等,且第二RF功率係自0瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該OE蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自20瓦至40瓦不等。
  21. 如申請專利範圍第20項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括: 針對該OE蝕刻程序,使用第二簡化MLMIMO模型創造該第二MLMS處理序列的第二模擬資料子集,其中該第二簡化MLMIMO模型包括第二簡化數量(Nb)的第二受控變量(CV1b1,CV2b1,...,CVNb1)、第二簡化數量(Mb)的第二操縱變量(MV1b1,MV2b1,...,MVMb1)與第二簡化數量(Lb)的第二擾動變量(DV1b1,DV2b1,...,DVLb1),其中(Lb1、Mb1、與Nb1)係大於一的整數;獲得該OE蝕刻程序的第二評估資料;使用該第二模擬資料子集與該OE蝕刻程序之第二評估資料間的差異,判定該OE蝕刻程序的風險資料;當第二風險資料小於第二風險限制時,將該OE蝕刻程序確定為已確認的程序;及當第二風險資料不小於第二風險限制時,將該OE蝕刻程序確定為未確認的程序。
  22. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:執行第一多層多步驟(MLMS)處理序列,其中創建該第二組圖形化晶圓;在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將該第二組圖形化晶圓中第一者傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行貫穿(BT)蝕刻程序、或主蝕刻(ME)蝕刻程序、或OE蝕刻程序,或其任一組合;執行氮化鈦(TiN)蝕刻程序,其中該TiN蝕刻程序包括:於該TiN蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係8 mT至12 mT不等;於TiN蝕刻程序期間,建立該第一多區溫控晶圓座的第一邊緣溫度與第一中央溫度,於該TiN蝕刻期間,該第一中央溫度係 攝氏70度與攝氏80度間,第一邊緣溫度係攝氏8度與攝氏12度間,且其中一低溫冷卻器係與該第一多區溫控晶圓座結合,該低溫冷卻器在攝氏-20度與攝氏10度間運作;使用雙背側氣體系統在該第一多區溫控晶圓座中建立第一邊緣背側壓力與第一中央背側壓力,該第一中央背側壓力在8 Torr與12 Torr間,該第一邊緣背側壓力在8 Torr與12 Torr間;於該TiN蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括Cl2,第一Cl2流速在12sccm及18sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該TiN蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自180瓦至220瓦不等,且第二RF功率係自0瓦至100瓦不等;及使用RF產生器與阻抗匹配網路提供低射頻(RF)功率至該第一多區溫控晶圓座中的下電極,於該TiN蝕刻程序期間,該RF產生器在0.1MHz至200MHz中運作,且該低RF功率係自40瓦至60瓦不等。
  23. 如申請專利範圍第22項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該TiN蝕刻程序,使用第二簡化MLMIMO模型創造該第二MLMS處理序列的第二模擬資料子集,其中該第二簡化MLMIMO模型包括第二簡化數量(Nb)的第二受控變量(CV1b1,CV2b1,...,CVNb1)、第二簡化數量(Mb)的第二操縱變量(MV1b1,MV2b1,...,MVMb1)與第二簡化數量(Lb)的第二擾動變量(DV1b1,DV2b1,...,DVLb1),其中(Lb1、Mb1、與Nb1)係大於一的 整數;獲得該TiN蝕刻程序的第二評估資料;使用該第二模擬資料子集與該TiN蝕刻程序之第二評估資料間的差異,判定該TiN蝕刻程序的風險資料;當第二風險資料小於第二風險限制時,將該TiN蝕刻程序確定為已確認的程序;及當第二風險資料不小於第二風險限制時,將該TiN蝕刻程序確定為未確認的程序。
  24. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:執行第一多層多步驟(MLMS)處理序列,其中創建該第二組圖形化晶圓;執行貫穿(BT)蝕刻程序、或主蝕刻(ME)蝕刻程序、或OE蝕刻程序、或氮化鈦(TiN)蝕刻程序,或其任一組合;在第一蝕刻腔室中,使用與該第一蝕刻腔室結合的傳送子系統將該第二組圖形化晶圓中至少一者傳遞至第一多區溫控晶圓座,其中該傳送子系統用以防止於傳送期間在該第一圖形化晶圓上形成氧化層;執行高介電常數(HK)蝕刻程序,其中該HK蝕刻程序包括:於該HK蝕刻程序期間,在該第一蝕刻腔室中建立第一腔室壓力,其中該第一腔室壓力係8 mT至12 mT不等;於HK蝕刻程序期間,建立該第一多區溫控晶圓座的第一溫度,該第一溫度係攝氏350度與攝氏390度間;於該HK蝕刻程序期間提供第一處理氣體至該第一蝕刻腔室中,其中該第一處理氣體包括BCl3,第一BCl3流速在130sccm及180sccm之間變化,其中一氣體注入系統用以提供該第一處理氣體至一或多區的處理區;及使用與該第一蝕刻腔室中之二上電極結合的第一功率分配器,提供第一射頻(RF)功率至該第一蝕刻腔室中的中央區且提 供第二RF功率至該第一蝕刻腔室中的邊緣區,其中於該HK蝕刻程序期間,第一RF源係與該第一功率分配器結合,該第一RF源在0.1MHz至200MHz的第一頻率範圍中運作,該第一RF功率係自550瓦至650瓦不等,且第二RF功率係自0瓦至100瓦不等。
  25. 如申請專利範圍第24項之使用多層多輸入多輸出(MLMIMO)模型的方法,更包括:針對該HK蝕刻程序,使用第二簡化MLMIMO模型創造該第二MLMS處理序列的第二模擬資料子集,其中該第二簡化MLMIMO模型包括第二簡化數量(Nb)的第二受控變量(CV1b1,CV2b1,...,CVNb1)、第二簡化數量(Mb)的第二操縱變量(MV1b1,MV2b1,...,MVMb1)與第二簡化數量(Lb)的第二擾動變量(DV1b1,DV2b1,...,DVLb1),其中(Lb1、Mb1、與Nb1)係大於一的整數;獲得該HK蝕刻程序的第二評估資料;使用該第二模擬資料子集與該HK蝕刻程序之第二評估資料間的差異,判定該HK蝕刻程序的風險資料;當第二風險資料小於第二風險限制時,將該HK蝕刻程序確定為已確認的程序;及當第二風險資料不小於第二風險限制時,將該HK蝕刻程序確定為未確認的程序。
  26. 如申請專利範圍第1項之使用多層多輸入多輸出(MLMIMO)模型的方法,其中該金屬閘極結構包括pFET結構、nFET結構、三閘極(Tri-gate)結構、及鰭式FET結構。
  27. 一種使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,該方法包括:a、接收第一組提前發送(send-ahead)的晶圓與相關的晶圓資料,該晶圓資料包括即時與歷史資料; b、使用與一或多片該提前發送之晶圓上之圖形化光阻層相關的即時整合式度量(IM)資料,對於第一多層蝕刻序列建立第一數量(1a)的擾動變量DV(La)與對於第二多層蝕刻序列建立第二數量(1b)的擾動變量DV(Lb),其中該即時IM資料包括臨界尺寸(CD)資料、側壁角度(SWA)資料、厚度資料、光阻資料、BARC資料、該晶圓的資料、與來自每一進來之晶圓上圖形化光阻層中多處位置的繞射訊號資料,其中(La)與(Lb)係大於二的整數;c、對於該第一多層蝕刻序列建立第一數量(Ma)的操縱變量MV(Ma)與對於該第二多層蝕刻序列建立第二數量(Mb)的操縱變量MV(Mb),其中(Ma)與(Mb)係大於二的整數;d、對於該第一多層蝕刻序列建立第一數量(Na)的受控變量CV(Na)與對於該第二多層蝕刻序列建立第二數量(Mb)的受控變量CV(Nb),其中(Na)與(Nb)係大於二的整數,其中CV(Na)定義為CV(Na)=f Na {MV(1a),...MV(Ma-1),MV(Ma),DV(1a),...DV(La-1),DV(La)}+OFFSETS Na 且CV(Nb)定義為CV(Nb)=f Nb {MV(1b)},...MV(Mb-1),MV(Mb),DV(1b),...DV(Lb-1),DV(Lb)+OFFSETS Nb e、使用第一二次目標函數計算優化的製程設定,其中該第一多層蝕刻序列的第一目標偏差t(Na)定義為t(Na)={DV(La)-目標CV(Na)}f、使用第二二次目標函數計算優化的製程設定,其中該第二多層蝕刻序列的第二目標偏差t(Nb)定義為t(Nb)={DV(Lb)-目標CV(Nb)}g、對於該第一多層蝕刻序列與/或對於該第二多層蝕刻序列,使用一或多個於非線性程式規劃期間所建立之已算出的操縱變量定義調整的製程配方;h、使用該調整的製程配方處理該第一組提前發送之晶圓的一或多者;i、獲得該提前發送之晶圓之一或多者的額外量測資料,其中 獲得且過濾出新的受控變量資料;j、使用該新的受控變量資料與預測的受控變量資料之間的差異而計算一或多個處理誤差;k、計算回饋資料項,其中誤差係用以使用指數加權移動平均(EWMA)過濾法,而更新該第一多層蝕刻序列的OFFSETSNa與/或該第二多層蝕刻序列的OFFSETSNb;l、在一優化器單元中,更新該第一多層蝕刻序列的OFFSETSNa與/或該第二多層蝕刻序列的OFFSETSNb;及m、對該第一組提前發送之晶圓中的每一晶圓重複步驟a-1。
  28. 如申請專利範圍第27項之使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,其中藉使用該第一二次目標函數執行非線性程式規劃,而針對該第一多層蝕刻序列計算第一操縱變量,該第一二次目標函數定義為 其中該Wja為權重因子,且該操縱變量MV(1a)具有上下閾值,該等閾值定義為下述不等式限制條件下閾值(Ma) MV(Ma)上閾值(Ma),且使用複數個設備限制條件判定該下閾值(Ma)與該上閾值(Ma)。
  29. 如申請專利範圍第28項之使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,其中藉使用該第二二次目標函數執行非線性程式規劃,而針對該第二多層蝕刻序列計算第二操縱變量,該第二二次目標函數定義為 其中該Wjb為權重因子,且該操縱變量MV(1a)具有上下閾值,該等閾值定義為下述不等式限制條件下閾值(Mb) MV(Mb)上閾值(Mb),且使用該複數個設備限制條件判定該下閾值(Mb)與該上閾值(M)。
  30. 如申請專利範圍第29項之使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,其中根據一或多個回饋誤差動態地更新該權重因子Wja及Wjb
  31. 如申請專利範圍第29項之使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,其中當一或多個操縱變量位於可允許的製程容許度之外時,把一或多個受控變量目標區分優先次序。
  32. 如申請專利範圍第29項之使用多層多輸入多輸出(MLMIMO)模型在複數個晶圓上創建金屬閘極結構的方法,其中使用製程狀態資料與/或腔室狀態資料定義該調整的製程配方。
TW098126350A 2008-08-06 2009-08-05 利用多層多輸入多輸出模型以產生金屬閘極結構之方法 TWI417754B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/186,619 US7894927B2 (en) 2008-08-06 2008-08-06 Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures

Publications (2)

Publication Number Publication Date
TW201009625A TW201009625A (en) 2010-03-01
TWI417754B true TWI417754B (zh) 2013-12-01

Family

ID=41653679

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098126350A TWI417754B (zh) 2008-08-06 2009-08-05 利用多層多輸入多輸出模型以產生金屬閘極結構之方法

Country Status (5)

Country Link
US (1) US7894927B2 (zh)
JP (1) JP2010041051A (zh)
KR (1) KR101530098B1 (zh)
CN (1) CN101707189B (zh)
TW (1) TWI417754B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803047B (zh) * 2021-11-11 2023-05-21 南亞科技股份有限公司 形成半導體結構的方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
CN102622481B (zh) * 2012-03-06 2014-03-12 中国航天科技集团公司第九研究院第七七一研究所 互补数据冗余结构型cmos标准单元电路物理库模型设计方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9588441B2 (en) * 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
CN102930101B (zh) * 2012-11-01 2015-05-20 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
US8799848B1 (en) 2013-01-15 2014-08-05 International Business Machines Corporation Methods for modeling of FinFET width quantization
US9224368B2 (en) * 2013-02-20 2015-12-29 Google Inc. Merging three-dimensional models of varying resolution
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
WO2015084523A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct current superposition freeze
WO2015099691A1 (en) 2013-12-23 2015-07-02 Intel Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
KR101832561B1 (ko) * 2015-05-21 2018-02-27 삼성전기주식회사 공진기 패키지 및 이의 제조방법
US10367471B2 (en) 2015-05-21 2019-07-30 Samsung Electro-Mechanics Co., Ltd. Resonator package and method of manufacturing the same
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
WO2019010312A1 (en) * 2017-07-07 2019-01-10 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND METHOD OF OPERATION
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
CN111344577B (zh) * 2017-11-16 2022-12-23 三菱电机株式会社 探针板、半导体测定装置及半导体测定系统
KR102429079B1 (ko) 2019-12-23 2022-08-03 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리에 이용하는 파장 선택 방법
US11761969B2 (en) 2020-01-21 2023-09-19 Kla Corporation System and method for analyzing a sample with a dynamic recipe based on iterative experimentation and feedback
US20240047248A1 (en) * 2020-12-21 2024-02-08 Lam Research Corporation Adaptive model training for process control of semiconductor manufacturing equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW591448B (en) * 2002-12-09 2004-06-11 Univ Feng Chia Method for determining parasitic inductance by using new metal oxide semiconductor FET transmission line equivalence circuit model
US6928636B2 (en) * 2001-05-10 2005-08-09 Sony Corporation Rule based OPC evaluating method and simulation-based OPC model evaluating method
US20060088772A1 (en) * 2001-10-02 2006-04-27 Guobiao Zhang Pattern-Distributed Mask
US20070249103A1 (en) * 2006-04-19 2007-10-25 Dao Thuy B Method of making a multi-gate device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166873A (en) * 1989-09-13 1992-11-24 Yokogawa Electric Corporation Process control device
DE69212721T2 (de) * 1991-06-11 1997-01-23 Toshiba Kawasaki Kk Prozesssteuerung
US5329443A (en) * 1992-06-16 1994-07-12 Praxair Technology, Inc. Two-phase method for real time process control
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7005330B2 (en) 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
KR100655155B1 (ko) * 2004-07-05 2006-12-08 (주) 미코티엔 반도체 검사용 프로브 카드
CN1673909A (zh) * 2004-07-23 2005-09-28 上海宝信软件股份有限公司 过程设定控制系统及其控制方法
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
JP4952338B2 (ja) * 2006-03-30 2012-06-13 東京エレクトロン株式会社 半導体装置の製造方法、エッチング装置及び記憶媒体
US7801635B2 (en) * 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6928636B2 (en) * 2001-05-10 2005-08-09 Sony Corporation Rule based OPC evaluating method and simulation-based OPC model evaluating method
US20060088772A1 (en) * 2001-10-02 2006-04-27 Guobiao Zhang Pattern-Distributed Mask
TW591448B (en) * 2002-12-09 2004-06-11 Univ Feng Chia Method for determining parasitic inductance by using new metal oxide semiconductor FET transmission line equivalence circuit model
US20070249103A1 (en) * 2006-04-19 2007-10-25 Dao Thuy B Method of making a multi-gate device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803047B (zh) * 2021-11-11 2023-05-21 南亞科技股份有限公司 形成半導體結構的方法

Also Published As

Publication number Publication date
TW201009625A (en) 2010-03-01
CN101707189B (zh) 2011-04-13
KR20100018478A (ko) 2010-02-17
US20100036518A1 (en) 2010-02-11
US7894927B2 (en) 2011-02-22
CN101707189A (zh) 2010-05-12
KR101530098B1 (ko) 2015-06-19
JP2010041051A (ja) 2010-02-18

Similar Documents

Publication Publication Date Title
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8501499B2 (en) Adaptive recipe selector
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US20060226786A1 (en) Inductively-coupled plasma etch apparatus and feedback control method thereof
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
TWI381468B (zh) 線上微影及蝕刻系統
Ellipsometry-Terry PRESENTATION OUTLINE

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees