TWI381468B - 線上微影及蝕刻系統 - Google Patents

線上微影及蝕刻系統 Download PDF

Info

Publication number
TWI381468B
TWI381468B TW097111696A TW97111696A TWI381468B TW I381468 B TWI381468 B TW I381468B TW 097111696 A TW097111696 A TW 097111696A TW 97111696 A TW97111696 A TW 97111696A TW I381468 B TWI381468 B TW I381468B
Authority
TW
Taiwan
Prior art keywords
data
processing
wafer
wafers
nsd
Prior art date
Application number
TW097111696A
Other languages
English (en)
Other versions
TW200903686A (en
Inventor
Mark Winkler
Thomas Winter
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/730,284 external-priority patent/US7596423B2/en
Priority claimed from US11/730,339 external-priority patent/US7935545B2/en
Priority claimed from US11/730,341 external-priority patent/US7650200B2/en
Priority claimed from US11/730,202 external-priority patent/US7531368B2/en
Priority claimed from US11/730,283 external-priority patent/US7373216B1/en
Priority claimed from US11/730,279 external-priority patent/US7783374B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200903686A publication Critical patent/TW200903686A/zh
Application granted granted Critical
Publication of TWI381468B publication Critical patent/TWI381468B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Description

線上微影及蝕刻系統
本發明係有關於晶圓處理,更特定地係有關於使用位置相依性程序與次系統來改善晶圓處理。
【交叉參考之相關申請案】
本申請案與下列有關:於2007年3月30日在美國共同申請之美國專利申請案第11/730283號,其發明名稱為「驗證位置相依性晶圓之方法與設備」(Method and Apparatus for Verifying a Site-Dependent Wafer);於2007年3月30日在美國共同申請之美國專利申請案第11/730284號,其發明名稱為「驗證位置相依性程序之方法與設備」(Method and Apparatus for Verifying a Site-Dependent Procedure);於2007年3月30日在美國共同申請之美國專利申請案第11/730341號,其發明名稱為「用以建構位置相依性評估程式庫之方法與設備」(Method and Apparatus for Creating a Site-Dependent Evaluation Procedure);於2007年3月30日在美國共同申請之美國專利申請案第11/730279號,其發明名稱為「用以執行位置相依性雙重金屬鑲嵌之方法與設備」(Method and Apparatus for Performing a Site-Dependent Dual Damascene Procedure);以及,於2007年3月30日在美國共同申請之美國專利申請案第11/730339號,其發明名稱為「用以執行位置相依性雙圖案化程序之方法與設備」(Method and Apparatus for Performing a site-Dependent Dual Patterning Procedure)。這些申請案之個別完整內容於此併入本案以供參考。
當前積體電路的製造方法與廠房設計需要許多工具設置成獨立式平台或聚集於一般區域,彼此間通常隔有2000英尺或更遠。使用這些工具的設備便因此亦必須廣泛遍佈於廠房中。這些平台 所需的典型功能是:基板塗布(黏著劑塗布、底層抗反射塗布(BARC)、頂層抗反射塗布(TARC)、抗蝕劑塗布、頂蓋層塗布);烘烤(塗布抗蝕劑後烘烤及曝光後烘烤);成像(曝光);量測(疊對量測、臨界尺寸、缺陷及膜厚);使用以浸漬式處理的曝光前與曝光後清潔;及蝕刻(定義下方薄膜之圖案)及蝕刻後清潔(聚合物及其他副產物之移除)。針對低於32 nm閘極長度的技術將需要重複這些許多的操作來完成半導體晶圓的單一啟動層,亦即,二次抗反射底層、二次或三次圖案化、二次或三次成像等。
根據2005年國際半導體技術準則(ITRS 2005 roadmap),對15 nm閘極技術而言,所要求之光閘層級的缺陷密度在尺寸為10 nm時將會是大約0.01/cm2 。針對蝕刻後的閘極元件,臨界尺寸的控制將需要大約在0.6 nm(3個標準差)。至今沒有既存的微影與蝕刻處理工具具有這些執行能力。
這些先進技術將需要製程在上游做即時、晶圓間的調整,以維持可接受的裝置結果。因為晶圓在廠房內工具間的移動會增加缺陷與廠房無塵室成本,缺陷需求(defectivity requirements)將要求較少的移動。
今日所使用的平台係為製造用「島嶼」。這並無法提供最低擁有成本(Co0)的發展,或允許最佳製程控制。現今並沒有300 mm生產線設計可達到如某些曝光工具製造商所宣稱之每小時產出片數為300片晶圓的產能。
本發明提供一即時處理晶圓的方法,使用處理程序及/或位置相依性(S-D, Site-Dependent)評估程序。在某些實施例中,一或更多個次系統及/或系統中之一個或更多的控制器能用即時S-D參數來執行S-D處理程序及/或S-D評估程序。另外,S-D處理程序及/或S-D量測程序可用歷史資料來操作。
在其他某些實施例中,本發明提供用來驗證S-D晶圓的方法 與設備。在一步驟中,第一組S-D晶圓可由一或更多個處理次系統之一或更多個S-D處理元件來接收,且一或更多個S-D處理元件能連接到一或更多個S-D傳輸系統。每個晶圓能有與其相關的晶圓資料,且晶圓資料可包括歷史及/或即時資料。在其他步驟中,藉使用一或更多個S-D處理元件來執行第一S-D產生程序,能產生第一組未驗證S-D晶圓,且一或更多個未驗證之評估特徵能夠產生在各未驗證S-D晶圓上的第一數量評估位置,而各未驗證S-D晶圓能建構有S-D晶圓狀態資料,且S-D晶圓狀態資料能包括各未驗證S-D晶圓之所需產生位置的數量及所需評估位置的數量,且第一組評估晶圓能如此建構而包括第一數量未驗證S-D晶圓,並且第一S-D評估程序能評估第一組評估晶圓。接著,在連接到一或更多個S-D傳輸次系統的一或更多個次系統中,複數個S-D評估元件能建構有第一操作性狀態,而可利用評估元件之第一數量能用一或更多個S-D評估元件的第一操作性狀態來決定,且利用晶圓資料、S-D晶圓狀態資料、S-D評估晶圓之第一數量或可利用評估晶圓之第一數量,或上述任何組合,第一S-D傳輸次序能加以建構。當S-D評估晶圓之數量少於或等於可利用評估元件之第一數量時,藉使用第一S-D傳輸次序,第一組S-D評估晶圓能傳輸到一或更多個評估次系統之第一組數量可利用評估元件;而當S-D評估晶圓的數量多於可利用評估元件的第一數量時,則能施加第一修正動作。另外,本發明提供一驗證S-D晶圓用之系統,且該系統能包含一或更多個處理次系統之用以接收第一組S-D晶圓的一或更多個S-D處理元件,以及連接到一或更多個S-D處理元件的一或更多個S-D傳輸次系統。藉執行第一S-D產生程序,一或更多個S-D處理元件能用以產生第一組未驗證S-D晶圓,其中,一或更多個未驗證評估特徵產生在各未驗證S-D晶圓上的第一數量評估位置。該系統亦可包括連接到一或更多個處理次系統中之一或更多個S-D處理元件的一或更多個控制器,以及連接到一或更多個S-D傳輸次系統的該等控制器。一或更多個 控制器能:用以建構各未驗證S-D晶圓的S-D晶圓狀態資料,其中,S-D晶圓狀態資料包含各未驗證S-D晶圓之所需產生位置的數量及所需評估位置的數量;用以建構包含有第一數量未驗證S-D晶圓的第一組評估晶圓,第一組評估晶圓係用第一S-D評估程序來評估;在連接到一或更多個S-D傳輸次系統之一或更多個次系統中,用以建構複數個S-D評估元件的第一操作性狀態;藉使用一或更多個S-D評估元件的第一操作性狀態,用以決定可利用評估元件之第一數量;藉使用晶圓資料、S-D晶圓狀態資料、S-D評估晶圓之第一數量或可利用評估元件之第一數量,或上述任何組合,用以建構第一S-D傳輸次序;以及,當S-D評估晶圓的數量多於可利用評估元件的第一數量時,用以施加第一修正動作。此外,當S-D評估晶圓的數量少於或等於可利用評估元件的第一數量時,藉使用第一S-D傳輸次序,一或更多個S-D傳輸次系統能用以傳輸第一組S-D評估晶圓至一或更多個評估次系統中的第一數量可利用評估元件。
在部分額外的實施例中,本發明提供用來驗證S-D程序之方法與設備。一已驗證S-D產生程序能在受處理晶圓上之一或更多個區位生產出一或更多個已驗證評估特徵。當風險因素減少時,需要用來驗證一程序的位置數量亦能減少,而此能提供增加的產能。另外,當信賴值增加時,需要用來驗證一程序的位置數量亦能減少,且此可提供增加的產能。對於成熟的產品,驗證決策能用更少晶圓與更少位置來決定。在一步驟中,一或更多個S-D晶圓能由連接到一或更多個處理次系統中之一或更多個S-D處理元件的一或更多個S-D傳輸次系統來接收。各晶圓能有與其有關的晶圓資料,且晶圓資料能包括歷史及/或即時資料。在各式步驟中,能為各晶圓決定S-D晶圓狀態資料,第一組驗證晶圓能用S-D晶圓狀態資料與晶圓資料來建構,且第一組驗證晶圓能包括一數量的S-D晶圓。從S-D晶圓狀態資料,能為各個驗證晶圓決定所需、所造訪及所剩下之驗證位置的數量。接著,針對第一組驗證 晶圓中之第一驗證晶圓,藉使用晶圓資料、S-D晶圓狀態資料、所需驗證位置的數量、所造訪驗證位置的數量或所剩餘驗證位置的數量,或上述任何組合,第一程序驗證次序能加以建構,並且,藉使用程序驗證次序,第一S-D驗證程序能為第一驗證晶圓來加以決定,並能包括一或更多個處理程序。當第一S-D處理元件能利用時,藉使用連接到第一處理次系統的S-D傳輸次系統,第一驗證晶圓能傳輸至第一處理次系統中的第一S-D處理元件。當第一S-D處理元件無法利用時,第一驗證晶圓能用S-D傳輸次系統來延遲第一段時間。
又在其他實施例中,本發明提供一方法來建構一S-D評估程式庫,且該方法能包含:藉使用S-D處理次序,在晶圓上一或更多個層中建構一S-D參照結構;藉使用評估次系統為S-D參照結構取得S-D評估資料,比較S-D評估資料與預測的程式庫相關資料,為評估資料建立信賴度資料及風險評估資料,以及當達到風險評估限制時將該參照結構辨識為已驗證結構;將與已驗證參照結構有關之資料儲存在S-D評估程式庫裡。在S-D參照程式庫裡的資料以一S-D組的波長為特徵。在找到匹配情況時,能使用與匹配情況有關之S-D評估程式庫資料來辨識出S-D參照結構,否則,在找不到匹配情況時,能施加第一修正動作。另外,本發明提供一系統來建構S-D評估程式庫,且該系統能包含:一S-D處理次系統,用來在晶圓上一或更多層中建構一S-D參照結構;一S-D評估次系統,用以提供評估資料;及一控制器,用以比較評估資料與預測資料、辨識出已驗證參照結構及在S-D評估程式庫中儲存與已驗證參照結構有關之資料。
在額外的實施例中,藉使用S-D處理元件、S-D評估元件、S-D產生程序或S-D評估程序,或上述任何組合,本發明提供系統與方法,來執行雙重金屬鑲嵌程序。
在其他額外實施例中,藉使用複數個S-D程序,本發明提供用來執行雙重圖案化處理次序的方法。該方法能包括藉由處理系 統之第一S-D傳輸次系統來接收第一組晶圓。處理系統能包括:一或更多個微影次系統、一或更多個掃描器次系統、一或更多個蝕刻次系統、一或更多個熱處理次系統、一或更多個評估次系統、一或更多個檢驗次系統、一或更多個重新改製次系統或一或更多個沉積次系統,或上述任何組合。
本發明其他的實施態樣從下文描述與隨附於此之圖式更能加以了解。
本發明提供用以處理晶圓的設備與方法,該等晶圓在其等之上具有大量半導體裝置,而該設備與方法使用位置相依性(S-D, Site-Dependent)的程序、次序及/或次系統。晶圓在接收時,該等晶圓被能辨識為位置相依性(S-D)晶圓或非位置相依性(N-S-D, Non-Site-Dependent)晶圓。在各式實施例中,所提供的設備及方法用來執行S-D傳輸次序、處理S-D晶圓、建構S-D評估程式庫、執行能包括一或更多個S-D產生程序及/或一或更多個評估程序的S-D處理次序、執行S-D驗證程序。
處理系統能包括S-D處理元件、S-D評估元件及連接到一或更多個S-D處理元件及一或更多個S-D評估元件的一或更多個S-D傳輸次系統。除此之外,可採用其他配置。
一S-D晶圓上,在各區位可設置一或更多個位置。位置能是處理相關的,且一或更多個位置能用於S-D評估及/或驗證程序。S-D評估及/或驗證程序能用來評估及/或驗證S-D傳輸次序、S-D晶圓、S-D程序、S-D評估程式庫、S-D處理次序或處理步驟中使用的特定位置,或上述任何組合。
S-D晶圓能具有與其有關之晶圓資料,且晶圓資料能包括即時與歷史資料。晶圓資料能是S-D及/或N-S-D資料。此外,晶圓資料能包括晶圓的信賴度資料及/或風險資料。S-D晶圓能具有與其有關之位置資料,且該等位置資料包括所需位置之數量、所造 訪位置之數量、一或更多個位置之信賴度資料及/或風險資料、位置排序(ranking)資料、傳輸次序資料、處理相關資料或評估/驗證相關資料,或上述任何組合。晶圓資料能包括能用來建構S-D傳輸次序屬性的一或更多個傳輸次序變數。S-D傳輸次序能即時改變,以最佳化產能、最大化處理元件的使用、最大化評估元件的使用、儘速重新改製不良晶圓。晶圓資料能包括能用來建構S-D處理次序屬性的一或更多個處理次序變數。S-D傳輸次序能即時改變,以最佳化產能、最大化處理元件的使用、最大化評估元件的使用、儘速重新改製不良晶圓、避免離線及/或故障元件、在一或更多個位置已被評估及/或驗證後傳輸晶圓。
S-D傳輸及/或S-D處理次序亦能使用晶圓資料來為各S-D晶圓建構。S-D處理次序能在描述於此之各種狀況下建構,且S-D傳輸次序能在描述於此之各種狀況下建構。
基於各晶圓所需之位置的數量、需要處理之晶圓的數量、可利用S-D處理元件的數量及S-D傳輸次系統用的載入資料,S-D傳輸次序能加以建構。
S-D傳輸次序亦能加以建構,並用以:在最短時間內得到在第一晶圓上之第一個所需位置的信賴度資料、在最短時間內得到在第一晶圓上之一或更多個所需位置的信賴度資料、在最短時間內得到在第一晶圓上之全部所需位置的信賴度資料、在最短時間內得到在一或更多個額外晶圓上之第一個所需位置的信賴度資料、在最短時間內得到在一或更多個額外晶圓上之一或更多個所需位置的信賴度資料、在最短時間內得到在一或更多個額外晶圓上之全部所需位置的信賴度資料、在最短時間內得到在第一組之全部晶圓上之第一個所需位置的信賴度資料、在最短時間內得到在第一組之全部晶圓上之一或更多個所需位置的信賴度資料、或在最短時間內得到在第一組之全部晶圓上之全部所需位置的信賴度資料,或上述任何組合。
在其他實施例中,S-D傳輸次序能加以建構,並用以:在最 短時間內得到第一晶圓的風險資料、在最短時間內得到一或更多個額外晶圓的風險資料、或在最短時間內得到第一組之全部晶圓的風險資料,或上述任何組合。另外,傳輸次序能加以建構,並用以:在最短時間內得到第一晶圓的新晶圓資料、在最短時間內得到一或更多個額外晶圓的新晶圓資料、或在最短時間內得到第一組之全部晶圓的新晶圓資料,或上述任何組合。舉例而言,能使用S-D及/或N-S-D晶圓、能得到S-D及/或N-S-D信賴度資料及能得到S-D及/或N-S-D風險資料。
又在其他實施例中,S-D傳輸次序能加以建立,並用以:在最短時間內得到第一程序用的風險資料、在最短時間內得到一或更多個額外程序用的風險資料、或在最短時間內得到第一程式庫中之第一組所有程序用的風險資料,或上述任何組合。
在額外的實施例中,S-D傳輸次序能加以建立,並用以:在最短時間內得到第一程式庫相關資料、在最短時間內得到額外程式庫相關資料、或在最短時間內得到第一程式庫之第一子集合(subset)中的所有程式庫相關資料,或上述任何組合。舉例而言,能獲得S-D及/或N-S-D程式庫相關資料。
此外,S-D傳輸次序能建立來傳輸晶圓至:一或更多個指定的處理元件及/或評估元件、一或更多個可利用的處理元件及/或評估元件、至一或更多個「最佳」("golden")處理元件及/或評估元件、一或更多個低風險處理元件及/或評估元件、一或更多個高信賴度處理元件及/或評估元件。舉例而言,能使用S-D及/或N-S-D晶圓,能使用S-D及/或N-S-D處理元件,且能使用S-D及/或N-S-D評估元件。
在額外的實施例中,當一或更多個處理元件及/或評估元件無法利用時,S-D傳輸次序能建立來用S-D傳輸次系統在一最短時間內「延遲」及/或「儲存」晶圓;或當一或更多個處理元件及/或評估元件無法用時,S-D傳輸次序能建立來用S-D傳輸次系統在一預定時間內「延遲」及/或「儲存」晶圓;或當一或更多個處 理元件及/或評估元件在第一次系統中無法利用時,S-D傳輸次序能建立來使用S-D傳輸次系統在最短時間內傳輸晶圓至另一次系統。
S-D傳輸次序亦能建立來傳輸該等受「延遲」及/或受「儲存」的晶圓:在最短時間內至一或更多個處理元件及/或評估元件、至一或更多個剛才可利用(newly-available)之處理元件及/或評估元件、在一段時間後至一或更多個可利用處理元件及/或評估元件、至一或更多個低風險處理元件及/或評估元件、或一或更多個高信賴度處理元件及/或評估元件。
在其他額外的實施例中,S-D傳輸次序能建立來傳輸「延遲」及/或「儲存」的晶圓:在最短時間內至一或更多個處理元件及/或評估元件、至一或更多個剛才可利用之處理元件及/或評估元件、在一段時間後至一或更多個可利用處理元件及/或評估元件、至一或更多個低風險處理元件及/或評估元件、或一或更多個高信賴度處理元件及/或評估元件。
S-D傳輸次序能建立來傳輸晶圓至用以預先及/或事後處理的一或更多個次系統。舉例而言,在預先及/或事後處理期間,能獲得S-D晶圓資料,如晶圓剖面輪廓資料、晶圓厚度資料、晶圓溫度資料或光學資料,或上述任何組合。當錯誤發生時,S-D傳輸次序在最短時間內能建立來傳輸晶圓至一或更多個重新改製次系統。
S-D傳輸次序能建立來:允許晶圓在其之上至少有一已驗證裝置時持續進行處理以最大化產能、允許操作員介入、允許主機系統介入或最小化由掃描器次系統導致的延遲,或上述任何組合。現行廠房系統不包括傳輸晶圓用的S-D傳輸次系統及/或處理晶圓用的S-D處理次系統。此外,現行廠房系統不包括處理晶圓用及/或在晶圓處理完後將S-D晶圓資料從一次系統通訊到另一次系統用的S-D程序。因晶圓製程導致的S-D變化可能不會是跨晶圓均勻的,且S-D變化能包括處理室到處理室間的變化、處理的 時間、處理化學品及處理室隨時間之偏移。
當特徵部的尺寸大小縮小到65 nm節點以下時,正確的處理及/或量測資料變得更為重要,且更難獲得。S-D程序能用來更正確地處理及/或測量這些超小特徵。S-D資料能比較於警告及/或控制限制,且當有違反運轉規則時,能產生警鈴以示處理問題。
圖1係根據本發明之實施例,繪示處理系統的例示性方塊圖。在所說明的實施例中,處理系統100包含系統控制器195、第一微影次系統110、掃描器次系統115、第二微影次系統120、第三微影次系統125、熱處理處理次系統130、檢驗次系統135、蝕刻次系統140、沉積次系統145及重新改製次系統155。單一次系統(110、115、120、125、130、135、140、145、150、155)繪示於所說明之實施例中;然而,多重次系統亦能加以使用。舉例而言,在某些實施例中,多重次系統(110、115、120、125、130、135、140、145、150、155)可用於處理系統100中。此外,一或更多個次系統(110、115、120、125、130、135、140、145、150、155)能包含能用來執行一或更多個處理的一或更多個處理元件。
藉使用資料傳輸次系統106,系統控制器195能連接到第一微影次系統110、掃描器次系統115、第二微影次系統120、第三微影次系統125、熱處理處理次系統130、檢驗次系統135、蝕刻次系統140、沉積次系統145、評估次系統150及重新改製次系統155。舉例而言,第二微影次系統120能包括(浸漬後)清潔次系統(未繪示)。
第一微影次系統110能連接111a到第一S-D傳輸次系統101,且連接111b到第二S-D傳輸次系統102。掃描器次系統115能連接116a到第一S-D傳輸次系統101,且連接116b到第二S-D傳輸次系統102。第二微影次系統能連接121a到第一S-D傳輸次系統101,且連接121b到第二S-D傳輸次系統102。第三微影次系統125能連接126a到第一S-D傳輸次系統101,且連接126b到第二S-D傳輸次系統102。熱處理處理次系統130能連接131a到第一 S-D傳輸次系統101,且連接131b到第二S-D傳輸次系統102。檢驗次系統135能連接136a到第一S-D傳輸次系統101,且連接136b到第二S-D傳輸次系統102。蝕刻次系統140能連接141a到第一S-D傳輸次系統101,且連接141b到第二S-D傳輸次系統102。沉積次系統145能連接146a到第一S-D傳輸次系統101,且連接146b到第二S-D傳輸次系統102。評估次系統150能連接151a到第一S-D傳輸次系統101,且連接151b到第二S-D傳輸次系統102。重新改製次系統155能連接156a到第一S-D傳輸次系統101,且連接156b到第二S-D傳輸次系統102。或者,其他連接配置能加以利用。
此外,第三傳輸次系統103能連接到第一S-D傳輸次系統101,並連接到第二S-D傳輸次系統102。第三傳輸次系統103能連接到其他傳輸系統及/或處理系統(未繪示)。舉例而言,傳輸系統(101、102、103)能使用連接到遞送元件105的傳輸元件104以接收晶圓、傳輸晶圓、對準晶圓、儲存晶圓及/或延遲晶圓。或者,其他傳輸裝置可加以使用。
製造執行系統(MES, manufacturing execution system)180利用資料傳輸次系統106能連接到系統控制器195。或者,可使用廠房層級及/或主機系統,並可使用其他連接技術。在替代的實施例中,可能需要一或更多個額外次系統。舉例而言,系統控制器195可連接到其他處理系統及/或次系統(未繪示)。或者,可使用其他配置,並可使用其他連接技術。
第一微影次系統110能包含一或更多個處理元件112,該等處理元件能連接到內部傳輸裝置113及/或能連接111a到第一S-D傳輸次系統101。掃描器次系統115能包含一或更多個處理元件117,該等處理元件能連接到內部傳輸裝置118及/或能連接116a到第一S-D傳輸次系統101。第二微影次系統120能包含一或更多個處理元件122,該等處理元件能連接到內部傳輸裝置123及/或能連接121a到第一S-D傳輸次系統101。第三微影次系統125 能包含一或更多個處理元件127,該等處理元件能連接到內部傳輸裝置128及/或能連接126a到第一S-D傳輸次系統101。熱處理處理次系統130能包含一或更多個處理元件132,該等處理元件能連接到內部傳輸裝置133及/或能連接131a到第一S-D傳輸次系統101。檢驗次系統135能包含一或更多個S-D評估元件137,該等評估元件能連接到內部傳輸裝置138及/或能連接136a到第一S-D傳輸次系統101。蝕刻次系統140能包含一或更多個處理元件142,該等處理元件142能連接到內部傳輸裝置143及/或能連接141a到第一S-D傳輸次系統101。沉積次系統145能包含一或更多處理元件147,該等處理元件能連接到內部傳輸裝置148及/或能連接146a到第一S-D傳輸次系統101。評估次系統150能包含一或更多個S-D評估元件152,該等評估元件能連接到內部傳輸裝置153及/或能連接151a到第一S-D傳輸次系統101。重新改製次系統155能包含一或更多個處理元件157,該等處理元件能連接到內部傳輸裝置158及/或能連接156a到第一S-D傳輸次系統101。各種數量的處理元件可用於次系統中。處理元件能以串聯及/或並聯的方式連接,且能具有一或更多個輸入埠及/或一或更多個輸出埠。舉例而言,處理元件可包括工具、模組、處理室、感應器及/或其他裝置。
在某些實施例中,次系統能包含額外傳輸裝置。第一微影次系統110能包含能連接111b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置113。掃描器次系統115能包含能連接116b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置118。第二微影次系統120能包含能連接121b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置123。第三微影次系統125能包含能連接126b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置128。熱處理處理次系統130能包含能連接131b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置133。檢驗次系統135能包含能連接136b到第二S-D傳輸次系統102的一或更多個內部傳輸 裝置138。蝕刻次系統140能包含能連接141b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置143。沉積次系統145能包含能連接146b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置148。評估次系統150能包含能連接151b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置153。重新改製次系統155能包含能連接156b到第二S-D傳輸次系統102的一或更多個內部傳輸裝置158。或者,能使用其他連接配置。在某些實施例中,任何數量的傳輸裝置及/或傳輸次系統可用於系統中。傳輸裝置及/或傳輸次系統能以串聯及/或並聯的方式連接,並能具有一或更多個輸入埠及/或一或更多個輸出埠。
第一微影次系統110能包含一或更多個控制器114,該等控制器能利用資料傳輸次系統106而連接到系統控制器195及/或其他控制器。掃描器次系統115能包含一或更多個控制器119,該等控制器能利用資料傳輸次系統106而連接到系統控制器195及/或其他控制器。第二微影次系統120能包含一或更多個控制器124,該等控制器能利用資料傳輸次系統106而連接到系統控制器195及/或其他控制器。第三微影次系統125能包含一或更多個控制器129,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。熱處理處理次系統130能包含一或更多個控制器134,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。檢I驗次系統135能包含一或更多個控制器139,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。蝕刻次系統140能包含一或更多個控制器144,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。沉積次系統145能包含一或更多個控制器149,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。評估次系統150能包含一或更多個控制器154,該等控制器能利用資料傳輸次系統106連接到系統控制器195及/或其他控制器。重新改製次系統155能包含一或更多個控 制器159,該等控制器能連接到系統控制器195及/或其他控制器。或者能用其他連接配置。在其他實施例中,任何數量的控制器可用於系統中。控制器能以串聯及/或並聯的方式連接,並能具有一或更多個輸入埠及/或一或更多個輸出埠。舉例而言,控制器可包括8位元、16位元、32位元及/或64位元的處理器。
此外,藉使用內部網路、網際網路及有線及/或無線連接,次系統(110、115、120、125、130、135、140、145、150、155)能相互連接,並能與其他裝置連接。控制器(114、119、124、129、134、139、144、149、154、159、195)在必要時能相互連接。
在執行即時S-D程序時,能使用一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)。控制器能接收即時資料,以更新次系統、處理元件、處理、製程配方、剖面輪廓及/或模型資料。如在此所述,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能利用即時資料來執行即時S-D程序,並提供即時S-D資料。在某些實施例中,一或更多個控制器能用來與MES 180交換一或更多個半導體設備通訊標準(SECS, Semiconductor Equipment Communications Standard)訊息、讀取及/或移除S-D資訊、前授及/或回饋S-D資訊、及/或將S-D資訊作為SECS訊息送出。一或更多控制器(114、119、124、129、134、139、144、149、154、159、195)能利用即時資料來執行S-D程序,並提供即時S-D資料。舉例而言,控制器能用以接收、處理及/或傳送含有即時資料的訊息。
此外,控制器(114、119、124、129、134、139、144、149、154、159、195)能包括所需之記憶體(未繪示)。舉例而言,記憶體(未繪示)能用來儲存資訊與控制器(114、119、124、129、134、139、144、149、154、159)準備要執行的指令,且在處理系統100之各式電腦/處理器執行指令的期間,記憶體可用來儲存臨時變數或其他中間資訊。一或更多個控制器(114、119、124、129、134、139、144、149、154、159)或其他系統元件能包含用以從電腦可讀媒體 讀取資料及/或指令的裝置,並能包含用以寫入資料及/或指令至電腦可讀媒體的裝置。
因應電腦/處理器在處理系統中執行一或更多個容納在記憶體及/或從訊息接收的指令的一或更多個次序,處理系統100能執行本發明一部份或全部的處理步驟。諸如此類的指令可從另一電腦、電腦可讀媒體或網路連接來接收。
儲存在任一個或任何組合的電腦可讀媒體中的本發明包括軟體,該軟體用以控制處理系統、用以為實施本發明而驅動一或多個裝置及用以促使處理系統100與人類使用者互動。此般軟體可包括但不限制於裝置驅動程式、作業系統、開發工具及應用程式軟體。此般電腦可讀媒體更包括本發明之電腦程式產品,該電腦程式產品用以執行全部或部分(若分散處理)的處理來實施本發明。
此處論及之「電腦可讀媒體」("computer readable medium")一詞指任何提供指令參與處理器之執行的媒體。電腦可讀媒體可以許多形式呈現,包括但不受限於不變性媒體、依電性媒體及傳輸媒體。
次系統(110、115、120、125、130、135、140、145、150、155)能包含處理工具(未繪示)。在某些實施例中,一整合系統利用東京威力科創股份有限公司(Tokyo Electron Limited, TEL)的系統元件能進行配置。在其他實施例中,可包括外部次系統及/或工具。處理工具及/或處理元件能包括一或更多之蝕刻工具、沉積工具、原子層沉積(ALD, atomic layer deposition)工具、量測工具、游離化工具、拋光工具、塗布工具、顯影工具、清潔工具、曝光工具及熱處理工具。此外,能夠提供的量測工具能包括臨界尺寸掃描電子顯微(CDSEM, CD-Scanning Electron Microscopy)工具、透射電子顯微(TEM, Transmission Electron Microscopy)工具、聚焦離子束(FIB, focused ion beam)工具、光學數位測定(ODP, Optical Digital Profilometry)工具、原子力顯微鏡(AFM, Atomic Force Microscope)工具或另外光學量測工具。次系統及/或處理元件能具有不同介面 需求,且控制器能用以滿足這些不同介面需求。
一或更多個次系統(110、115、120、125、130、135、140、145、150、155)能包含控制元件、圖形使用者介面(GUI, Graphical User Interface)元件及/或資料庫元件(未繪示)。舉例而言,GUI元件(未繪示)能夠提供簡易操作介面,讓使用者:檢視狀態;新建/檢視/編輯位置相依性及/或非位置相依性之程序、策略、計畫、錯誤、故障、資料庫、規範、製程配方、模式應用、模擬及/或試算表應用、電子郵件訊息及診斷螢幕。如同習知本技藝者所應明白的,GUI元件不需要為所有功能提供介面,而可提供介面給這些功能的任何子集功能或其他未列於此的功能。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)及/或系統控制器195能連接到資料傳輸系統196,以與MES 180及其他次系統交換資訊。資料傳輸系統196能包含固線與無線元件。
次系統(110、115、120、125、130、135、140、145、150、155)、控制器(114、119、124、129、134、139、144、149、154、159)及/或系統控制器195能包括先進製程控制(APC, Advanced Process Control)的應用、故障偵測與分類(FDC, Fault Detection and Classification)及/或批次間控制(R2R, Run-to-Run)的應用。在某些實施例中,S-D APC應用、S-D FDC應用及/或S-D R2R應用能加以執行。
在某些實施例中,一或更多個控制器(114、119、124、129、134、139、144、149、154、159)能執行S-D處理最佳化程序、S-D模型最佳化程序,或能執行S-D程式庫最佳化程序或上述任何組合。S-D最佳化程序能使用晶圓資料、模型、製程配方、剖面輪廓資料,以更新及/或最佳化一程序。舉例而言,S-D最佳化程序能即時操作。藉由使用即時S-D最佳化,能達成更多正確處理結果。在低於65 nm節點的較小幾何技術中,需要更正確的處理結果。
能影響製程配方、剖面輪廓、模型及/或處理結果之材料及/或處理的變化能夠產生改變,此係晶圓中之位置與位置間、晶圓與晶圓間及批貨與批貨間的改變。這些變化能由一或更多個次系統(110、115、120、125、130、135、140、145、150、155)之改變及/或問題所導致。非均勻薄膜及/或非均勻處理能導致問題。此外,工具與工具間的變化、處理室與處理室間的變化及處理室的偏移能隨時間增加而導致問題。在蝕刻處理期間,因為使用終點指示(end pointing)及犧牲薄膜(sacrificial films)來控制底部臨界尺寸的本質,厚度及/或均勻性能在晶圓內位置與位置間、晶圓與晶圓間及批貨與批貨間改變。此外,厚度的變化能導致光學屬性與其他物理屬性的改變。S-D程序能用來消除或最小化「過度蝕刻」("over-etching")所導致的問題。
源自S-D程序的輸出資料及/或訊息能用於後續程序中,以最佳化處理之正確性與精密性。作為即時變數參數的資料能即時傳送給S-D計算程序,,覆蓋現行模型預設值,並縮小搜尋空間來達成正確結果。為了最佳化一程序,資訊能與程式庫為主之系統或在即時回歸步驟中,或上述任何組合一同使用。
如150之評估次系統能包括整合光學數位測定(iODP, integrated Optical Digital Profiling)系統(未繪示)。或者,可使用其他量測系統。iODP工具可從Timbre Technologies Inc.(一間TEL的公司)獲得。舉例而言,ODP技術可用來得到臨界尺寸(CD, critical dimension)資訊、結構剖面輪廓資訊或通孔資訊,且iODP系統之波長範圍能從少於約200 nm涵蓋到大於約900 nm。例示性iODP系統能包括ODP測定器程式庫、測定器應用伺服器(PAS, Profiler Application Server)、ODP測定器軟體。ODP測定器程式庫能包含光譜及其對應之半導體剖面輪廓、臨界尺寸與膜厚的應用專屬資料庫。PAS能包含至少一連接到光學儀器與電腦網路連接的電腦。PAS處置資料通訊、ODP程式庫操作、量測處理、結果產生、結果分析與結果輸出。ODP測定器軟體包括安裝在PAS之 軟體,用來管理量測製程配方、ODP測定器程式庫、ODP測定器資料、ODP測定器結果之檢索/配對、ODP測定器結果之計算/分析、資料通訊及PAS與各式量測工具與電腦網路的介面。
如150之評估次系統能使用偏振反射量測術(polarizing reflectometry)、橢圓偏光量測術(spectroscopic ellipsometry)、反射量測術或其他光學量測技術來測量正確裝置剖面輪廓、正確臨界尺寸及晶圓多層膜厚。整合量測處理(iODP)能在線上執行,如此消除了打斷晶圓處理來分析或長時等待源自外部工具之資料的必要。ODP技術能與既有薄膜量測工具一同使用,用以做線上剖面輪廓與臨界尺寸的量測,且能與TEL的處理工具及/或微影系統整合,以提供即時處理的監視與控制。一例示性光學量測系統描述於頒證於2005年9月13日之美國專利第6,943,900號,其發明名稱為「週期式格柵板繞射信號之資料庫產生」("Generation of a library of periodic grating diffraction signal"),發明人為Nui等人,其全部內容在此併入作為參考。
一用來產生模擬繞射信號之S-D程式庫的替代程序能包括使用機器學習系統(MLS, machine learning system)。在產生模擬繞射信號的程式庫之前,MLS使用已知的輸入與輸出資料來訓練。在一例示性實施例中,模擬繞射信號能使用一採用機器學習演算法的機器學習系統來產生,該機器學習演算法諸如反向傳播、徑向基底函數、支撐向量、核迴歸及其他類似演算法。欲知機器學習系統及其演算法的更詳細描述,參見1999年Prentice Hall出版、Simon Haykin所著的「神經網絡」("Neural Networks"),其全部內容在此併入作為參考;亦參見於2003年6月27日提出申請之美國專利申請案第10/608,300號,其發明名稱為「形成於半導體上結構之使用機器學習系統的光學量測」(Optical metrology of structures formed on semiconductor wafers using machine learning systems),其全部內容在此併入作為參考。
欲知量測模型最佳化的詳細描述,則參考:於2002年6月27 日提出申請之美國專利申請案第10/206,491號,其發明名稱為「光學量測用之最佳化的模型與參數選擇」(Optimized model and parameter selection for optical metrology),發明人為Vuong等人;於2004年9月21日提出申請之美國專利申請案第10/946,729號,其發明名稱為「目標導向之光學量測模型最佳化」(Optical metrology model optimization based on goals),發明人為Vuong等人;及於2004年4月27日提出申請之美國專利申請案第11/061,303號,其發明名稱為「重複結構之光學量測最佳化」(Optical metrology optimization for repetitive structures),發明人為Vuong等人;所有內容在此併入作為參考。
使用回歸為主的處理時,從圖案化結構測量出之所測量的繞射信號能與模擬繞射信號做比較。基於數組的剖面輪廓參數,模擬繞射信號能經反覆演算而產生,以達到剖面輪廓參數組的一收斂值,而產生與所測量之繞射信號比對下最接近之模擬繞射信號。欲知迴歸為主之處理的詳細描述,參見頒證於2004年8月31日之美國專利第6,785,638號,其發明名稱為「經由迴歸類程式庫產生過程而動態學習之方法與系統」(Method and system of dynamic learning through a regression-based library generation process),全部內容在此併入作為參考。
使用程式庫為主的處理時,藉使用S-D及/或最佳化製程配方、剖面輪廓及/或模型,能產生及/或加強光學量測資料程式庫。光學量測資料程式庫能包含數對的模擬繞射信號及相對應之剖面輪廓參數組。產生光學量測資料的詳細描述,如模擬繞射信號與相對應之剖面輪廓參數組的程式庫,描述於頒證於2005年9月13日之美國專利第6,943,900號,其發明名稱為「週期式格柵板繞射信號之資料庫產生」("Generation of a library of periodic grating diffraction signal"),發明人為Nui等人,其全部內容在此併入作為參考。迴歸為主及/或程式庫為主的處理能包括S-D及/或非S-D步驟。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能執行APC、R2R、FDC及/或S-D程序,該等程序能作為控制策略、控制計畫、控制模型及/或製程配方管理者來操作,以提供即時S-D處理。S-D控制及/或分析策略/計畫在晶圓處理次序內能涵蓋多重處理步驟,且能用來分析即時及/或所收集的資料,並且建立錯誤狀況。當脈絡情境符合時,能執行S-D分析程序。在執行S-D分析程序期間,能執行一或更多個分析計畫。當發生資料失效、發生執行問題或發生控制問題時,S-D計畫能建構一錯誤。S-D資料收集計畫及/或分析計畫能拒絕晶圓上一或更多個評估位置的資料,或因為S-D程序失效而拒絕資料。舉例而言,動態S-D脈絡情境配對允許各位置的客製化配置。
在一實施例中,S-D程序失效可能不會終結該S-D程序。舉例而言,當超過一極限時,S-D程序能表示為失效。成功的S-D程序能在逼近極限時產生警告訊息。S-D程序錯誤之預先指定的失效行動能儲存在一資料庫中,並當錯誤發生時,能從該資料庫檢復。
在某些實施例中,一或更多個次系統(110、115、120、125、130、135、140、145、150、155),能使用透過資料傳輸系統196而接收的S-D資料來執行S-D程序。
當在處理系統中處理一25個晶圓的批次時,可藉由提供25個平行的處理路徑來增進處理量,但這是不實際的。然而,S-D處理系統100能用來有效率且具成本效益地處理一或更多個25個晶圓的批次。此外,S-D處理系統能用來有效率且具成本效益地處理較小及/或較大的晶圓批次。
傳輸次系統(101、102、103)及傳輸裝置(113、118、123、128、133、138、143、148、153、158)能使用S-D傳輸次序及/或程序來有效率且具成本效益地在一或更多個晶圓批次之中傳輸、對準、延遲及/或儲存一或更多個晶圓。部分S-D程序能是晶圓相依性的、批次相依性的及/或產品相依性的程序。
第一微影次系統110能包含一或更多個處理元件112,而該等處理元件藉使用S-D程序及/或非S-D程序,係能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,傳輸裝置113、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,第一微影次系統110能包含一或更多個處理元件112,而該等處理元件藉使用S-D程序及/或非S-D程序,係能對一或更多個晶圓執行塗布程序、熱處理程序、量測程序、檢驗程序、對準程序及/或儲存程序。舉例而言,一或更多個處理元件112能用來沉積一或更多個能包括光阻材料及/或抗反射塗層(ARC, anti-reflective coating)材料的幕罩層,且一或更多個處理元件112能用來熱處理(烘烤)一或更多個幕罩層。此外,一或更多個處理元件112能用來測量及/或檢驗一或更多個幕罩層。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓。一或更多個控制器113能執行S-D程序及/或非S-D程序,以決定晶圓是否已經受到正確處理,或是否需要重新改製程序。內部傳輸裝置113、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸一有缺陷之晶圓到重新改製次系統。
在其他實施例中,第一微影次系統110能包含一或更多個能執行有潛在污染之處理的處理元件112。一或更多個處理元件112能從其他次系統隔離出來,如此能提供較低的缺陷率及最小化可能的污染。一或更多個處理元件112能包含空浮粒子計數器,該等空浮粒子計數器能建立在晶圓路徑及/或在臨界處理區域,以監視環境缺陷程度。警告及/或警鈴狀況能建立有偵測程度。舉例而言,這些處理能包括「不潔」("dirty")烘烤處理,如此讓這些「不潔」烘烤處理從其餘系統中隔離出來。此外,一或更多個重新改製程序可藉由處理從其他次系統隔離出的元件來執行。
掃描器次系統115能包含一或更多個處理元件117,而該等處理元件藉使用S-D程序及/或非S-D程序,係能處理、測量、檢驗、 對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置118、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,掃描器次系統115能包含一或更多個處理元件117,而該等處理元件藉使用S-D程序及/或非S-D程序,係能針對一或更多個晶圓執行曝光程序、熱處理程序、乾燥程序、量測程序、檢驗程序、對準程序及/或儲存程序。此外,掃描器次系統115能用來執行能是S-D的濕式及/或乾式曝光程序。在其他處理次序中,掃描器次系統115能用來執行能是S-D的超紫外線(EUV, extreme ultraviolet)曝光程序。舉例而言,一或更多個處理元件117能用來曝光一或更多個能包括光阻材料及/或抗反射塗層材料的幕罩層,並且,一或更多個處理元件117能用來圖案化一或更多個幕罩層。此外,一或更多個處理元件112能欲來測量及/或檢驗一或更多個圖案層。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓。一或更多控制器113能執行S-D程序及/或非S-D程序,以決定晶圓是否已經受到正確處理,或是否需要重新改製程序。內部傳輸裝置118、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
第二微影次系統120能包含一或更多個處理元件112,該等處理元件藉使用S-D程序及/或非S-D程序,係能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置123、第一S-D傳輸次系統101及/或第二傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,第二微影次系統120能包含一或更多個處理元件122,該等處理元件藉使用S-D程序及/或非S-D程序,係能對一或更多個晶圓執行清潔程序、熱處理程序、量測程序、檢驗程序、對準程序及/或儲存程序。舉例而言,一或更多個處理元件122能用來執行浸漬後清潔程序,且一或更多個處理元件122能用來熱處理(乾燥)一或更多個晶圓。此外,一或更多個處理元件122能 用來測量及/或檢驗一或更多個已清潔及/或已乾燥的晶圓。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓。一或更多個控制器124能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地清潔,或是否需要重新改製程序。舉例而言,水漬及/或其他異狀能被偵測到。內部傳輸裝置123、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
第三微影次系統125能包含一或更多個處理元件127,而該等處理元件藉使用S-D程序及/或非S-D程序,係能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置128、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,第三微影次系統125能包含一過更多個處理元件127,該等處理元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行顯影程序、熱處理程序、測量程序、檢驗程序、對準程序及/或儲存程序。舉例而言,一或更多處理元件127能用來顯影一或更多個能包括光阻材料及/或抗反射塗層材料的圖案化幕罩層,且一或更多個處理元件127能用來熱處理(烘烤)一或更多個圖案化幕罩層。此外,一或更多個處理元件127能用來測量及/或檢驗一或更多個圖案化幕罩層。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓。一或更多個控制器129能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置128、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
在其他實施例中,第三微影次系統125能包含一或更多個能執行有潛在污染之處理的處理元件127。一或更多個處理元件127能從其他次系統隔離出來,如此提供較低缺陷率並最小化可能的污染。一或更多個處理元件127能包含空浮粒子計數器,該等空 浮粒子計數器能建立在晶圓路徑及/或臨界處理區域,以監視環境缺陷程度的。警告及/或警鈴狀況能建立有偵測程度。舉例而言,這些處理能包括「不潔」("dirty")烘烤處理,如此讓這些「不潔」烘烤處理從其餘系統中隔離出來。此外,一或更多個重新改製程序可藉由處理從其他次系統隔離出的元件來執行。
熱處理處理次系統130能包含一或更多個處理元件132,該等處理元件藉使用S-D程序及/或非S-D程序,能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置133、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,熱處理處理次系統130能包含一或更多個處理元件132,該等處理元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行烘烤程序、退火程序、急遽退火程序、熱處理程序、量測程序、對準程序及/或儲存程序。舉例而言,一或更多個處理元件132能用來提昇及/或控制一或更多個晶圓的溫度,且一或更多個處理元件132能用來降低及/或控制一或更多個晶圓的溫度。此外,一或更多個處理元件132能用來測量及/或檢驗一或更多個晶圓。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓。一或更多個控制器134能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置133、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
檢驗次系統135能包含一或更多個S-D評估元件137,該等S-D評估元件藉使用S-D程序及/或非S-D程序,能評估、處理、檢驗、對準、驗證及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置138、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,檢驗次系統135能包含一或更多個S-D評估元件137,該等S-D評估元件藉使用S-D程序及/ 或非S-D程序,能對一或更多個晶圓執行評估程序、檢驗程序、微粒偵測程序、量測程序、對準程序、驗證程序及/或儲存程序。舉例而言,一或更多個S-D評估元件137能用來執行光學檢驗,且一或更多個S-D評估元件137能用來在一或更多個晶圓上以較短波長來執行檢驗。此外,一或更多個S-D評估元件137能用來在一或更多個晶圓上偵測微粒。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓表面。一或更多個控制器139能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置138、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
蝕刻次系統140能包含一或更多個處理元件142,該等處理元件藉使用S-D程序及/或非S-D程序,能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置143、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,蝕刻次系統140能包含一或更多個處理元件142,該等處理元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行蝕刻程序、化學氧化物移除(COR, chemical oxide removal)程序、灰化程序、檢驗程序、重新改製程序、量測程序、對準程序及/或儲存程序。舉例而言,一或更多個處理元件142藉使用一或更多個S-D及/或非S-D電漿蝕刻程序,係能用來產生及/或修改圖案化晶圓,且一或更多個處理元件142藉使用一或更多個S-D及/或非S-D非電漿蝕刻程序,係能用來產生及/或修改圖案化晶圓。此外,一或更多個處理元件142能用來從一或更多個晶圓上移除薄層材料及/或處理殘留物。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓表面。一或更多個控制器144能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置143、第一S-D傳 輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
沉積次系統145能包含一或更多個處理元件147,該等處理元件藉使用S-D程序及/或非S-D程序,能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置148、第一S-D傳輸次系統101及/或第二S-D傳輸次系統能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,沉積次系統145能包含一或更多個處理元件147,該等處理元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行沉積程序、檢驗程序、量測程序、對準程序及/或儲存程序。舉例而言,一或更多個處理元件147能用來執行物理氣相沉積(PVD)程序、化學氣相沉積(CVD)程序、游離化(ionized)物理氣相沉積程序、原子層沉積(ALD)程序、電漿強化原子層沉積(PEALD, plasma enhanced atomic layer deposition)程序及/或電漿強化化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)程序。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓表面。一或更多個控制器149能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置148、第一S-D傳輸次系統101及/或第二S-D傳輸次系統能傳輸不良晶圓到重新改製次系統。
評估次系統150能包含一或更多S-D評估元件152,該等評估元件藉使用S-D程序及/或非S-D程序,能評估、測量、檢驗、對準、驗證及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置153、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,評估次系統150能包含一或更多個S-D評估元件152,該等S-D評估元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行評估程序、檢驗程序、溫度控制程序、量測程序、對準程序、驗證程序及/或儲存程序。舉例 而言,一或更多個S-D評估元件152能用來執行光學量測程序,該等光學量測程序能夠用來測量晶圓上的特徵及/或結構,且一或更多個S-D評估元件152能用來執行晶圓表面的量測。此外,一或更多個S-D評估元件152能用來決定晶圓曲率,或測量及/或檢驗一或更多個晶圓表面。S-D評估元件152能執行S-D評估程序及/或非S-D評估程序。一或更多個控制器154能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置153、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
重新改製次系統155能包含一或更多個處理元件157,該等處理元件藉使用S-D程序及/或非S-D程序,能處理、測量、檢驗、對準及/或儲存一或更多個晶圓。藉使用S-D程序及/或非S-D程序,內部傳輸裝置158、第一S-D傳輸次系統101及/或第二S-D傳輸次系統能傳輸、測量、檢驗、對準及/或儲存一或更多個晶圓。在某些實施例中,重新改製次系統155能包含一或更多個處理元件157,該等處理元件藉使用S-D程序及/或非S-D程序,能對一或更多個晶圓執行清潔程序、蝕刻程序、薄層移除程序、灰化程序、檢驗程序、殘留物移除程序、量測程序、對準程序及/或儲存程序。舉例而言,藉使用一或更多個S-D及/或非S-D電漿蝕刻程序,一或更多個處理元件157能用來從一或更多個圖案化晶圓移除材料,而藉使用一或更多個S-D及/或非S-D非電漿蝕刻程序,一或更多個處理元件157能用來從一或更多個圖案化晶圓移除材料。此外,一或更多個處理元件157能用來從一或更多個晶圓移除損壞之材料。S-D程序及/或非S-D程序能用來測量及/或檢驗一或更多個晶圓表面。一或更多個控制器159能執行S-D程序及/或非S-D程序,以決定晶圓是否已經正確地處理,或是否需要重新改製程序。內部傳輸裝置158、第一S-D傳輸次系統101及/或第二S-D傳輸次系統102能傳輸不良晶圓到重新改製次系統。
各次系統能平行處理一或更多個晶圓,且能執行一或更多的 S-D程序及/或非S-D程序。
一或更多個格式化訊息能在次系統間交換。控制器能處理訊息並擷取新資料。當新資料可利用時,控制器若非能使用新資料來更新目前用於晶圓批次之製程配方、剖面輪廓及/或模型,即是能使用新資料來更新用於下一晶圓批次之製程配方、剖面輪廓及/或模型。當控制器使用新資料來更新目前正在處理之晶圓批次用的製程配方資料、剖面輪廓資料及/或模型資料時,控制器能決定是否製程配方、剖面輪廓及/或模型能在處理現行晶圓之前更新。當處理現行晶圓之前能更新製程配方、剖面輪廓及/或模型時,現行晶圓能使用已更新之製程配方、剖面輪廓及/或模型來進行處理。當處理現行晶圓之前不能更新製程配方、剖面輪廓及/或模型時,現行晶圓能使用未更新之製程配方、剖面輪廓及/或模型來進行處理。舉例而言,當有新S-D蝕刻製程配方、剖面輪廓及/或模型時,蝕刻次系統及/或蝕刻控制器可決定何時使用新S-D蝕刻製程配方、剖面輪廓及/或模型。
一或更多個評估程序能提供S-D損壞評估資料及/或非S-D損壞評估資料,而該等資料能包括在不同位置、晶圓、晶圓批次之損壞的層、特徵及/或結構。一或更多個處理次系統能使用損壞評估資料來更新及/或最佳化處理製程配方資料、處理剖面輪廓資料及/或模型資料。舉例而言,蝕刻次系統140能使用損壞評估資料來更新及/或最佳化蝕刻化學反應及/或蝕刻時間。此外,沉積次系統145及/或微影次系統(110、120、125)能使用損壞評估資料來更新及/或最佳化製程配方資料、剖面輪廓資料及/或模型資料。
在不同時間及/或位置上,S-D程序能用來產生、修改及/或評估隔離出及/或套疊(nested)之結構。舉例而言,在靠近隔離出及/或套疊之結構的晶圓厚度資料會有不同,且在靠近開闊區域及/或溝渠陣列區域的晶圓厚度資料會有不同。針對隔離出及/或套疊結構,處理次系統能使用新S-D資料,以更新及/或最佳化S-D製程配方及/或製程時間。S-D程序能使用終點偵測(EPD, end-point detection)資料及製程時間資料來改善運算正確度。當一晶圓及/或晶圓批次正受處理時,S-D資料能產生,並在處理現行晶圓之前,或在處理晶圓批次中之額外晶圓之前,而此資料能藉由處理系統即時前授及/或反饋來更新處理、量測及/或模擬之製程配方。或者,可使用非S-D資料。當EPD資料用來停止S-D程序時,EPD時間資料及處理率資料能用來計算及/或估計S-D膜厚。在處理期間,監測及/或驗證晶圓(monitor and/or verification wafers)能定期執行,且在S-D處理程序之前及/或之後,如蝕刻、沉積、微影、清潔及拋光程序,S-D測量程序能用來驗證S-D膜厚。
評估次系統150資料能包括與S-D圖案化結構或未圖案化結構有關之所測量及/或所模擬的信號,且S-D信號藉使用處理狀態資料以及晶圓、批次、製程配方、位置或晶圓區位資料來儲存。量測資料能包括與圖案化結構剖面輪廓有關之變數、量測裝置類型與有關變數、及模型中浮點之值變數的範圍以及模型中固定的變數值。程式庫剖面輪廓資料、S-D資料可包括固定及/或可變剖面輪廓參數(如臨界尺寸、側壁角度、N&K參數)及/或量測裝置參數(如波長、入射角及/或方位角)。
在某些實施例中,S-D程序能使用所測量、預測及/或模擬的繞射信號來最佳化光學量測製程配方、結構及/或模型。S-D程序可利用內容/辨識資訊來作為整理、索引資料的方法,該內容/辨識資訊如位置ID、晶圓ID、晶槽ID、批次ID、製程配方、狀態及圖案化結構ID。在某些範例中,程式庫資料能包括與下列有關之已驗證資料:製品、裝置、晶圓、程序、批次、製程配方、位置、區位、圖案化及/或未圖案化結構。S-D資料可包括下層薄膜資料,且S-D程序可用下層薄膜資料來進行即時更新及/或修正。處理時,因為下層薄膜及/或結構的干擾,部分量測位置會是非測量性的(non-measurable)。S-D干擾類型的地圖能加以建構,並用來決定能用來測量的位置區位。此外,S-D干擾剖面輪廓及/或模型能加以建構,並用來客服這些問題。
此外,S-D程序可新建、更新及/或最佳化S-D信號的資料庫及其對應之S-剖面輪廓參數組。S-D程序可新建、更新及/或最佳化一訓練過之機器學習系統(MLS)的資料組,且MLS可用程式庫資料之子集合來訓練。已改變的及/或已更新的數值能儲存及/或用來改善效能。S-D及/或非S-D程式庫及資料庫皆能使用。
在S-D策略、計畫、模型、次系統、元件或程序中,能界定干預及/或判斷規範。每當遭遇一匹配脈絡情境時,干預及/或判斷規範就能指派來執行。干預及/或判斷規範能針對各式程序,並能保持在資料庫中。
在某些範例中,製造執行系統180可用以監視部分系統程序,且廠級干預及/或判斷規範能用來決定哪些處理有受到監視及哪些資料能使用。此外,當一處理能改變、暫停及/或停止時,廠級干預及/或判斷規格能用來決定如何管理資料。此外,製造執行系統180能提供S-D配置資訊及S-D更新資訊。資料能藉使用GEM SECS通訊協定來交換。
一般上,基於半導體處理系統之動態狀態及/或製品之處理狀態,規範允許S-D程序進行改變。部分設置及/或配置資料在做初步設定時,能由處理系統次系統來決定。此外,規範能用來建立S-D程序的控制階層。規範能用來決定一處理何時能暫停及/或停止,以及該處理在暫停及/或停止時該做什麼。此外,處理規範能用來決定需要執行什麼修正動作。處理次序規範及傳輸次序規範亦能用來決定什麼晶圓需要接受處理及/或傳輸。處理晶圓的例示性方法能包括接收一或更多個晶圓及相關的晶圓資料,並為各晶圓建立處理次序及/或狀態資料。
晶圓狀態資料能包括能從處理次序決定的定序狀態(SQn,m )變數。在某些實施例中,處理次序能從製造執行系統180中獲得,且不能被修改。在其他實施例中,能建構虛擬(可修改)處理次序,且定序狀態及/或處理起始時間能由次系統電腦及/或操作員來改變。舉例而言,額外次序狀態之改變的起始時間可用來:建立額 外處理步驟;在執行處理步驟時,固持住晶圓;在執行計算時,固持住晶圓;在一工具離線時,將晶圓運送(route)到不同工具;及/或修正及/或分析故障狀況。此外,當產生、處理、傳送及/或接收S-D資料及/或訊息時,額外次序步驟及/或延遲起始時間可用來固持及/或再運送(re-route)晶圓。
在某些範例中,S-D傳輸次系統能使用載入資料來決定晶圓將傳輸至何處。在其他範例中,S-D傳輸次系統能使用處理次序資料來決定晶圓將傳輸至何處。又在其他範例中,S-D傳輸次系統能使用信賴度資料來決定晶圓將傳輸至何處。或者,可使用其他程序。
信賴度資料能包括對已在晶圓上執行之各處理的評估。當源自S-D程序的處理資料很接近預測值時,該S-D程序的信賴值會是高的,而當源自S-D程序的處理資料不接近預測值時,該S-D程序的信賴值會是低的。舉例而言,信賴值能從零涵蓋到九,零代表失效狀況,九代表正確執行。
晶圓狀態資料能包括晶圓數量(WN, wafer number)資料、處理次序(PS, processing sequence)資料、步驟計數(SC, step counter)資料、處理類型(PT, process type)資料、處理狀態(PS, process state)資料、位置相依性(SD, site dependency)資料、狀態(ST, state)資料及延遲時間(DT, delay time)資料。晶圓數量(WN)資料能用來辨識晶圓;處理次序(PS)資料能用來辨識與晶圓有關的處理次序;步驟計數(SC)資料能用來辨識晶圓的處理步驟數量;處理類型(PT)資料能用來建立在各處理步驟執行的處理類型;位置相依性(SD)資料能是一位置相依性號碼,且能用來建立一或更多個位置,該等位置係用來建立在各處理步驟所執行的S-D程序類型;狀態(ST)資料能用來表明處理步驟是否已執行過,並表明該組理步驟是否成功;以及,延遲時間(DT)資料能包括計時資料。延遲時間能用來延遲晶圓的定序、計算、處理及/或量測。
在某些實施例中,晶圓資料能包括變數資料。舉例而言,當 前授變數為第一值時,資料及/或訊息能前授;而當前授變數為第二值時,資料及/或訊息則不會前授。當S-D變數為第一值時,能執行S-D程序;而當S-D變數為第二值時,能執行非S-D程序。
在某些實施例中,輸入及輸出訊息能包括故障訊息、反應訊息、錯誤訊息、S-D訊息、回饋訊息、非S-D訊息、內部訊息、外部訊息、最佳化訊息、狀態訊息、計時訊息、處理結果訊息及/或其他訊息。此外,訊息能包括即時命令、配置、計算及/或覆寫資訊。該等資料:能作為S-D程序變數/參數來即時使用;能用來覆寫現行製程配方資料、剖面輪廓及/或模型預設值、覆寫現行傳輸次序資料、覆寫現行起始時間;及能用來緊縮檢索範圍,以決定製程配方、剖面輪廓及/或模型與其等有關之正確性的限制。
在各式實施例中,一或更多個輸入訊息能由一或更多個控制器(114、119、124、129、134、139、144、149、154、159)接收及/或處理,且一或更多個輸出訊息能由一或更多個控制器(114、119、124、129、134、139、144、149、154、159)產生及/或送出。在某些範例中,輸入訊息能是包含S-D資料及非S-D資料的格式化訊息。控制器能處理格式化訊息,來為一次系統產生S-D訊息及/或分開的非S-D訊息。S-D訊息能包括S-D晶圓資料,該S-D晶圓資料能用來減少程式庫與資料庫的檢索時間、減少計算錯誤、改善正確性。舉例而言,能用S-D資料來辨識程式庫空間中之較小的剖面輪廓空間。此外,能使用S-D厚度及/或溫度資料,且S-D程序能使用此等資料來即時決定源自剖面輪廓程式庫的剖面輪廓,藉此減少量測時間,並增加產量。控制器能即時檢查輸入訊息,以決定輸入訊息何時包括其能使用的S-D訊息,及/或控制器能決定如何即時擷取S-D訊息。訊息能使用XML格式及/或SML格式。對於正在為多重次系統傳送、分割及/或進行語言剖析的S-D訊息而言,系統能提供、管理例外處置。
舉例而言,某些裝置/製品可能需要20~30 nm的閘極結構,且所生產的每個晶圓上可能有好幾百萬個這些結構。S-D處理能 用來減少需要執行以擔保結構正確的測試量。
處理次序亦能依賴其他包括掃描器次系統之次系統的產量。S-D傳輸系統能用以最大化整體產量。舉例而言,S-D傳輸次序能加以建立,並用來最小化由較慢次系統如掃描器次系統所導致的產量問題。在某些實施例中,S-D傳輸次系統能延遲具有較低信賴值及/或較高風險的晶圓。在其他實施例中,當重新改製處理次序能在相對短時間內加以建立並執行,S-D傳輸次系統能立刻傳送具有較低信賴值及/或較高風險的晶圓到重新改製次系統。
S-D程序能在晶圓上一特定區位產生一特定結果。當一處理成熟時,信賴值應該是高的,且有最少數量的晶圓需要評估,一晶圓上的一位置能用來宣示一晶圓及/或一組晶圓。當一處理成熟時,源自晶圓上所有位置的處理結果應該是相同的(在均勻性限制之內)。正在開發一製品時,眾多位置上的評估特徵/屬性/結構能用來建立低風險程序。
處理系統100能用來驗證一或更多個S-D處理程序。
在某些實施例中,一或更多個晶圓能由一或更多個S-D傳輸次系統(101、102)接收,且S-D傳輸次系統(101、102)能連接到處理系統100中的一或更多個次系統(110、115、120、125、130、135、140、145、150、155)。各晶圓在其之上能有一或更多個層,並具有與其相關的晶圓資料,而晶圓資料能包括歷史及/或即時資料。 S-D傳輸次系統能使用商業規格來決定何時傳送晶圓到重新改製次系統及/或儲存區位。晶圓進行處理(獲得額外薄層)時,這些商業規格會有不同。
舉例而言,「最佳晶圓」("golden wafer")能利用「最佳」("golden")S-D處理次序而產生。在晶圓上某些區位上,能夠建立的量測結構在靠近一或更多個閘極結構。在這些區位上,CDSEM資料能利用第一晶圓資料來處理,且第一信賴度資料能在做比較時獲得。信賴度資料能與信賴極限比較。若第一信賴極限不符一量測差異平均數(delta),便能改變晶圓的處理(量測)次序,且能從 晶圓上一或更多個額外位置獲得量測資料。若信賴度資料不佳時,晶圓能進行重新改製。若多過一個位置的信賴度資料不佳時,晶圓能進行重新改製。若多過一個晶圓的信賴度資料不佳時,整個群組能進行重新改製。
S-D傳輸系統能用以最大化整體產量。舉例而言,S-D傳輸次序能加以建立,並用以最小化由較慢次系統如掃描器次系統所導致的產量問題。在某些實施例中,S-D傳輸次系統能延遲具有較低信賴值及/或較高風險的晶圓。在其他實施例中,當重新改製處理次序能以相對短之時間加以建立並執行時,S-D傳輸次系統能將具有較低信賴值及/或較高風險的晶圓立即傳送至重新改製次系統。
S-D程序將會在晶圓上之一特定區位產生一特定結果。當一處理成熟時,信賴值應該是高的,且應該有最少量的晶圓需要評估,晶圓上之一位置能用來宣示一晶圓及/或一組晶圓。當該處理成熟時,源自晶圓上全部位置的處理結果應該是相同的(在均勻性限制之內)。
當一製品正被開發時,眾多位置上的評估特徵/屬性/結構能用來建立低風險程序。
處理系統100能用來驗證一或更多個S-D處理程序。
在某些實施例中,一或更多個晶圓能由一或更多個S-D傳輸次系統(101、102)接收,且S-D傳輸次系統(101、102)能連接到處理系統100之一或更多個次系統(110、115、120、125、130、135、140、145、150、155)。各晶圓在其之上能具有一或更多個薄層,且能有與之有關的晶圓資料,而晶圓資料能包括歷史及/或即時資料。S-D傳輸次系統能利用商業規格來決定何時傳送晶圓至重新改製次系統及/或儲存區位。晶圓進行處理(獲得額外薄層)時,這些商業規格會有不同。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以決定每個晶圓的晶圓狀態資料,並利用晶圓 資料及/或晶圓狀態資料決定第一未驗證S-D程序。第一未驗證S-D程序使用一或更多個次系統(110、115、120、125、130、135、140、145、150、155)來執行。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以:藉使用第一未驗證S-D程序,建立欲處理之S-D晶圓的第一數量;藉使用晶圓資料及第一未驗證S-D程序,針對各個S-D晶圓建立所需驗證位置的數量;決定第一處理次系統中之一或更多個S-D處理元件的操作性狀態資料;決定一或更多個S-D傳輸次系統(101、102)中之一或更多個S-D傳輸元件(104)的載入資料;藉使用晶圓資料、晶圓狀態資料、操作性狀態資料、載入資料或所需驗證位置的數量,或上述任何組合,為第一數量的S-D晶圓中之第一S-D晶圓建立第一傳輸次序;及當第一S-D處理元件無法利用時,藉使用連接到第一處理次系統的S-D傳輸次系統,以第一段時間延遲第一S-D晶圓。
一或更多個S-D傳輸次系統(101、102)能用以傳輸第一S-D晶圓至一或更多個次系統(110、115、120、125、130、135、140、145、150、155)中之其中一個S-D處理元件(112、117、122、127、132、142、147、157)。此外,藉使用S-D傳輸次系統(101、102)中之傳輸元件104,一或更多個S-D傳輸次系統(101、102)能用以延遲第一S-D晶圓一第一段時間,且傳輸元件104能支持二或更多個晶圓。在第一段時間之後,延遲的第一S-D晶圓能在一或更多個次系統(110、115、120、125、130、135、140、145、150、155)中受處理。
第一S-D晶圓傳輸完成後,第一未驗證S-D程序能使用第一S-D晶圓來執行,且在第一未驗證S-D程序期間,第一組S-D驗證特徵能產生在第一已處理S-D晶圓上。第一組S-D驗證特徵能包括位於第一已處理S-D晶圓上之第一位置的第一驗證特徵。
當第一未驗證S-D程序在第一晶圓上執行時,能產生第一已處理S-D晶圓;當第一S-D評估元件(137、152)可利用時,藉使 用連接到檢驗次系統135及評估次系統150的一或更多個S-D傳輸次系統(101、102),第一已處理S-D晶圓能傳輸到檢驗次系統135中的第一S-D評估元件137或第一評估次系統150中的第一S-D評估元件152;而當第一S-D評估元件無法利用時,藉使用一或更多個S-D傳輸次系統(101、102),第一已處理S-D晶圓能延遲一第二段時間。此外,藉使用S-D傳輸次系統(101、102)中之傳輸元件104,一或更多個S-D傳輸次系統(101、102)能用以延遲第一已處理S-D程序,且傳輸元件104能支持二或更多個晶圓。在第二段時間之後,第一已處理S-D晶圓能在檢驗次系統135及/或評估次系統150中接受評估。
當執行評估程序時,第一位置能加以使用。在某些範例中,能用源自第一位置的資料來做出評估決策。一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以:從第一已處理S-D晶圓上之該數量的所需位置中選定第一位置,其中第一位置具有與其有關並利用第一未驗證S-D程序所產生的第一未驗證特徵;獲得源自第一S-D晶圓上之第一位置的第一未驗證資料,其中第一位置具有與其有關之第一未驗證量測及/或檢驗資料;建立第一S-D晶圓上之第一位置的第一驗證資料,其中第一驗證資料包含已驗證量測及/或檢驗資料;藉使用第一未驗證資料與第一驗證資料之間的第一差異,建立第一位置的第一信賴值;藉使用第一信賴值、第一差異或晶圓資料,或上述任何組合,針對第一未驗證S-D程序建立第一風險因素;藉使用第一風險因素、第一信賴值、第一差異、晶圓資料或上述任何組合,針對第一未驗證S-D程序建立第一總風險因素;當第一風險因素小於或等於一新臨界極限(threshold limit)時,將第一未驗證S-D程序辨識為具有與其有關之第一風險因素的第一已驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;及當第一風險因素大於第一臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之第二風險因素的第一未驗證程序、將 所需位置的數量減少一個及將已造訪位置的數量增加一個,其中第一未驗證S-D程序具有信賴度資料、風險資料及/或與其有關之驗證資料。
在某些範例中,當執行評估程序時,額外位置能用在第一S-D晶圓上。舉例而言,藉使用源自第一位置的資料及源自第一S-D晶圓上一或更多個額外位置的資料,能做出評估決策。一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以進行下列步驟:a)從第一S-D晶圓上之該數量的所需位置中選定一新位置,其中新位置具有與其有關並藉使用第一S-D驗證程序所產生的新未驗證特徵;b)從第一S-D晶圓上之新位置得到新未驗證資料,其中新位置具有與其有關之新未驗證量測及/或檢驗資料;c)為新位置建立新驗證資料;d)藉使用新未驗證資料與新驗證資料之間的新差異,為第一S-D晶圓上之新位置建立新信賴值;e)藉使用新信賴值、新差異、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立新第一風險因素;f)藉使用晶圓資料、第一風險因素或新第一風險因素,或上述任何組合,為第一未驗證S-D程序建立新第一總風險因素;g)當新第一總風險因素小於或等於新臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之新第一全體風險因素的新已驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;h)當新第一總風險因素多於新臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之新第二風險因素的新未驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;i)當所需位置的數量大於零時,重複步驟a)~h);及h)當所需位置的數量等於零時,停止第一晶圓的驗證。
在其他範例中,當執行評估程序時,能使用額外S-D晶圓上的位置。舉例而言,藉使用源自一或更多個S-D晶圓上之位置的資料,能做出評估決策。一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以:藉使用晶圓資料、 處理狀態資料、所需驗證位置的數量或所造訪驗證位置的數量,或上述任何組合,為第一組S-D晶圓中之額外S-D晶圓建立額外程序驗證次序;及為額外S-D晶圓決定第一未驗證S-D程序,其中第一未驗證S-D程序藉使用額外程序驗證次序來決定,且其包含一或更多個處理程序。
一或更多個S-D傳輸次系統(101、102)能用以傳輸額外S-D晶圓至一或更多個次系統(110、115、120、125、130、135、140、145、150、155)中之S-D處理元件(112、117、122、127、132、142、147、157)的其中一個。此外,藉使用S-D傳輸次系統(101、102)中的傳輸元件104,一或更多個S-D傳輸次系統(101、102)能用以延遲額外S-D晶圓一第二段時間。經過第二段時間之後,額外S-D晶圓能在一或更多個次系統(110、115、120、125、130、135、140、145、150、155)中受處理。
在傳輸額外S-D晶圓之後,第一未驗證S-D程序能用額外S-D晶圓來執行,且在第一未驗證S-D程序期間,第一組S-D驗證特徵能產生在額外已處理S-D晶圓上。第一組S-D驗證特徵能包括額外已處理S-D晶圓上之第一位置的第一驗證特徵。
當第一未驗證S-D程序在額外晶圓上執行時,能產生額外已處理S-D晶圓;當第一S-D評估元件(137、152)可利用時,藉使用連接到檢驗次系統135及評估次系統150的一或更多個S-D傳輸次系統(101、102),額外處理S-D晶圓能傳輸到檢驗次系統135中的第一S-D評估元件137或第一評估次系統150中的第一S-D評估元件152;而當第一S-D評估元件無法利用時,藉使用一或更多個S-D傳輸次系統(101、102),額外已處理S-D晶圓能延遲一第三段時間。此外,藉使用S-D傳輸次系統(101、102)中的傳輸元件104,一或更多個S-D傳輸次系統(101、102)能用以延遲額外已處理S-D晶圓一第三段時間,且傳輸元件104能支持二或更多個晶圓。經過第三段時間之後,第一已處理S-D晶圓能在檢驗次系統135及/或評估次系統150中接受評估。
當使用額外已處理S-D晶圓上之數個第一位置時,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以執行下列步驟:a1)從額外已處理S-D晶圓上之該數量之所需位置中選定一第一位置,其中第一位置具有與其有關之第一驗證特徵;b1)從額外已處理S-D晶圓上之第一位置獲得未驗證資料,其中第一位置具有與其有關之第一未驗證量測及/或檢驗資料;c1)藉使用額外S-D晶圓上之第一位置,為額外已處理S-D晶圓建立額外驗證資料,其中第一驗證資料包含已驗證量測及/或檢驗資料;d1)藉使用額外未驗證資料與額外驗證資料之間的額外差異,為額外已處理S-D晶圓上的第一位置建立額外信賴值;e1)藉使用額外信賴值、額外差異、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立額外風險因素;f1)藉使用額外風險因素、額外信賴值、額外差異、第一風險因素、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序,建立額外總風險因素;g1)當額外總風險因素小於或等於額外臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之額外總風險因素的已驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;h1)當額外總風險因素大於額外臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之額外第二風險因素的額外驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;i1)當所需額外S-D晶圓的數量大於零時,重複步驟a1)~h1);及j1)當所需額外S-D晶圓的數量等於零時,停止第一晶圓的驗證。
當使用額外已處理S-D晶圓上的額外所需位置時,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以執行下列步驟:a2)從額外已處理S-D晶圓上之該數量之所需位置中選定一新位置,其中新位置具有與其有關並藉使用第一未驗證S-D程序所產生的第一驗證特徵;b2)從額外已處理S-D晶圓上之新位置獲得額外新未驗證資料,其中新位置具有與 其有關之新未驗證量測及/或檢驗資料;c2)藉使用額外已處理S-D晶圓上的新位置,為額外已處理S-D晶圓建立新額外驗證資料,其中新驗證資料包含新已驗證量測及/或檢驗資料;d2)藉使用新額外未驗證資料與新額外驗證資料之間的新額外差異,為額外已處理S-D晶圓上的新位置建立新額外信賴值;e2)藉使用新額外信賴值、新額外差異、額外信賴值、額外差異、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立新額外風險因素;f2)藉使用新額外風險因素、新額外信賴值、新額外差異、額外風險因素、額外信賴值、額外差異、第一風險因素、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立新額外總風險因素;g2)當新總第一風險因素小於或等於新額外臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之新額外總風險因素的已驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;h2)當新總第一風險因素大於新額外臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之額外第二風險因素的額外未驗證程序、將所需位置的數量減少一個及將已造訪位置的數量增加一個;i2)當所需額外S-D晶圓的數量大於零時,重複步驟a2)~h2);及j2)當所需額外S-D晶圓的數量等於零時,停止第一晶圓的驗證。
當使用受延遲之已處理S-D晶圓上的額外所需位置,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以執行下列步驟:a3)從受延遲之已處理S-D晶圓上之該數量的所剩餘位置中選定一位置,其中該位置具有與其相關之第一驗證特徵;b3)從受延遲之已處理S-D晶圓上之該位置獲得受延遲未驗證資料,其中該位置具有與其有關之受延遲未驗證量測及/或檢驗資料;c3)藉使用受延遲之已處理S-D晶圓上的該位置,為受延遲之已處理S-D晶圓建立受延遲驗證資料,其中受延遲驗證資料包含受延遲已驗證量測及/或檢驗資料;d3)藉使用受延遲未驗證資料與受延遲驗證資料之間的受延遲差異,為受延遲之已處 理S-D晶圓上的該位置建立受延遲信賴值;e3)藉使用受延遲信賴值、受延遲差異、額外信賴值、額外差異、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立受延遲風險因素;f3)藉使用受延遲風險因素、受延遲信賴值、受延遲差異、第一風險因素、第一信賴值、第一差異或晶圓資料,或上述任何組合,為第一未驗證S-D程序建立受延遲總風險因素;g3)當受延遲總風險因素小於或等於延遲臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之受延遲總風險因素的已驗證程序、將所剩餘位置的數量減少一個及將已造訪位置的數量增加一個;h3)當受延遲總風險因素大於延遲臨界極限時,將第一未驗證S-D程序辨識為具有與其有關之額外第二風險因素的額外未驗證程序、將所剩餘位置的數量減少一個及將已造訪位置的數量增加一個;i3)當受延遲S-D晶圓的所剩餘數量大於零時,重複步驟a3)~h3);及j3)當受延遲S-D晶圓的所剩餘數量等於零時,停止驗證。
在各式實施例中,一或更多個處理元件能包括:一或更多個S-D微影相關處理元件、一或更多個S-D掃描器相關處理元件、一或更多個S-D檢驗相關處理元件、一或更多個S-D量測相關元件、一或更多個S-D評估相關元件、一或更多個S-D蝕刻相關處理元件、一或更多個S-D沉積相關處理元件、一或更多個S-D熱處理元件、一或更多個S-D塗布相關處理元件、一或更多個S-D對準相關處理元件、一或更多個S-D拋光相關處理元件、一或更多個S-D儲存相關元件、一或更多個S-D傳輸相關元件、一或更多個S-D清潔相關處理元件、一或更多個S-D重新改製相關處理元件、一或更多個S-D氧化相關處理元件、一或更多個氮化相關處理元件或一或更多個S-D外部處理元件,或上述任何組合。
此外,第一未驗證S-D程序能即時地加以執行,該等程序並能包括:一或更多個S-D微影相關程序、一或更多個S-D掃描器相關程序、一或更多個S-D檢驗相關程序、一或更多個S-D量測 相關程序、一或更多個S-D評估相關程序、一或更多個S-D蝕刻相關程序、一或更多個S-D沉積相關程序、一或更多個S-D熱處理程序、一或更多個S-D塗布相關程序、一或更多個S-D對準相關程序、一或更多個S-D拋光相關程序、一或更多個S-D儲存相關程序、一或更多個S-D傳輸相關程序、一或更多個S-D清潔相關程序、一或更多個S-D重新改製相關程序、一或更多個S-D氧化相關程序、一或更多個S-D氮化相關程序或一或更多個S-D外部程序,或上述任何組合。
在某些實施例中,未驗證資料能包括:S-D強度(intensity)資料、S-D透射資料、S-D吸收資料、S-D反射率資料、S-D繞射資料、S-D光學屬性資料或S-D影像資料,或上述任何組合。驗證資料能包括歷史資料、程式庫資料、光學量測資料、成像資料、微粒資料、CD-掃描電子顯微鏡(CD-SEM)資料、穿透式電子顯微鏡(TEM)資料及/或聚焦離子束資料。臨界極限能包括適合度(gooness of fit)資料、臨界尺寸資料、正確度資料、波長資料、側壁資料、微粒資料、處理資料、歷史資料,或上述任何組合。
在一範例中,藉顯影一曝光幕罩,第一組S-D驗證特徵產生在第一S-D已處理晶圓上。在另一範例中,藉蝕刻一或更多個層,第一組S-D驗證特徵產生在第一S-D已處理晶圓上。在其他範例中,藉曝光一沉積幕罩層,第一組S-D驗證特徵產生在第一S-D已處理晶圓上。
在揭露於此的各式實施例中,晶圓能包括一或更多個層,該等層能包括半導體材料、碳材料、介電質材料、玻璃材料、陶瓷材料、金屬材料、受氧化材料、幕罩材料或平坦化材料,或上述任何組合。
在某些範例中,微影相關處理元件能執行能是S-D及/或非S-D的幕罩層沉積程序、幕罩層曝光程序及/或顯影程序,且評估元件能用來驗證能是S-D及/或非S-D的幕罩層沉積程序、幕罩層曝光程序及/或顯影程序。
S-D傳輸次序能用於決定欲使用的S-D傳輸次系統、欲使用的傳輸裝置數量、欲使用的傳輸元件數量、傳輸時間及/或傳輸速率。
S-D晶圓狀態資料能依賴所需位置數量、所造訪(所評估/所完成)位置數量或所剩餘位置數量,或上述任何組合。S-D處理狀態資料能依賴所需程序數量、所完成程序數量或所剩餘程序數量,或上述任何組合。在某些情況下,當在已測量之位置獲得有極佳結果時,實際上執行的評估數量會少於原始數量。
產量時間能用來決定需要處理一或更多晶圓的處理元件數量。
當S-D程序驗證後,S-D程序及與該S-D程序有關之資料能儲存在程式庫及/或資料庫中。
正當製品開發時,能新建、精煉、更新及/或使用一或更多個S-D程式庫。S-D評估程式庫能包括位置相依性S-D特徵、屬性、結構、程序、影像及/或光學資料。
處理系統100能使用S-D產生程序及/或S-D評估程序來為一或更多個S-D評估程式庫產生S-D資料。
在某些實施例中,一或更多個晶圓能由連接到一或更多個S-D傳輸次系統(101、102)的一或更多個處理元件(112、117、122、127、132、142、147、157)來接收,且S-D傳輸次系統(101、102)能連接到處理系統100中一或更多個次系統(110、115、120、125、130、135、140、145、150、155)。各晶圓在其之上能具有一或更多個層,並能具有與其有關之晶圓資料,且晶圓資料能包括歷史及/或即時資料。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以為第一組S-D晶圓來接收晶圓資料。
一或更多個處理元件(112、117、122、127、132、142、147、157)能執行一或更多個第一S-D產生程序,其中所產生之第一組已處理S-D晶圓,其等在第一數量之評估位置上具有一或更多個 程式庫相關參考特徵。
一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以:為各已處理S-D晶圓建立S-D晶圓狀態資料,而S-D晶圓狀態資料能包括各已處理S-D晶圓之所需產生位置數量與所需評估位置數量;建立包含第一數量之已處理S-D晶圓的第一組評估晶圓,其中,第一組評估晶圓將要使用第一S-D評估程序來評估;在連接到一或更多個S-D傳輸次系統之一或更多個次系統中,為複數個S-D元件建立第一操作性狀態;藉使用一或更多個S-D評估元件的第一操作性狀態,決定第一數量的可利用評估元件;藉使用晶圓資料、S-D晶圓狀態資料、S-D評估晶圓的第一數量或可利用評估元件的第一數量,或上數任何組合,建立第一S-D傳輸次序;及當S-D評估晶圓的數量多於可利用評估元件的第一數量時,施加第一修正動作。
當S-D評估晶圓的數量少於或等於可利用評估元件的第一數量時,藉使用第一S-D傳輸次序,第一組S-D評估晶圓能傳輸到一或更多個評估次系統(135、150)中之第一數量的可利用評估元件(137、152)。一或更多個S-D傳輸次系統(101、102)能連接到檢驗次系統135及評估次系統150。
此外,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以:藉使用晶圓資料、源自第一S-D產生程序的資料、S-D晶圓狀態資料或S-D評估程式庫產生規範,或上述任何組合,決定各S-D評估晶圓之所需評估位置的數量;從第一S-D評估晶圓上之該數量的所需位置中選定第一位置,其中,第一位置具有與其有關並藉使用第一S-D產生程序所產生的第一程式庫相關參考特徵;從第一S-D評估晶圓上之第一位置獲得第一程式庫相關評估資料,其中,第一位置具有與其有關之第一程式庫相關量測及/或檢驗資料;在第一S-D評估晶圓上之第一位置建立第一預測資料,其中,第一預測資料包含預測量測及/或檢驗資料;藉使用從第一程式庫相關評估資料與第一預測 資料所計算出的第一程式庫相關差異,在第一S-D評估晶圓上之第一位置建立第一信賴值;藉使用第一信賴值、第一程式庫相關差異、晶圓資料或上述任何組合,建立第一S-D評估晶圓上之第一位置的第一風險因素;藉使用第一風險因素、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,建立第一S-D評估晶圓上之第一位置的第一總風險因素;當第一總風險因素小於或等於第一程式庫相關產生限制時,將第一S-D評估晶圓上之第一位置辨識為具有與其有關之第一總風險因素的第一驗證位置、將所剩餘的位置減少一個、將已造訪的位置增加一個及將與第一位置有關之資料作為第一已驗證資料儲存在S-D評估程式庫中;及當第一總風險因素大於第一程式庫相關產生限制時,將第一位置辨識為具有與其有關之第二風險因素的第一未驗證位置、將所剩餘的位置減少一個、將已造訪的位置增加一個,其中第一已驗證位置具有與其有關之已驗證程式庫相關資料。
S-D評估程式庫新建完成後,能使用第一S-D評估晶圓上的額外位置,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以執行下列步驟:a)從第一S-D評估晶圓上之該數量的所需位置中選定一新位置,其中,新位置具有與其有關並藉使用第一S-D產生程序所產生的新程式庫相關參考(評估)特徵;b)從第一S-D評估晶圓上之新位置獲得新程式庫相關評估資料,其中,新位置具有與其有關之新程式庫相關量測及/或檢驗資料;c)在第一S-D評估晶圓上之新位置建立新預測資料,其中,新預測資料包含新預測量測及/或檢驗資料;d)藉使用從新程式庫相關評估資料與新與預測資料所計算出之新程式庫相關差異,在第一S-D評估晶圓上之新位置建立新信賴值;e)藉使用新信賴值、新程式庫相關差異、第一信賴值、第一程式庫相關差異或晶圓資料,或上述認任何組合,建立第一S-D評估晶圓上之新位置的新風險因素;f)藉使用新風險因素、新信賴值、新程式庫相關差異、第一風險因素、第一信賴值、第一程式庫相關差異或晶 圓資料,或上述任何組合,建立第一S-D評估晶圓上之新位置的新總風險因素;g)當新總風險因素小於或等於新程式庫相關產生限制時,將第一S-D評估晶圓上的新位置辨識為具有與其有關之新總風險因素的新已驗證位置、將所剩餘位置的減少一個、將已造訪的位置增加一個及將與新位置有關之資料作為已驗證資料儲存在評估程式庫中;h)當新總風險因素大於新程式庫相關產生限制時,將第一S-D評估晶圓上的新位置辨識為具有與其有關之新第二風險因素的新未驗證位置、將所剩餘位置的減少一個及將已造訪的位置增加一個,其中,新已驗證位置具有與其有關之新已驗證程式庫相關資料;i)當所需位置數量大於零時,重複步驟a)~h);及當所需位置數量等於零時,停止產生S-D程式庫的處理。
S-D評估程式庫新建完成後,能使用額外S-D評估晶圓上的額外位置,且一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)亦能用以執行下列步驟:a1)選定一額外S-D評估晶圓;b)決定額外S-D評估晶圓之所需位置的第一數量;c1)從額外S-D評估晶圓上之第一數量的所需位置中選定一額外位置,其中,額外位置具有與其有關並藉使用第一S-D產生程序所產生的額外程式庫相關參考(評估)特徵;d1)從額外S-D評估晶圓上之額外位置獲得額外程式庫相關評估資料,其中,額外位置具有與其有關之額外程式庫相關量測及/或檢驗資料;e1)為額外S-D評估晶圓上之額外位置建立額外預測資料,其中,額外預測資料包含額外預測量測及/或檢驗資料;f1)藉使用由額外程式庫相關評估資料與額外預測資料所計算出的額外程式庫相關差異,為額外S-D評估晶圓上之額外位置建立額外信賴值;g1)藉使用額外信賴值、額外程式庫相關差異、新信賴值、新程式庫相關差異、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,為額外S-D評估晶圓上之額外位置建立額外風險因素;h1)藉使用額外風險因素、額外信賴值、額外程式庫相關差異、新風險因素、新信賴值、新程式庫相關差異、第一風險因素、第一信賴值、第 一程式庫相關差異或晶圓資料,或上述任何組合,為額外S-D評估晶圓上之額外位置建立額外總風險因素;i1)當額外總風險因素小於或等於額外程式庫相關產生限制時,將額外S-D評估晶圓上之額外位置辨識為具有與其有關之額外總風險因素的額外已驗證位置、將所需位置的數量減少一個、將已造訪位置的數量增加一個及將與額外位置有關之資料作為已驗證資料儲存在評估程式庫中;j1)當額外總風險因素大於額外程式庫相關產生限制時,將額外S-D評估晶圓上之額外位置辨識為具有與其有關之額外第二風險因素的額外未驗證位置、將所需位置的數量減少一個、將已造訪位置的數量增加一個,其中,額外已驗證位置具有與其有關之額外已驗證程式庫相關資料;k1)當額外S-D評估晶圓可利用時,且額外S-D評估晶圓上之所需位置數量大於零時,重複步驟a1)~j1);及當額外S-D評估晶圓無法利用時,且額外S-D評估晶圓上之所需位置數量等於零時,停止產生S-D程式庫的處理。
在某些範例中,當執行第一修正動作時,藉由使用介於S-D處理晶圓之第一數量與可利用處理元件之第一數量的差異,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以決定受延遲S-D晶圓的第一數量,且一或更多個S-D傳輸次系統(101、102)中之一或更多個傳輸元件104能以第一段時間來儲存及/或延遲第一數量的受延遲晶圓。
在另外範例中,當執行第一修正動作時,一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能用以:藉使用介於S-D評估晶圓之第一數量與可利用評估元件之第一數量之間的差異,決定受延遲S-D晶圓的第一數量;決定第一受延遲S-D評估晶圓的已更新S-D晶圓狀態資料;決定第一處理次系統中一或更多個S-D處理元件的已更新操作性狀態資料;決定第一受延遲S-D評估晶圓之第一已更新傳輸次序;藉使用已更新操作性狀態資料,辨識一或更多個剛才可利用S-D處理元件;及當第一剛才可利用S-D評估元件無法利用時,施加第二修 正動作。此外,當一或更多個剛才可利用S-D評估元件可利用時,藉使用第一已更新傳輸次序,一或更多個傳輸次系統(101、102)中之一或更多個傳輸元件104能用以傳輸一或更多個受延遲晶圓。
在額外範例中,修正動作能包括停止處理、暫停處理、再評估一或更多個S-D評估晶圓、再測量一或更多個S-D評估晶圓、再檢驗一或更多個S-D評估晶圓、再重新改製一或更多個S-D評估晶圓、儲存一或更多個S-D評估晶圓、清潔一或更多個S-D評估晶圓、延遲一或更多個S-D評估晶圓或剝除(stripping)一或更多個S-D評估晶圓,或上述任何組合。
一組額外處理步驟能包括:計算已處理S-D晶圓的S-D信賴度地圖,第一S-D信賴度地圖包括一或更多個程式庫相關參考特徵的信賴度資料,該等資料產生在各已處理S-D晶圓上之第一數量的評估位置;及藉使用已處理S-D晶圓的S-D信賴度地圖,建立第一組評估晶圓。
第二組額外處理步驟能包括:計算已處理S-D晶圓的S-D信賴度地圖,第一S-D信賴度地圖包括一或更多個程式庫相關參考特徵的信賴度資料,該等資料產生在各已處理S-D晶圓上之第一數量的評估位置;當第一S-D信賴度地圖中之一或更多個值未在第一信賴極限的範圍內時,將所需評估位置的數量減少一或更多個;及當第一S-D信賴度地圖中之一或更多個值有在第一信賴極限的範圍內時,將所需評估位置的數量增加一或更多個。
第三組額外處理步驟能包括:計算已處理S-D晶圓之S-D風險評估地圖,第一S-D風險評估地圖包括一或更多個程式庫相關參考特徵的風險評估資料,該等資料產生在各已處理S-D晶圓上之第一數量的評估位置;當第一S-D風險評估地圖中一或更多個值未在第一信賴極限的範圍內時,將所需評估位置的數量減少一或更多個;及當第一S-D風險評估地圖中一或更多個值有在第一信賴極限的範圍內時,將所需評估位置的數量增加一或更多個。
在一替代的實施例中,第一組非S-D晶圓能加以決定,這些 晶圓能用第一非S-D處理次序來接受處理,且第一非S-D處理次序能包括一或更多個非S-D處理次序。藉使用S-D傳輸次系統,第一組非S-D晶圓能傳輸到一或更多個第一次系統中之一或更多個第一非S-D處理元件,且第一非S-D處理次序能用來決定一或更多個第一次系統中的一或更多個第一非S-D處理元件。
在某些實施例中,S-D評估程式庫能包括適合度、產生規範資料、S-D量測資料、S-D檢驗資料、S-D驗證資料、S-D地圖資料、S-D信賴度資料、S-D正確性資料、S-D處理資料或S-D均勻性資料,或上述任何組合。
圖2根據本發明之實施例,說明利用S-D程序之處理晶圓的方法的例示性流程圖。晶圓能包括一或更多個層,該等層能包括半導體材料、碳材料、介電質材料、玻璃材料、陶瓷材料、金屬材料、受氧化材料、受摻雜材料、受植入材料、幕罩材料或平坦化材料,或上述任何組合。在某些情況下,S-D程序能在整個生產週期中使用,而在其他情況下,在生產週期早期階段時,S-D程序能在執行較為關鍵處理的步驟時使用。在某些範例中,S-D程序可用以:解釋NMOS與PMOS結構間的流動差異;找到測試結構;改善配線寬度粗糙度及/或配線邊緣粗糙度;及改善疊對量測問題。
在某些範例中,晶圓資料能包括即時資料、歷史資料、S-D信賴度資料、非S-D係賴度資料、S-D風險資料、非S-D風險資料、S-D限制資料或非S-D限制資料,或上述任何組合。
在205中,一或更多個晶圓能藉由處理系統(100)之一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)接收。在某些實施例中,一或更多個晶圓能由連接到一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)的一或更多個傳輸次系統(101、102)接收。或者,一或更多個晶圓能由一不同的次系統接收。此外,系統控制器195能用來接收一或更多個晶圓的晶圓資料。或者,部分晶圓資料可 由一不同的控制器接收。晶圓資料能包括歷史及/或即時資料。舉例而言,晶圓資料能包括S-D及/或非S-D地圖,該等地圖包括一或更多個晶圓的:晶圓相關地圖、處理相關地圖、損壞評估地圖、參考地圖、量測地圖、預測地圖、風險地圖、檢驗地圖、驗證地圖、評估地圖、微粒地圖及/或一或更多個信賴度地圖。在某些情況下,製造執行系統180能與系統控制器195及一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)交換資料,且該資料能用來決定及/或控制處理次序及/或傳輸次序。交換的資料可用來決定S-D及/或非S-D程序何者用於各晶圓。資料能包括系統資料、次系統資料、處理室資料、產品資料、感應器資料及歷史資料。
晶圓能包括S-D晶圓及非S-D晶圓。S-D晶圓狀態資料能為S-D晶圓而建立,而非S-D晶圓狀態資料能為非S-D晶圓而建立。
在210中,藉使用晶圓資料及S-D晶圓狀態資料,S-D處理及/或傳輸次序能為S-D晶圓建立。藉使用晶圓資料及非S-D晶圓狀態資料,非S-D處理及/或傳輸次序能為非S-D晶圓建立。或者,可使用其他次序及額外資料。
驗證相關次序能建立來驗證用於S-D程序、S-D晶圓及/或S-D程式庫的位置。驗證相關次序能包括S-D產生程序、S-D傳輸程序、S-D驗證程序、S-D評估程序、S-D量測程序或S-D檢驗程序,或上述任何組合。或者,可包括非S-D程序。一或更多個S-D晶圓能用一或更多個處理相關程序來處理,並能用處理驗證處理次序來驗證。
S-D程序中的位置能與下列有關:電晶體的閘極結構、電晶體的汲極結構、電晶體的源極結構、電容器結構、通孔結構、溝渠結構、二維記憶體結構、三維記憶體結構、側壁角度、底部臨界尺寸、頂部臨界尺寸、中間臨界尺寸、陣列、週期性結構、對準特徵、摻雜特徵、應變(strain)特徵、損壞結構或參考結構,或上述任何組合。
S-D處理次序及/或非S-D處理次序能包括:一或更多個幕罩產生程序、一或更多個沉積程序、一或更多個塗布程序、一或更多個蝕刻程序、一或更多個熱處理程序、一或更多個植入程序、一或更多個摻雜程序、一或更多個曝光程序、一或更多個氧化程序、一或更多個氮化程序、一或更多個游離化程序、一或更多個顯影程序、一或更多個微影程序、一或更多個掃描器相關程序、一或更多個量測程序、一或更多個檢驗程序、一或更多個評估程序、一或更多個模擬程序、一或更多個預測程序、一或更多個重新改製程序、一或更多個儲存程序、一或更多個傳輸程序、一或更多個真空預備(loadlock)程序或一或更多個清潔程序,或上述任何組合。
在某些範例中,S-D處理次序能包括能用較小數量之晶圓來執行的預先及/或事後處理程序。預先及/或事後處理程序能是位置相依性的,並能包括處理、評估、量測、檢驗、驗證及/或損壞評估程序。或者,程序可以是非位置相依性的。在產品生命期期間,處理次序能在產品成熟期間改變許多次,且預先處理及/或事後處理的程度可因不同晶圓及/或不同時間而有不同。一些晶圓可辨識為驗證、檢驗、評估、損壞評估、測試及/或先送(send-ahead)晶圓。當產品正在開發及/或驗證時,處理結果會有變化,額外程序能對較大數量的晶圓來執行。舉例而言,當需要額外S-D程序時,預先及/或事後處理程序能利用晶圓上一預先決定之數量的位置來執行。
在215中,藉使用一或更多個S-D驗證相關次序、晶圓資料、S-D晶圓狀態資料及其他所需資料,能決定各S-D晶圓之所需產生程序的數量。此外,藉使用一或更多非S-D驗證相關次序、晶圓資料、及非S-D晶圓狀態資料,能決定各非S-D晶圓所需之產生程序的數量。或者,可使用額外資料。
在某些狀況下,晶圓狀態能包括所需處理相關位置的數量、所造訪處理相關位置的數量或所剩餘處理相關位置的數量,或上 述任何組合。S-D產生程序能為各「待處理」("to-be-processed")S-D晶圓來加以決定,且S-D產生程序能包括一或更多個處理相關程序。S-D產生程序能用來辨識欲使用之處理次系統中的S-D處理次系統及/或S-D處理元件。
在220中,藉使用一或更多個S-D處理次序、晶圓資料及S-D晶圓狀態資料,能決定各S-D晶圓之所需評估程序的數量。此外,藉使用一或更多個非S-D處理次序、晶圓資料及非S-D晶圓狀態資料,能決定各非S-D晶圓之所需評估程序的數量。或者,可使用額外資料。
在一些情況下,晶圓狀態資料能包括所需評估相關位置的數量、所造訪評估相關位置的數量或所剩餘評估相關位置的數量,或上述任何組合。S-D評估程序能為「待評估」("to-be-evaluated")位置、晶圓、程序及/或程式庫來加以決定,且S-D評估程序能包括一或更多個驗證、評估、量測、檢驗及/或測試程序。此外,S-D評估程序能為「待驗證」("to-be-verified")位置、晶圓、程序及/或程式庫來加以決定。將在欲使用之驗證次系統中使用之S-D評估次系統及/或S-D評估元件可用S-D評估程序來辨識出。
在其他情況下,晶圓狀態資料能包括所需驗證相關位置的數量、所造訪驗證相關位置的數量或所剩餘驗證相關位置的數量,或上述任何組合。S-D驗證程序能為「待驗證」("to-be-verified")位置、晶圓、程序及/或程式庫來加以決定,且S-D驗證程序能包括一或更多個驗證、評估、量測、檢驗及/或測試程序。S-D驗證程序能用來在欲使用之驗證次系統中辨識S-D驗證次系統及/或S-D驗證元件。
在225中,藉使用S-D次序資料、載入資料、可利用性資料、操作性狀態資料、程序資料、系統資料、次系統之系統資料、晶圓資料或S-D晶圓狀態資料,或上述任何組合,能建立各S-D晶圓之一或更多個S-D傳輸次序。此外,能建立各非S-D晶圓之一或更多個非S-D傳輸次序。或者,可使用不同資料。
在某些範例中,第一S-D傳輸次序能加以決定,並能用來傳輸第一晶圓或第一組晶圓。源自第一晶圓或第一組晶圓的資料能用來對其他相關晶圓做出決策。一或更多個「最佳」晶圓及/或「最佳」處理室可在處理期間使用。此外,傳輸及/或處理次序能用來消除及/或減低「第一晶圓效應」("first wafer effects")。S-D傳輸次序能用來決定欲使用之S-D傳輸次系統、欲使用之傳輸裝置及/或元件的數量、載入次序、傳輸時間及/或傳輸速率。
當執行微影相關次序時,藉使用微影相關產生程序,一或更多個微影相關評估特徵能產生在一或更多個S-D晶圓上之一或更多個區位,且藉使用微影相關評估程序,一或更多個微影相關評估特徵能進行評估及/或驗證。
在某些範例中,製造執行系統(180)能提供一或更多個驗證相關次序、一或更多個處理相關次序、一或更多個產生程序、一或更多個S-D評估程序或一或更多個傳輸次序,或上述任何組合。在其他範例中,製造執行系統(180)能提供資訊,利用該資訊能建立一或更多個驗證相關次序、一或更多個處理相關次序、一或更多個產生相關程序、一或更多個S-D評估程序或一或更多個傳輸次序,或上述任何組合。
S-D傳輸次序能為以下建立:在次系統內連接到內部S-D傳遞元件的內部傳輸元件;在S-D傳輸次系統內連接到S-D傳遞元件的傳輸元件;及傳輸元件之間的交換、傳輸元件與處理元件之間的交換、傳輸元件與真空預備元件之間的交換及傳輸元件與非S-D次系統之間的交換。
在230中,第一組S-D「處理」("processing")晶圓能傳輸到一或更多個處理次系統中之一或更多個可利用S-D處理元件。操作性狀態資料能為一或更多個可利用處理次系統中之一或更多個S-D處理元件來加以決定,且操作性狀態資料能用來決定一或更多個可利用S-D處理元件。在某些替代情況下,能使用非S-D處理元件來執行處理,且傳輸次序可建立來允許此處理發生。
舉例而言,處理元件的操作性狀態資料能包括可利用性資料、處理元件的匹配資料、部分處理步驟及/或位置的預期處理時間、處理元件的信賴度資料及/或風險資料、信賴度資料及/或一或更多個處理相關位置的風險資料。
在某些範例中,即時操作性狀態能為一或更多個處理次系統中的一或更多個S-D處理元件來建立。當第一數量的第一S-D處理元件可利用時,藉使用S-D傳輸次系統,第一數量之第一組S-D處理晶圓能傳輸到第一數量之S-D處理元件。當該組S-D處理晶圓之其他S-D晶圓無法利用S-D處理元件時,藉使用S-D傳輸次系統,該組S-D處理晶圓之其他S-D晶圓能延遲一第一段時間。在晶圓傳輸進出S-D處理元件時,操作性狀態能改變。即時傳輸次序能加以建立,並用來傳輸晶圓進出於微影相關次系統中的第一S-D處理元件。藉即時查詢(querying)一或更多個處理元件及/或一或更多個處理次系統,能獲得已更新之操作性狀態。藉即時查詢一或更多個傳輸元件及/或一或更多個傳輸次系統,能獲得已更新之載入資料。
利用能包括受延遲程序及提供受延遲資料的「受延遲」("delayed")處理次序及/或「受延遲」傳輸次序,受延遲晶圓能接受處理及/或傳輸。舉例而言,當辨識出一「剛才可利用」("newly-available")S-D評估元件時,使用「受延遲」傳輸次序,受延遲S-D評估晶圓能傳輸到一或更多個評估次系統的該「剛才可利用」S-D評估元件。
在235中,能執行產生程序。一已驗證S-D產生程序能使用來產生一已驗證晶圓,該已驗證晶圓在一或更多個位置具有一或更多個已驗證特徵及/或結構。一未驗證S-D產生程序能使用來產生一未驗證晶圓,該未驗證晶圓在一或更多個位置具有一或更多個未驗證特徵及/或結構。晶圓資料、處理元件及/或處理次系統資料能在執行S-D及/或非S-D產生程序之前、之中及/或之後獲得及/或儲存。
在某些產生程序期間,在S-D程序之一或更多個處理步驟期間,輸出資料能從一或更多個處理相依性位置獲得,而一或更多個晶圓的S-D信賴度資料能藉由將S-D輸出資料比對於位為處理相依性位置所建立之一或更多個S-D產品需求來獲得。
在240中,現行晶圓何時需要額外產生程序能藉執行查詢來決定。當現行晶圓需要另一產生程序時,程序200能折回到240,而當現行晶圓不需要另一產生程序時,程序200能折回到250。
在245中,能建立第一組S-D評估晶圓,且第一組S-D評估晶圓能包括第一數量之S-D晶圓。
在250中,一或更多個第一組S-D評估晶圓能傳輸到一或更多個評估次系統中之一或更多個可利用S-D評估元件。操作性狀態資料能為一或更多個評估次系統中之一或更多個S-D評估元件來加以決定,且操作性狀態資料能用來決定一或更多個可利用S-D評估元件。在某些替代情況下,評估能藉使用非S-D評估元件來執行,且傳輸次序可建立來允許此評估發生。此外,一或更多的第一組S-D評估晶圓能傳輸到一或更多個檢驗次系統中之一或更多個可利用S-D評估元件。操作性狀態資料能為一或更多個檢驗次系統中之一或更多個S-D評估元件來加以決定。在某些替代情況下,檢驗能藉使用非S-D評估元件來執行,且傳輸次序可建立來允許此評估發生。
舉例而言,評估元件的操作性狀態資料能包括評估元件之配對資料、部分評估步驟及/或位置的預期評估時間、評估元件的信賴度資料及/或風險資料、一或更多個評估位置的信賴度資料及/或風險資料。
在某些範例中,當S-D評估晶圓的第一數量小於或等於可利用評估元件的第一數量時,傳輸次序能用來決定如何及何時傳輸第一數量的S-D評估晶圓到第一數量的可利用評估元件。當第一組S-D晶圓的第一數量多於可利用評估元件的第一數量時,一或更多個修正動作能予以施加,其中,可利用評估元件的第一數量 由第一操作性狀態來決定。
在255中,一評估晶圓能予以選定。評估晶圓能包括第一晶圓、額外晶圓極/或受延遲晶圓。所剩餘之評估晶圓能予以查驗。選定決策能基於S-D晶圓狀態資料、處理次序、所剩餘晶圓的數量、所需評估及/或驗證位置的數量、所造訪評估及/或驗證位置的數量或所剩餘評估及/或驗證位置的數量,或上述任何組合。
在260中,能在現行晶圓上選定一位置。在某些範例中,第一位置能從第一S-D評估晶圓上之該數量的所需位置中選定,且第一位置能具有與其有關並藉使用第一S-D產生程序所產生的第一未驗證評估特徵。一或更多個額外位置能從第一S-D評估晶圓上之該數量的所需位置中選定,且額外位置能具有與其有關並藉使用第一S-D產生程序所產生的額外未驗證評估特徵。第一晶圓能是最重要晶圓之一,且基於第一晶圓的結果,一組晶圓的決策能加以做出。在其他範例中,決策係能基於源自額外晶圓及/或受延遲晶圓的資料。
在265中,評估程序能用選定之位置來執行。藉使用由S-D評估元件利用來執行的S-D評估程序,評估資料能為該位置所獲得。舉例而言,量測程序能提供量測資料,及/或檢驗程序能提供檢驗資料。
在某些範例中,第一位置能從評估及/或驗證晶圓上之該數量的所剩餘位置中選定,且第一位置具有與其有關之第一未驗證特徵。第一未驗證資料能從第一位置獲得,且第一位置的第一未驗證資料能具有與其有關之第一未驗證量測及/或檢驗資料。第一驗證資料能為第一位置建立,且第一驗證資料能包括已驗證量測及/或檢驗資料。藉使用第一未驗證資料與第一驗證資料之間的第一差異,第一信賴度資料能為第一位置建立,而藉使用第一信賴值,第一風險資料能為第一位置、晶圓及/或程序建立。當第一信賴度資料大於或等於第一臨界極限時,第一位置能辨識為具有與其有關之第一信賴程度的第一已驗證位置,所剩餘位置的數量能減少 一個,且所造訪位置的數量能增加一個。當第一信賴度資料小於第一臨界極限時,第一位置能辨識為具有與其有關之第二信賴程度的第一未驗證位置,所剩餘位置的數量能減少一個,且所造訪位置的數量能增加一個。
在某些實施例中,未驗證資料能包括針對下列的評估資料:電晶體中的閘極結構、電晶體中的汲極結構、電晶體中的源極結構、電容器結構、通孔結構、溝渠結構、二維記憶體結構、三維記憶體結構、側壁角度、臨界尺寸、陣列、週期性結構、對準特徵、摻雜特徵、應變特徵、損壞結構或參考結構,或上述任何組合。在其他實施例中,未驗證資料能包括評估資料、量測資料、檢驗資料、對準資料、驗證資料、處理資料、晶圓資料、程式庫資料、歷史資料、即時資料、光學資料、層資料、熱處理資料或時間資料,或上述任何組合。或者,可使用其他資料。
在某些實施例中,已驗證資料能包括針對下列的所驗證、預測、模擬及/或程式庫的資料:電晶體中的閘極結構、電晶體中的汲極結構、電晶體中的源極結構、電容器結構、通孔結構、溝渠結構、二維記憶體結構、三維記憶體結構、側壁角度、臨界尺寸、陣列、週期性結構、對準特徵、摻雜特徵、應變特徵、損壞結構或參考結構,或上述任何組合。在其他實施例中,已驗證資料能包括評估資料、量測資料、檢驗資料、對準資料、驗證資料、處理資料、晶圓資料、程式庫資料、歷史資料、即時資料、光學資料、層資料、熱處理資料或時間資料,或上述任何組合。或者,可使用其他資料。
在其他範例中,當達到一或更多個信賴度及/或風險限制時,一或更多個評估晶圓能辨識為已評估及/或已驗證晶圓,或是當未達到一或更多個限制時,能予以施加修正動作。
歷史驗證資料能包括S-D驗證程式庫中的第一S-D已驗證資料;S-D驗證程式庫中的第一S-D已驗證資料能包括第一已驗證結構資料及有關的第一已驗證評估資料;且第一S-D組的波長能 做為第一已驗證信號資料的特徵。
即時驗證資料能包括即時獲得的已驗證資料。舉例而言,即時驗證資料能利用從源自一或更多個晶圓的資料所建立,該等晶圓係相似於該晶圓、該同批次晶圓的部分或來自類似已處理之晶圓,或上述任何組合。歷史驗證資料能是儲存的資料。
當達到一或更多個限制時,S-D評估特徵、結構、資料、晶圓、程序及/或影像能接受驗證。當多重位置及/或晶圓接受評估時,信賴度及/或風險資料能為個別晶圓及/或群組晶圓來建立。或者,可使用其他資料。舉例而言,信賴度資料的值能從零涵蓋到九,其中零代表失效狀況,而九代表最正確的執行。此外,風險資料的值能從零涵蓋到九,其中零代表失效或高風險狀況,而九代表最低風險狀況。或者,可使用其他範圍。範圍能建立在限制之內,以提供多重數值的信賴度資料及/或風險資料。
當達到第一(最正確)臨界極限時,正受評估的項目能辨識為具有與其有關之最高程度的信賴度及/或最低風險因素。當達到另外(最不正確)臨界極限時,正受評估的項目能辨識為具有與其有關之較低程度的信賴度及/或較高風險因素。當達到一或更多個(正確度會變化)臨界極限時,正受評估的項目能辨識為具有與其有關之低程度的信賴度及/或高風險因素的未驗證項目。
在270中,一查詢能執行來決定評估是否完成。若評估未完成時,步驟200能分支到步驟275;而當評估完成時,步驟200能分支到步驟285。
在275中,一查詢能執行來決定是否需要額外位置。當需要額外位置時,程序200能折回步驟260;而當不需要額外位置時,程序200能折回步驟280。
在280中,一查詢能執行來決定是否需要額外評估晶圓。當需要額外評估晶圓時,程序200能折回步驟255;而當不需要額外評估晶圓時,程序200能折回步驟285。
在285中,一查詢能執行來決定現行次序是否已經完成。當 現行次序已經完成後,程序200能折回到步驟290,而當現行次序還未完成時,程序200能折回到步驟215。
在290中,一查詢能執行來決定是否需要額外次序。當需要額外次序時,程序200能折回到步驟210,而當不需要額外次序時,程序200能折回到步驟295。程序能在295結束。
在某些實施例,第一雙重圖案化(double-patterning)次序能先執行,接著執行第二雙重圖案化次序。第一組晶圓能由處理系統(100)中之一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)接收,且藉利用第一S-D雙重圖案化處理次序,一或更多個第一圖案層能產生在一或更多個第一組圖案化晶圓上。第一S-D處理次序能用處理系統(100)中之一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)來執行。接著,藉使用第一S-D評估程序,第一信賴度資料及/或第一風險資料能為第一組圖案化晶圓建立,而藉使用源自第一S-D評估程序的資料,第一組高信賴度晶圓能加以建立。接著,一或更多個第二雙重圖案層能產生在第二組圖案化晶圓上,且藉由利用第一組高信賴度晶圓來執行第二S-D處理次序,第二組圖案化晶圓能產生。第二S-D處理次序能用處理系統(100)中之一或更多個次系統(101、102、110、115、120、125、130、135、140、145、150、155)來執行,且藉由使用掃描器次系統(115),一或更多個第二圖案層便相對於一或更多個第一圖案層來對準。此外,藉使用第二S-D評估程序,第二信賴度資料及/或第二風險資料能為第二組圖案化晶圓建立,而藉使用源自第一及/或第二S-D評估程序的資料,第二組高信賴度晶圓能加以建立。
在某些實施例中,第一S-D處理次序能用來產生第一雙重金屬鑲嵌層;且新S-D處理次序能用來產生第二雙重金屬鑲嵌層。
在各式實施例中,S-D處理次序能即時執行,且能包括:一或更多個S-D微影相關程序、一或更多個S-D掃描器相關程序、一或更多個S-D檢驗相關程序、一或更多個S-D量測相關程序、 一或更多個S-D評估相關程序、一或更多個S-D蝕刻相關程序、一或更多個S-D沉積相關程序、一或更多個S-D熱處理程序、一或更多個S-D塗布相關程序、一或更多個S-D對準相關程序、一或更多個S-D拋光相關程序、一或更多個S-D儲存相關程序、一或更多個S-D傳輸程序、一或更多個S-D清潔相關程序、一或更多個S-D重新改製相關程序、一或更多個S-D氧化相關程序、一或更多個S-D氮化相關程序或一或更多個S-D外部程序,或上述任何組合。
圖3根據本發明之實施例,繪示晶圓地圖的簡化圖。所說明的實施例中,晶圓地圖表示為具有一百二十五個晶片/晶粒,但本發明並非需要如此。或者,可表示不同數量的晶片/晶粒。此外,所表示的圓形僅為說明性質,本發明並非需要如此。舉例而言,圓形晶圓可由非圓形晶圓替換,且晶片/晶粒可具有非圓形的形狀。
該繪示的圖顯示晶圓300上的晶圓地圖320包括有一或更多個晶片/晶粒310。所繪示之行與列,以編號零到十二來說明。此外,標示為(1a~12a)的十二個位置330能用來界定與所說明之晶圓地圖320相關之S-D程序用的區位。此外,繪示有二環狀虛線(301、302),該等虛線能用來建立位於晶圓300上的外部區域305、中間區域306及內部區域307。或者,可在晶圓地圖320上建立具有不同形狀之不同數量的區域,且可在晶圓上的不同區位為S-D及/或非S-D程序建立不同數量的位置。當S-D量測、檢驗及/或評估計畫為一晶圓產生後,一或更多個量測、檢驗及/或評估位置能建立在一或更多個晶圓區域。舉例而言,當S-D策略、計畫及/或製程配方產生後,量測、檢驗及/或評估程序並不需要包括及/或使用圖3所示之全部的位置330。
基於儲存在歷史資料庫中的資料,S-D程序能由半導體製造商所指定。舉例而言,當半導體製造商進行SEM量測時,且欲將源自一工具的量測資料、檢驗資料及/或評估資料與藉使用SEM工具、TEM工具極/或FIB工具所測量的資料做關聯時,半導體製造 商可歷史性地在晶圓上選擇數個位址。
此外,當製造商越有信心,認為該處理會持續生產高品質產品及/或裝置時,便能減少在S-D及/或非S-D程序中使用的位置數量。
當需要新及/或額外量測資料、檢驗資料及/或評估資料時,額外S-D資料能從晶圓上一或更多個位置獲得。舉例而言,如週期式格柵板、週期式陣列及/或週期式結構般的量測特徵,能在圖3所示之一或更多個位置上接受測量。
S-D量測、檢驗及/或評估程序會是費時的,並能影響處理系統的產量。在處理流程期間,製造商可能希望將用來測量、檢驗、驗證及/或評估晶圓的進行時間最小化。S-D程序能是時間相依性的,且不同的S-D程序可基於本身的執行時間而選定。執行時間過長時,可使用較小數量的位置。
在半導體處理的一發展階段期間,一或更多個S-D參考地圖能產生並儲存,以供後續使用。S-D參考量測地圖能包括位於與圖3所示位置不同之量測位置的所測量資料。S-D參考檢驗地圖能包括位於與圖3所示位置不同之位置的檢驗資料。S-D參考驗證地圖能包括位於與圖3所示位置不同之位置的驗證資料。S-D參考評估地圖能包括位於與圖3所示位置不同之位置的評估資料。或者,參考地圖能使用同組位置,或可不需一或更多個參考地圖。
此外,在S-D程序期間,一或更多個S-D預測地圖能產生及/或修改,且S-D預測地圖能包括預測量測資料、預測檢驗資料、預測驗證資料、及/或預測評估資料、及/或預測處理資料。舉例而言,預測資料能用S-D模型獲得。
再者,一或更多個S-D及/或非S-D信賴度地圖能產生及/或修改,且信賴度地圖能包括以下資料的信賴值:所測量的資料、檢驗資料、驗證資料、評估資料、所預測的資料及/或處理資料。
晶圓地圖能包括一或更多個適合度(GOF, Goodness Of Fit)地 圖、一或更多個格柵板厚度地圖、一或更多個通孔相關地圖、一或更多個臨界尺寸地圖、一或更多個臨界尺寸剖面輪廓地圖、一或更多個材料相關地圖、一或更多個溝渠相關地圖、一或更多個側壁角度地圖、一或更多個微分寬度地圖,或上述任何組合。該資料亦能包括位置結果資料、位置數量資料、臨界尺寸量測旗標資料、量測位置資料的數量、X軸資料、Y軸資料及其他資料。
在某些實施例中,曲線擬合程序能執行來為晶圓上不包括在S-D程序中的位置計算資料。或者,藉使用表面估計、表面擬合技巧或其他數學技巧,可決定晶圓地圖。當為晶圓產生地圖時,基於所預期、所預測及/或實際正確性數值及/或需求,能選定量測位置。
由映射應用所產生的一些錯誤被能送至故障偵測與分類(FDC)系統,且FDC系統能決定處理系統應該如何對該錯誤做出反應。其他錯誤能由映射應用來解決。
當產生及/或修改晶圓地圖後,數值可不用為整個晶圓計算及/或需要,且晶圓地圖可包括下列的資料:一或更多個位置、一或更多個晶片/晶粒、一或更多個不同區域及/或一或更多個不同形狀的區域。舉例而言,處理室可具有影響晶圓上特定區域之處理結果之品質的獨特特徵。此外,為了產量最大化,針對晶圓之一或更多個區域的晶片/晶粒,製造商可允許較不正確之處理及/或評估資料。一映射應用及/或FDC系統能用商業規格來決定信賴度、風險、均勻性及/或正確性的限制。
當地圖中一值接近限制時,信賴值可能比地圖中一值不接近限制時低。此外,正確性值能因晶圓之不同晶片/晶粒及/或不同區域而給予加權。舉例而言,較高信賴值加權能指派到有關一或更多個先前使用過之評估位置的正確性計算及/或正確性資料。
此外,有關一或更多個處理之處理結果、量測、檢驗、驗證、評估及/或預測地圖可用來計算晶圓的信賴度地圖。舉例而言,源自另外地圖的數值可用來當作加權因素。
圖4根據本發明之實施例,繪示例示性次系統之簡化方塊圖。在所說明的實施例中,例示性S-D次系統400表示為包括有五個S-D元件(410、420、430、440、450)、第一S-D傳輸次系統460及第二S-D傳輸次系統470。第一S-D傳輸次系統460能連接到第一非S-D傳輸次系統401及第二非S-D傳輸次系統402。第二S-D傳輸次系統470能連接到第一非S-D傳輸次系統401及第二非S-D傳輸次系統402。第一非S-D傳輸次系統401及第二非S-D傳輸次系統402能連接到及/或係一部份之傳輸次系統(101、102、103,圖1)。或者,可使用不同數量的次系統,可使用不同數量的傳輸次系統,且次系統可有不同配置。此外,可使用非S-D次系統。
例示性S-D次系統400能包含能連接到第一S-D傳輸次系統460及第二S-D傳輸次系統470的五個S-D真空預備元件(415、425、435、445、455)。或者,不同數量的真空預備元件可加以使用,且可進行不同配置。在其他實施例中,可不需要真空預備元件。S-D真空預備元件415能連接到一或更多個S-D處理元件410;S-D真空預備元件425能連接到一或更多個S-D處理元件420;S-D真空預備元件435能連接到一或更多個S-D處理元件430;S-D真空預備元件445能連接到一或更多個S-D處理元件440;及S-D真空預備元件455能連接到一或更多個S-D處理元件450。在各式實施例中,S-D真空預備元件(415、425、435、445、455)能包含S-D內部傳輸裝置(分別對應是417、427、437、447、457),用以在實質上同一時間傳輸、延遲、儲存、對準及/或檢驗一或更多個晶圓。
第一S-D傳輸次系統460能包含能連接到第一數量之第一S-D傳輸元件(461、462、463、464、465)的第一S-D傳遞元件467。在某些實施例中,第一S-D傳輸元件能動態連接或不連接到第一S-D傳遞元件467,其並能在一或更多個方向469下移動。此外,連接及/或不連接能是位置相依性的,並能用下列來決定:第一S-D 傳遞元件467、第一S-D傳輸元件、晶圓資料、系統資料、處理次序資料或傳輸次序資料,或上述任何組合。第一S-D傳遞元件467能包括一或更多個層級(未繪示),並能以一或更多個速率來操作。或者,可使用其他晶圓傳輸技術。
再者,基於處理次序、傳輸次序、操作性狀態、晶圓及/或處理狀態、處理時間、現行時間、晶圓資料、晶圓上位置數量、晶圓上位置種類、所需位置數量、所完成位置數量、所剩餘位置數量或信賴度資料,或上述任何組合,第一S-D傳輸次系統460及第二S-D傳輸次系統470能裝載、搬運及/或卸除晶圓。
五個第一S-D傳輸元件(461、462、463、464、465)繪示在所說明的實施例中,但此並非本發明之必須。在其他實施例中,可使用不同數量之第一S-D傳輸元件。此外,圖4中之所說明的第一S-D傳輸元件(461、462、463、464、465),繪示為位於第一傳輸點,但此並非本發明之必須。當第一S-D傳輸元件位於第一傳輸點時,一或更多個晶圓(未繪示)能在第一S-D傳輸元件與S-D真空預備元件之間傳輸。
第二S-D傳輸次系統470能包含能連接到第二數量之第二S-D傳輸元件(471、472、473、474、475)的第二S-D傳遞元件477。在某些實施例中,第二S-D傳輸元件能動態連接或不連接到第二S-D傳遞元件477,並能在一或更多個方向479下移動。此外,連接及/或不連接能是位置相依性的,並能使用下列來決定:第二S-D傳遞元件477、第二S-D傳輸元件、晶圓資料、系統資料、處理次序資料或傳輸次序資料,或上述任何組合。第二S-D傳遞元件477能包括一或更多個層級(未繪示),並能以一或更多個速率來操作。或者,可使用其他晶圓傳輸技術。
五個第二S-D傳輸元件(471、472、473、474、475)繪示於所說明之實施例,但此並非本發明之必須。在其他實施例中,可使用不同數量的第二S-D傳輸元件。此外,所說明之第二S-D傳輸元件(471、472、473、474、475)繪示在圖4中的第二傳輸點,但 此並非本發明之必須。當第二S-D傳輸元件位於第二傳輸點時,一或更多個晶圓(未繪示)能在第二S-D傳輸元件與S-D真空預備元件之間傳輸。
舉例而言,第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能用S-D處理次序及/或S-D傳輸次序來傳輸晶圓。
例示性S-D次系統400能包含五個控制器(414、424、434、444、454)。第一控制器414能連接到一或更多個第一S-D處理元件410,並能用來控制一或更多個第一S-D處理元件410及第一S-D真空預備元件415。此外,第一控制器414能連接411到資料傳輸次系統(106,圖1)。第二控制器424能連接到一或更多個第二S-D處理元件420,並能用來控制一或更多個第二S-D處理元件420及第二S-D真空預備元件425。此外,第二控制器424能連接421到資料傳輸次系統(106,圖1)。第三控制器434能連接到一或更多個第三S-D處理元件430,並能用來控制一或更多個第三S-D處理元件430及第三S-D真空預備元件435。此外,第三控制器434能連接431到資料傳輸次系統(106,圖1)。第四控制器444能連接到一或更多個第四S-D處理元件440,並能用來控制一或更多個第四S-D處理元件430及第四S-D真空預備元件445。此外,第四控制器444能連接441到資料傳輸次系統(106,圖1)。第五控制器454能連接到一或更多個第五S-D處理元件450,並能用來控制一或更多個第五S-D處理元件450及第五S-D真空預備元件455。此外,第五控制器454能連接451到資料傳輸次系統(106,圖1)。或者,可使用不同數量的控制器,可使用不同數量的處理元件,且資料傳輸次系統可有不同配置。
一或更多個控制器(414、424、434、444、454)能即時地產生、處理、修改、傳送及/或接收一或更多個訊息。第一S-D傳輸次系統460能連接466到資料傳輸次系統(106,圖1),並能即時地產生、處理、修改、傳送及/或接收一或更多個訊息。第二S-D傳輸次系統470能連接476到資料傳輸次系統(106,圖1),並能即時 地產生、處理、修改、傳送及/或接收一或更多個訊息。資料傳輸次系統106亦能用來即時地產生、處理、修改、傳送及/或接收一或更多個訊息。訊息能包括S-D資料及/或非S-D資料,且訊息能包括即時資料及/或歷史資料。
在某些實施例中,一或更多個晶圓能由第一S-D傳輸次系統460及/或第二S-D傳輸次系統470接收。晶圓的處理次序能由系統400來建立。舉例而言,晶圓及/或處理狀態資料能在晶圓接收後,在建立處理次序之前及/或之後來使用。或者,晶圓能由處理元件來接收。
一或更多個訊息能由一或更多個控制器(414、424、434、444、454)即時處理。一或更多個晶圓能在實質上同一時間由一或更多個次系統(410、420、430、440、450)處理。一或更多個訊息能用來決定晶圓的處理次序。舉例而言,藉使用第一真空預備元件415,第一晶圓能傳送到第一處理元件410;藉使用第二真空預備元件425,第二晶圓能傳送到第二處理元件420;藉使用第三真空預備元件435,第三晶圓能傳送到第三處理元件430;使用第四真空預備元件445,第四晶圓能傳送到第四處理元件440;且使用第五真空預備元件455,第五晶圓能傳送到第五處理元件450。此外,一或更多個訊息能包括晶圓資料、製程配方資料、剖面輪廓資料、模型資料、工具資料及/或處理資料。
一或更多個控制器(414、424、434、444、454)能用來決定如何及何時藉使用一或更多個S-D處理元件(410、420、430、440、450)來處理一或更多個晶圓。控制器能用來決定S-D次系統中之S-D處理元件於何時可利用,及/或S-D次系統中之S-D處理元件於何時無法利用。舉例而言,因為時間差的關係,S-D訊息及/或資料可能無法利用,而控制器能等待到S-D訊息及/或資料為可利用為止。此外,當新(已更新)S-D資料無法可利用時,晶圓能用未更新之S-D資料來處理。
在某些實施例中,待處理之晶圓的第一數量能夠藉使用第一 處理次序來建立。S-D次系統中之可利用處理元件的第二數量能藉由查詢S-D次系統中之一或更多個處理元件來辨識出。舉例而言,操作性狀態能為各處理元件來決定,針對第二數量之可利用處理元件而言,當一處理元件可為利用時,第一操作狀態能是第一數值,而當處理元件無法利用時,第一操作狀態能是第二數值。
當第二數量等於或大於第一數量時,第一數量的晶圓能傳輸到S-D次系統中之第二數量的可利用處理元件。當第二數量小於第一數量時,能執行一或更多個修正動作。
修正動作包括:1)盡可能處理最多的晶圓,並儲存剩餘的晶圓;2)盡可能處理最多的晶圓,並在處理元件變成可利用時立刻處理剩餘的晶圓;3)盡可能處理最多的晶圓,並在另一次系統中之處理元件變成可利用時,立即傳送一或更多個剩餘晶圓到該次系統。
在某些實施例中,能執行第一S-D幕罩程序。舉例而言,幕罩沉積程序能用第一S-D元件410來執行;曝光程序能用第二S-D元件420來執行;乾燥及/或檢驗程序能用第三S-D元件430來執行;重新改製程序能用第四S-D元件440來執行;且顯影程序能用第五S-D元件450來執行。在其他範例中,可置換成其他次系統,及/或能使用額外次系統。其他S-D處理次序能用來決定欲使用之次系統的數量及/或類型,以及何時使用它們。
在額外實施例中,能執行S-D量測程序。藉使用晶圓資料,S-D處理次序及/或S-D傳輸次序能為部分晶圓建立,且該等次序能包括S-D量測程序。S-D處理次序及/或S-D傳輸次序能用S-D處理元件(410、420、430、440、450)及傳輸次系統(401、460、470)來執行。舉例而言,第一非S-D傳輸次系統401及/或第二非S-D傳輸次系統能接收一數量的晶圓,該等晶圓能包括S-D及/或非S-D晶圓。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第一組晶圓。
各晶圓能具有與其有關之晶圓資料,且晶圓資料能包括S-D資料及/或非S-D資料。一或更多個晶圓在其之上具有一或更多個 評估結構。S-D及/或非S-D信賴度資料能為下列來決定:晶圓、次系統、處理元件、程序或處理結果資料,或上述任何組合。
第一組S-D量測晶圓能加以建立,且該第一組S-D量測晶圓中的各晶圓在其之上能具有一或更多個評估結構。第一組S-D量測晶圓能用S-D資料及/或非S-D資料來建立,且第一組S-D量測晶圓能傳輸到一或更多個S-D處理元件(410、420、430、440、450)。 舉例而言,可使用信賴度資料、晶圓狀態資料、處理次序資料或歷史資料。
第一S-D量測程序能為第一組S-D量測晶圓來加以決定,且第一組S-D量測晶圓係用第一S-D量測程序在第一S-D評估元件中接受測量。舉例而言,信賴度資料、晶圓狀態資料、處理次序資料或歷史資料可用來建立第一S-D量測程序。
藉使用一或更多個S-D傳輸次系統(460、470),第一組S-D量測晶圓能傳輸到第一S-D次系統400中的一或更多個第一S-D量測相關元件410。第一S-D傳輸次序、第一S-D處理次序或第一S-D量測程序,或上述任何組合,能用來決定一或更多個第一S-D量測相關元件410。該等一或更多個第一S-D量測相關元件410能執行第一S-D量測程序。
在某些實施例中,第一量測晶圓能從第一組S-D量測晶圓中選定,且第一涼測晶圓在其等之上能具有第一S-D評估特徵。能獲得的第一量測資料包括源自第一S-D特徵的第一S-D所測信號資料。第一S-D最佳估計信號資料及有關之第一S-D最佳估計結構能從S-D量測信號與有關結構的程式庫中選定。舉例而言,該等信號可包括:繞射信號及/或光譜、折射信號及/或光譜、反射信號及/或光譜或傳輸信號及/或光譜,或上述任何組合。
此外,S-D評估特徵能包括:幕罩結構、蝕刻結構、摻雜結構、填充結構、半填充結構、損壞結構、介電質結構、閘極結構、閘極電極結構、閘極堆疊結構、電晶體結構、鰭式場效電晶體(FinFET)結構、CMOS結構、光阻結構、週期式結構、對準結構、 溝渠結構、通孔結構、陣列結構或格柵板結構,或上述任何組合。
第一S-D差異能從第一S-D所測信號資料與第一S-D最佳估計信號資料之間來計算出,並藉使用第一S-D差異,第一S-D信賴度資料能為第一量測晶圓建立。第一S-D信賴度資料能與第一S-D產品需求做比較,並且,若達到一或更多個第一S-D產品需求,便能將第一量測晶圓辨識為第一高信賴度晶圓,並能繼續進行處理,或是,若未達到一或更多個第一S-D產品需求,便能施加第一修正動作。
S-D所測信號資料能從S-D評估結構或其他結構或上述任何組合來獲得。
當達到一或更多個第一S-D產品需求時,第一S-D評估特徵能用第一S-D最佳估計結構與有關之第一S-D最佳估計信號資料來辨識。
在某些實施例中,第一修正動作能包括:從S-D繞射信號與有關之結構的程式庫中,選定新S-D最佳估計信號資料與有關之新S-D最佳估計結構;計算介於第一S-D所測信號資料與新S-D最佳估計信號資料之間的新S-D差異;藉利用新S-D差異,為第一量測晶圓建立新S-D信賴度資料;比較新S-D信賴度資料與新S-D產品需求;以及,若達到一或更多個新S-D產品需求時,便將第一量測晶圓辨識為新高信賴度晶圓,並繼續進行處理,或是,當未達到一或更多個新S-D產品需求時,便停止選定、計算、建立、比較及辨識。當達到第一S-D剖面輪廓程式庫產生準則時,第一S-D評估特徵能用新S-D最佳估計結構與有關之新S-D最佳估計信號資料來辨識。或者,可用其他最佳估計資料,且可進行其他比較。
在其他實施例中,一第一修正動作能包括:從第一組S-D量測晶圓中,選定一第二量測晶圓,該第二量測晶圓上具有第一S-D評估特徵;獲得第二測量資料,該等第二測量資料包括源自第一S-D特徵的第二S-D所測信號資料;從S-D量測資料[繞射信號] 與有關之結構的程式庫中,選定第二S-D最佳估計信號資料與有關之第二S-D最佳估計結構;計算出介於第二S-D所測信號資料與第二S-D最佳估計信號資料之間的第二S-D差異;藉利用第二S-D差異,為第二量測晶圓建立第二S-D信賴度資料;將第二S-D信賴度資料比對第二S-D產品需求;以及,若達到一或更多個第二S-D產品需求時,便將第二量測晶圓辨識為第二高信賴度晶圓,並繼續進行處理,或是若未達到一或更多個第二S-D產品需求時,便施加一第二修正動作。
又在其他實施例中,一第一修正動作能包括:在量測晶圓上選定一第二S-D評估特徵;獲得第二量測資料,該等第二測量資料包括源自第二S-D特徵的第二S-D所測信號資料;從S-D量測資料[繞射信號]與有關之結構的程式庫中,選定第二S-D最佳估計信號資料與有關之第二S-D最佳估計結構;計算介於第二S-D涼測量信號資料與第二S-D最佳估計信號資料之間的第二S-D差異;藉利用第二S-D差異,為第一量測晶圓建立第二S-D信賴度資料;比較第二S-D信賴度資料與第二S-D產品需求;以及,若達到一或更多個第二S-D產品需求時,便將第一量測晶圓辨識為第二高信賴度晶圓,並繼續進行處理,或是,若未達到一或更多個第二S-D產品需求時,便施加一第二修正動作。
在某些實施例中,額外修正動作能包括:在一或更多個量測晶圓上選定額外S-D評估特徵;獲得額外量測資料,該等額外量測資料包括源自額外S-D特徵的額外S-D所測信號資料;從S-D量測資料與有關之結構的程式庫中,選定額外S-D最佳估計系號資料與有關之額外S-D最佳估計結構;計算介於額外S-D所測信號資料與額外S-D最佳估計信號資料之間的額外S-D差異;藉利用額外S-D差異,為一或更多個量測晶圓建立額外S-D信賴度資料;比較額外S-D信賴度資料與額外S-D產品需求;以及,若達到一或更多個額外S-D產品需求,便將一或更多個量測晶圓辨識為額外高信賴度晶圓,並繼續進行處理,或是,若未達到一或更 多個額外S-D產品需求,便停止選定、計算、建立、比較及辨識。
新位置選定後,程式庫產生規格便能加以使用。
在其他實施例中,雙重圖案化處理次序能用一或更多個S-D程序來執行。第一S-D傳輸次系統460及/或第二傳輸次系統470能接收第一組晶圓。第一組晶圓能傳輸到一或更多個第一S-D元件410。藉利用第一S-D幕罩沉積程序,一第一幕罩層能沉積在各晶圓上,且藉利用第一S-D評估程序,能建立第一組高信賴度晶圓。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第一組高信賴度晶圓。第一組高信賴度晶圓能傳輸到一或更多個第二S-D元件420。藉利用第一S-D曝光程序,各晶圓上的幕罩層能曝光在第一圖案化輻射中,且藉利用第二S-D評估程序,能建立第二組高信賴度晶圓。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第二組高信賴度晶圓。第二組高信賴度晶圓能傳輸到一或更多個第三S-D元件430。曝光層能用S-D顯影程序來顯影,且第三組高信賴度晶圓能用第三S-D評估程序來建立。第一組傳輸次系統460及/或第二S-D傳輸次系統470能接受第三組高信賴度晶圓。第三組高信賴度晶圓能傳輸到一或更多個第四S-D元件440。顯影後的晶圓能用S-D蝕刻程序來蝕刻,而各晶圓上一或更多個薄層能產生第一組蝕刻結構,且第四組高信賴度晶圓能用第四S-D評估程序來建立。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第四組高信賴度晶圓。第四組高信賴度晶圓能傳輸到一或更多個第五S-D元件450。一或更多個第一材料能用S-D沉積程序來沉積在各已蝕刻之晶圓上,而第一組填充結構能在晶圓上之一或更多個層中產生,且第五組高信賴度晶圓能用第五S-D評估程序來建立。
第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第五組高信賴度晶圓。第五組高信賴度晶圓能傳輸到一或更多個第一S-D元件410。第二幕罩層能用第二S-D幕罩沉積程序來沉積在各晶圓上,且第六組高信賴度晶圓能用第六S-D評估程序 來建立。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第六組高信賴度晶圓。第六組高信賴度晶圓能傳輸到一或更多個第二S-D元件420。藉利用第二S-D曝光程序,各晶圓上之第二幕罩層能曝光於第二圖案化輻射中,且第七組高信賴度晶圓能用第七S-D評估程序來建立。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第七高信賴度晶圓。第七組高信賴度晶圓能傳輸到一或更多個第三S-D元件430。第二曝光層能用第二S-D顯影程序來顯影,且第八組高信賴度晶圓能用第八S-D評估程序來建立。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第八高信賴度晶圓。第八高信賴度晶圓能傳輸到一或更多個第四S-D元件440。顯影後的晶圓能用第二S-D蝕刻程序來蝕刻,而第二組蝕刻結構能在各晶圓上一或更多個層中產生,且第九組高信賴度晶圓能用第九S-D評估程序來建立。第一S-D傳輸次系統460及/或第二S-D傳輸次系統470能接收第九組高信賴度晶圓。第九組高信賴度晶圓能傳輸到一或更多個第五S-D元件450。藉利用第二S-D沉積程序,一或更多個第二材料能沉積在已蝕刻晶圓上,而第二組填充結構能在各晶圓一或更多個層中產生,且第十組高信賴度晶圓能利用第十組S-D評估程序來建立。
第一組高信賴度晶圓能藉由下列來建立:1a)在第一S-D幕罩產生程序期間,從一或更多個幕罩產生評估位置獲得S-D信賴度資料;2a)將第一組晶圓中之各晶圓的S-D信賴度資料與為一或更多個幕罩產生評估位置所建立的一或更多個信賴度需求做比較;及3a)若達到第一幕罩產生信賴度需求時,將第一組晶圓中之一晶圓辨識為第一組高信賴度晶圓的一成員。
第二組高信賴度晶圓能藉由下列建立:1b)在S-D曝光程序期間,從一或更多個曝光相依性位置獲得S-D信賴度(映射)資料;2b)將第一組高信賴度晶圓中之各晶圓的S-D信賴度(映射)資料與為一或更多個曝光相依性位置所建立的一或更多個曝光信賴度(映射) 需求做比較;及3b)若達到第一曝光相關信賴度(映射)需求時,便將第一組高信賴度晶圓中之一晶圓辨識為第二組高信賴度晶圓中之一成員。
第三組高信賴度晶圓能由下列建立:1c)在S-D顯影程序期間,從一或更多個顯影相依性位置獲得S-D信賴度(映射)資料;2c)將第二組高信賴度晶圓中之各晶圓的S-D信賴度(映射)資料與為一或更多個顯影相依性位置所建立的一或更多個信賴度(映射)需求做比較;及3c)若達到第一顯影相關信賴度(映射)需求時,便將第二組高信賴度晶圓中之一晶圓辨識為第三組高信賴度晶圓中的一成員。
第四組高信賴度晶圓能由下列建立:1d)在S-D蝕刻程序期間,從一或更多個蝕刻相依性位置獲得S-D信賴度(映射)資料;2d)將第三組高信賴度晶圓中之各晶圓的S-D信賴度(映射)資料與為一或更多個蝕刻相依性位置所建立的一或更多個信賴度(映射)需求做比較;及3d)若達到第一蝕刻相關信賴度(映射)需求時,便將第三組高信賴度晶圓中之一晶圓辨識為第四組高信賴度晶圓中的一成員。
第五組高信賴度晶圓能由下列建立:1e)在S-D沉積程序期間,從一或更多個沉積相依性位置獲得S-D信賴度(映射)資料;2e)將第四組高信賴度晶圓中之各晶圓的S-D信賴度(映射)資料與為一或更多個沉積相依性位置所建立的一或更多個信賴度(映射)需求做比較;及3e)若達到第一沉積相關信賴度(映射)需求時,便將第四組高信賴度晶圓中之一晶圓辨識為第五組高信賴度晶圓中的一成員。
額外高信賴度晶圓組能用類似程序建立。
評估位置能包括:處理相依性位置、量測相依性位置、檢驗相依性位置、層相依性位置、晶圓相依性位置;S-D信賴度資料能包括S-D(幕罩產生)資料的信賴值,該等S-D資料包括:正確度資料、S-D處理資料、S-D量測資料、S-D檢驗資料、S-D模擬資 料、S-D預測資料或S-D歷史資料,或上述任何組合;及第一幕罩產生信賴度需求能包括幕罩產生資料的信賴度資料限制,該等信賴度資料限制包括:正確度限制、處理資料限制、量測資料限制、檢驗資料限制、模擬資料限制、預測資料限制及/或歷史資料限制。
在某些額外實施例中,第一非S-D傳輸次系統401及/或第二非S-D傳輸次系統402能接收S-D及/或非S-D晶圓。S-D晶圓能傳輸到第一S-D傳輸次系統460及/或第二S-D傳輸次系統470。與晶圓相關的資料能包括S-D信賴度資料及/或非S-D信賴度資料。
第一組S-D晶圓能用S-D信賴度資料及/或非S-D信賴度資料來建立,且第一S-D處理次序能為第一組S-D晶圓來決定。藉使用第一S-D處理次序,第一組S-D晶圓能在一或更多個S-D元件(410、420、430、440、450)中受處理,且晶圓狀態資料能用來建立第一S-D處理次序。第一組S-D晶圓能傳輸到一或更多S-D處理元件(410、420、430、440、450),且第一S-D處理次序能用來決定一或更多個第一S-D處理元件。
此外,第一S-D次系統處理資料能在藉使用第一組S-D晶圓來執行第一S-D處理次序之事先、期間及/或事後收集,而利用晶圓資料及/或第一S-D次系統處理資料,第一S-D信賴度資料能為第一組S-D晶圓中之一或更多個晶圓來建立。在某些範例中,藉利用第一S-D次系統處理資料,第一S-D信賴值能為第一組S-D晶圓中之第一S-D晶圓建立。第一S-D晶圓的第一S-D信賴值能與第一S-D信賴值限制做比較。若達到第一S-D信賴值限制,第一組S-D晶圓的處理能繼續進行,或是若未達到第一S-D信賴值限制,便能施加第一S-D修正動作。第一S-D修正動作能包括:藉利用第一S-D次系統處理資料,為第一組S-D晶圓中之一或更多個額外晶圓建立S-D信賴值;將一或更多個額外晶圓的S-D信賴值與額外第一S-D信賴值限制做比較;及,若達到一或更多個 額外第一S-D信賴值,便繼續處理第一組S-D晶圓,或是,若未達到一或更多個額外第一S-D信賴值,則停止建立及比較。
藉利用S-D信賴度資料及/或非S-D信賴度資料,亦能建立其他組的S-D晶圓,且其他S-D處理次序能為其他組的S-D晶圓來決定。其他組S-D晶圓能用其他S-D處理次序在其他S-D次系統中接受處理,且晶圓狀態資料能用來建立其他S-D處理次序。其他組晶圓能傳輸到其他S-D次系統中的一或更多個其他S-D處理元件,且其他S-D處理次序能用來決定一或更多個其他S-D處理元件。舉例而言,其他組S-D晶圓能傳輸到一或更多個其他S-D次系統中的一或更多個S-D處理元件。
在某些晶圓處理期間,第一組非S-D晶圓能用S-D信賴度資料及/或非S-D信賴度資料來建立,且第一非S-D處理次序能為第一組非S-D晶圓來決定。在某些情況下,藉利用第一非S-D處理次序,第一組非S-D晶圓能在非S-D次系統中接受處理,且晶圓狀態資料能用來建立第一非S-D處理次序。第一組非S-D晶圓能傳輸到非S-D次系統中的一或更多個非S-D處理元件,且第一非S-D處理次序能用來決定一或更多個第一非S-D處理元件。舉例而言,第一組非S-D晶圓能傳輸到一或更多個其他次系統中的一或更多個非S-D處理元件。
在各式實施例中,藉使用非S-D處理次序,非S-D晶圓能在非S-D次系統中接受處理,或藉使用非S-D處理次序,非S-D晶圓能在S-D次系統中接受處理,或藉使用非S-D處理次序,非S-D晶圓能在非S-D次系統中接受處理,並且晶圓狀態資料能用來建立處理次序。此外,非S-D晶圓能用非S-D傳輸次序及/或S-D傳輸次序來傳輸。處理次序能用來決定傳輸次序。
此外,第一非S-D次系統處理資料能在第一非S-D處理次序用第一組非S-D晶圓來執行之事前、之間及/或事後來收集,而利用晶圓資料及/或第一非S-D次系統處理資料,第一非S-D信賴度資料能為第一組非S-D晶圓中之一或更多個晶圓來建立。在其他 範例中,藉利用第一非S-D次系統處理資料,第一非S-D信賴值能為第一組非S-D晶圓中之第一非S-D晶圓來建立。第一非S-D晶圓的第一非S-D信賴值能與第一非S-D信賴值限制做比較。若達到第一非S-D信賴值限制,第一組非S-D晶圓的處理能繼續進行,或是,若未達到第一非S-D信賴值限制,便能施加第一非S-D修正動作。第一非S-D修正動作包括:藉使用第一非S-D次系統處理資料,為第一組非S-D晶圓中之一或更多個額外晶圓建立非S-D信賴值;將一或更多個額外晶圓的非S-D信賴值與額外第一非S-D信賴值限制做比較;及,若是達到一或更多個額外第一非S-D信賴值限制時,便繼續處理非S-D晶圓,或是若未達到一或更多個額外第一非S-D信賴值限制時,便停止建立與比較。
其他組非S-D晶圓亦能用S-D信賴度資料及/或非S-D信賴度資料來建立,且其他非S-D處理次序能為其他組非S-D晶圓來決定。其他組非S-D晶圓能在其他非S-D次系統中用其他非S-D處理次序來接受處理,且晶圓狀態能用來建立其他非S-D處理次序。其他組非S-D晶圓能傳輸到其他非S-D次系統中的一或更多個其他非S-D處理元件,且其他非S-D處理次序能用來決定一或更多個其他非S-D處理元件。舉例而言,其他組非S-D元件能傳輸到一或更多個其他次系統中的一或更多個處理元件。
S-D處理次序及/或非S-D處理次序能包括:一或更多個塗布程序、一或更多個蝕刻程序、一或更多個熱處理程序、一或更多個曝光程序、一或更多個氧化程序、一或更多個氮化程序、一或更多個顯影程序、一或更多個微影程序、一或更多個掃描器相關程序、一或更多個量測程序、一或更多個檢驗程序、一或更多個評估程序、一或更多個模擬程序、一或更多個預測程序、一或更多個重新改製程序、一或更多個儲存程序、一或更多個傳輸程序、一或更多個真空預備程序或一或更多個清潔程序,或上述任何組合。
S-D次系統及/或非S-D次系統能包括:一或更多個塗布次系 統、一或更多個蝕刻次系統、一或更多個熱處理次系統、一或更多個曝光次系統、一或更多個氧化次系統、一或更多個氮化次系統、一或更多個顯影次系統、一或更多個微影次系統、一或更多個掃描器相關次系統、一或更多個量測次系統、一或更多個檢驗次系統、一或更多個評估次系統、一或更多個模擬次系統、一或更多個預測次系統、一或更多個重新改製次系統、一或更多個儲存次系統、一或更多個傳輸次系統、一或更多個真空預備次系統或一或更多個清潔次系統,或上述任何組合。
S-D處理元件及/或非S-D處理元件能包括:一或更多個塗布處理元件、一或更多個蝕刻處理元件、一或更多個熱處理處理元件、一或更多個曝光處理元件、一或更多個氧化處理元件、一或更多個氮化處理元件、一或更多個顯影處理元件、一或更多個微影處理元件、一或更多個掃描器相關處理元件、一或更多個量測處理元件、一或更多個檢驗處理元件、一或更多個評估處理元件、一或更多個模擬處理元件、一或更多個預測處理元件、一或更多個重新改製處理元件、一或更多個儲存處理元件、一或更多個傳輸處理元件、一或更多個載所處理元件或一或更多個清潔處理元件,或上述任何組合。
圖5依據本發明之實施例,說明一方法的例示性流程圖,該方法用來驗證S-D特徵、S-D晶圓及/或S-D程序。
在510中,在一或更多個處理次系統中的一或更多個S-D處理元件能接收第一組S-D晶圓,且一或更多個S-D處理元件能連接到一或更多個S-D傳輸次系統,且能接收一或更多個晶圓的晶圓資料。或者,一或更多個S-D傳輸次系統能接收晶圓。晶圓資料能包括歷史及/或即時資料。晶圓狀態資料能為一或更多個晶圓建立,且晶圓狀態資料能包括S-D資料、晶片相依性資料及/或晶粒相依性資料。
在515中,S-D處理次序能為S-D晶圓來決定。在某些情況下,不同的S-D處理次序能為部分S-D晶圓來決定。或者,可建 立非S-D處理次序。
在520中,一或更多個晶圓能接受處理。在某些實施例中,藉由用一或更多個S-D處理元件執行第一S-D產生程序,能產生第一組未驗證S-D晶圓,且一或更多個未驗證評估特徵能產生在各未驗證S-D晶圓上之第一數量的評估位置。S-D晶圓狀態資料能為各未驗證晶圓建立,且S-D晶圓狀態資料能包括各未驗證S-D晶圓之所需產生位置的數量及所需評估位置的數量。
在525中,一查詢能執行來決定一或更多個S-D產生程序使否已經正確地執行。當一或更多個S-D產生程序正確地執行後,程序500能分支到步驟530,且當一或更多個S-D產生程序非正確地執行後,程序500能分支到步驟580。舉例而言,可使用工具資料、處理室資料、微粒資料、影像資料及/或故障資料。
在580中,晶圓能藉用一或更多個額外程序來接受事後處理,該事後處理能包括再測量、再評估、再重新改製及/或將晶圓從處理次序中移除。
在545中,S-D晶圓能用選定的位置來評估。在某些情況下,第一晶圓驗證資料能從第一S-D評估晶圓上的第一位置獲得。第一晶圓驗證資料能包括第一S-D量測資料及/或第一S-D檢驗資料,該等資料能藉使用執行於S-D量測元件中的S-D量測程序及/或執行於S-D檢驗元件中的第一S-D檢驗程序所獲得的。接著,第一已驗證資料能為在第一S-D評估晶圓上的第一位置來建立,且第一已驗證資料能包括能從歷史及/或即時資料庫中獲得的第一已驗證量測資料及/或檢驗資料。接著,藉使用第一晶圓驗證差異,第一信賴值能為第一S-D評估晶圓上之第一位置建立,且第一晶圓驗證差異能用第一晶圓驗證資料及第一已驗證資料來計算出。
藉使用第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,第一風險因素能為第一S-D評估晶圓上之第一位置來建立,且藉使用第一風險因素、第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,第一總風險因素能為第一S-D評 估晶圓來建立。
在550中,能執行一查詢來決定是否一或更多個S-D評估晶圓已經被驗證。當一或更個S-D評估晶圓已被驗證時,程序500能分支到步驟565,而當一或更個S-D評估晶圓未被驗證時,程序500能分支到步驟555。
當第一總風險因素小於或等於第一晶圓驗證限制時,第一S-D評估晶圓能辨識為具有與其相關之第一總風險因素的第一已驗證S-D晶圓,所剩餘位置的數量能幾少一個,所造訪位置的數量能增加一個,且與第一S-D評估晶圓有關之第一S-D產生程序能辨識為第一已驗證S-D程序。
當第一總風險因素大於第一晶圓驗證限制時,第一位置能辨識為具有與其有關之第一風險因素的第一未驗證位置,所剩餘位置的數量能幾少一個,所造訪位置的數量能增加一個。第一已驗證S-D評估晶圓能有與其有關之已驗證晶圓資料。
在555中,能執行一查詢來決定是否需要額外位置。當需要額外位置時,程序500能分支回到步驟540,而當不需要額外位置時,程序500能分支到步驟555。
當現行晶圓需要額外位置時,能執行下列步驟:a)從第一S-D評估晶圓上之該數量的所需位置中選定一新位置,其中,新位置具有與其有關之新未驗證評估特徵,該特徵用第一S-D產生程序來產生;b)從第一S-D評估晶圓上之新位置獲得新晶圓驗證資料,其中,該新晶圓驗證資料包含新S-D量測及/或新S-D檢驗資料;c)建立第一S-D評估晶圓上之新位置的新已驗證資料,其中,該新已驗證資料包括新已驗證量測及/或檢驗資料;d)藉利用由使用新晶圓驗證資料及新已驗證資料所計算出的新晶圓驗證差異,建立第一S-D評估晶圓上之新位置的新信賴值;e)藉使用新信賴值、新晶圓驗證差異、第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,建立第一S-D評估晶圓上之新位置的新風險因素;f)藉使用新風險因素、新信賴值、新晶圓驗證差異、第一風險 因素、第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,建立第一S-D評估晶圓的新總風險因素;g)當新總風險因素小於或等於新晶圓驗證限制時,將第一S-D評估晶圓辨識為具有與其有關之新總風險因素的第一已驗證S-D晶圓,減少一個所需位置的數量,增加一個所造訪位置的數量,且將與第一S-D評估晶圓有關之第一S-D產生程序辨識為新已驗證S-D程序;h)當新總風險因素大等於新晶圓驗證限制時,將新位置辨識為具有與其有關之新第一風險因素的新未驗證位置,減少一個所需位置的數量,增加一個所造訪位置的數量,其中第一已驗證晶圓具有與其有關之新已驗證晶圓資料;i)當所需位置數量大於零時,重複步驟a)~h);及j)當所需位置數量等於零時,停止S-D程式庫產生程序。
或者,可使用其他程序。
在560中,一查詢能執行來決定是否需要額外評估晶圓。當需要額外評估晶圓時,程序500能分支到步驟535,而當不需要額外評估晶圓時,程序500能分支到步驟565。
當需要一額外評估晶圓時,能執行下列步驟:a1)選定一額外S-D評估晶圓;b1)決定額外S-D評估晶圓之第一數量的所需位置;c1)從額外S-D評估晶圓上從第一數量的所需位置中選定一額外位置,其中,該額外位置具有與其有關並用第一S-D產生程序所產生的額外未驗證評估特徵;d1)從額外S-D評估晶圓上之額外位置獲得額外晶圓驗證資料,其中,額外晶圓驗證資料包括額外S-D量測資料及/或S-D檢驗資料;e1)為額外S-D評估晶圓上之額外位置建立額外已驗證資料,其中,額外已驗證資料包括額外已驗證量測及/或檢驗資料;f1)藉利用由使用額外晶圓驗證資料及額外已驗證資料所計算出的額外晶圓驗證差異,為額外S-D評估晶圓上之額外位置建立額外信賴值;g1)藉使用額外信賴值、額外晶圓驗證差異、新信賴值、新晶圓驗證差異、第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,為額外S-D評估晶圓 上之額外位置建立額外風險因素;h1)藉使用額外風險因素、額外信賴值、額外晶圓驗證差異、新風險因素、新信賴值、新晶圓驗證差異、第一風險因素、第一信賴值、第一晶圓驗證差異或晶圓資料,或上述任何組合,為額外S-D評估晶圓建立額外總風險因素;i1)當額外總風險因素小於或等於額外晶圓驗證限制時,將額外S-D評估晶圓辨識為具有與其相關之額外總風險因素的額外已驗證S-D晶圓,將所需位置的數量減少一個,將所造訪位置的數量增加一個,且在評估程式庫中,將與額外位置有關之資料儲存為已驗證資料;j1)當額外總風險因素大於額外晶圓驗證限制時,將額外S-D評估晶圓辨識為具有與其相關之額外第一風險因素的額外未驗證S-D晶圓,將所需位置的數量減少一個,將所造訪位置的數量增加一個,其中,額外已驗證晶圓具有與其有關之額外已驗證晶圓資料;k1)當額外S-D評估晶圓可利用時,並且額外S-D評估晶圓上之所需位置的數量大於零時,重複步驟a1)~j1);及11)當額外S-D評估晶圓無法利用時,或額外S-D評估晶圓上之所需位置的數量等於零時,停止S-D程式庫產生處理。
在565中,一查詢能執行來決定是否需要額外產生晶圓。當需要額外評估晶圓時,程序500能分支回到步驟515,且處理能進行,如圖5所示。當不需要額外評估晶圓時,程序500能分支到步驟570。程序500能在570結束。
例示性第一修正動作能包括:藉利用S-D評估晶圓的第一數量與可利用評估元件的第一數量之間的差異,決定受延遲S-D晶圓的第一數量;及藉利用S-D傳輸次系統中之一或更多個傳輸元件,以第一段時間儲存及/或延遲第一數量的受延遲S-D晶圓,其中,傳輸元件包括支撐二或更多個晶圓的裝置。
額外修正動作能包括:藉使用S-D評估晶圓的第一數量與可利用評估元件的第一數量之間的差異,決定受延遲S-D晶圓的第一數量;為第一受延遲S-D評估晶圓決定已更新S-D晶圓狀態資料;為第一評估次系統中之一或更多個S-D評估元件決定已更新 可操作狀態資料;為第一受延遲S-D評估晶圓決定第一已更新傳輸次序;藉利用已更新可操作狀態資料,辨識出一或更多個剛才可利用S-D評估元件;當第一剛才可利用S-D評估元件可利用時,藉利用第一已更新傳輸次序,將第一受延遲S-D評估晶圓傳輸至一或更多個評估次系統中的第一剛才可利用S-D評估元件;當第一剛才可利用S-D評估元件無法利用時,便施加一第二修正動作。
其他修正動作包括:停止處理、暫停處理、再評估一或更多個S-D評估晶圓、再測量一或更多個S-D評估晶圓、再檢驗一或更多個S-D評估晶圓、再重新改製一或更多個S-D評估晶圓、儲存一或更多個S-D評估晶圓、清潔一或更多個S-D評估晶圓、延遲一或更多個S-D評估晶圓或剝除一或更多個S-D評估晶圓,或上述任何組合。
此外,S-D信賴度地圖及/或S-D風險評估地圖能用來驗證一晶圓。
圖6依據本發明之實施例,說明產生S-D評估程式庫用之方法的例示性流程圖。一或更多個處理次系統中之一或更多個S-D處理元件能接收第一組S-D晶圓,且一或更多S-D處理元件能連接到一或更多個S-D傳輸次系統。各晶圓能具有與其有關之晶圓資料,且晶圓資料包括歷史及/或即時資料。或者,一不同的次系統能接收晶圓。晶圓狀態資料能為一或更多個晶圓建立,且晶圓狀態資料能包括S-D資料、晶片相依性資料及/或晶粒相依性資料。此外,一或更多個S-D處理次序能為晶圓建立,且S-D處理次序能用S-D晶圓狀態資料、晶片相依性晶圓狀態資料及/或晶粒相依性晶圓狀態資料來建立。
各S-D晶圓能建立有晶圓狀態資料,且晶圓狀態資料包括各晶圓之所需產生位置的數量及所需評估位置的數量。
在610中,一程式庫產生處理次序能建立來產生S-D評估資料的程式庫,且該程式庫產生處理次序能用晶圓狀態資料產生。程式庫產生處理次序能包括S-D傳輸程序、S-D產生程序或S-D 評估程序,或上述任何組合。
在620中,待處理之第一數量的S-D處理晶圓能用第一程式庫產生處理次序來決定出,且第一S-D產生程序與第一S-D評估程序能用第一程式庫產生處理次序來決定。
第一操作性狀態能為一或更多個處理次系統中之複數個S-D處理元件來建立。藉利用一或更多個S-D處理元件之第一操作性狀態,能決定可利用處理元件的第一數量。
藉利用晶圓資料、晶圓狀態資料、S-D程序晶圓之第一數量或可利用處理元件之第一數量,或上述任何組合,能建立第一S-D傳輸次序。
在625中,當S-D處理晶圓之第一數量小於或等於可利用處理元件之第一數量時,藉利用第一S-D傳輸次序,第一數量的S-D處理晶圓能傳輸到一或更多個處理次系統中之第一數量的可利用處理元件。當S-D程序晶圓之第一數量大於可利用處理元件之第一數量時,便能施加一第一修正動作。
在630中,能執行第一S-D產生程序,且一或更多個程式庫相關參考特徵能產生在各S-D處理晶圓上之第一數量的評估位置。藉利用第一S-D產生程序,產生了已更新晶圓資料及/或已更新晶圓狀態資料,且已更新晶圓狀態資料能包括各S-D處理晶圓之所需評估位置的數量。
在635中,一查詢能執行來決定是否一或更多個S-D產生程序已正確地執行。當一或更多個S-D產生程序正確地執行後,程序600能分支到步驟640,而當一或更多個S-D產生程序並未正確地執行後,程序600能分支到步驟690。舉例而言,可使用工具資料、處理室資料、微粒資料、影像資料及/或故障資料。
在640中,待評估之S-D評估晶圓的第一數量能用第一S-D評估程序來決定出。藉利用已更新晶圓資料、已更新晶圓狀態資料、晶圓資料或晶圓狀態資料,或上述任何組合,能決定各S-D評估晶圓的所需評估位置數量。
第一操作性狀態能為一或更多個評估次系統中之複數個S-D評估元件來加以判斷,S-D傳輸次系統能連接到一或更多個S-D評估元件。
藉利用第一操作性狀態,能為一或更多個S-D評估元件決定第一數量的可利用評估元件。藉使用已更新晶圓資料、已更新晶圓狀態資料、晶圓資料、晶圓狀態資料、S-D評估晶圓之第一數量或可利用評估元件之第一數量,或上述任何組合,能建立第二S-D傳輸次序。
在645中,當S-D評估晶圓之第一數量小於或等於可利用評估元件之第一數量時,藉利用第二S-D傳輸次序,第一數量的S-D評估晶圓能傳輸到一或更多個評估次系統中之第一數量的可利用評估元件。當S-D評估晶圓之第一數量大於可利用評估元件之第一數量時,則能施加一第二修正動作。
在650中,一第一位置能從第一S-D評估晶圓上之該數量的所需位置中選定,且第一位置能具有與其有關並用第一S-D產生程序所產生之第一程式庫相關參考(評估)特徵。
在655中,能執行一評估程序。第一程式庫相關評估資料能從第一S-D晶圓上之第一位置獲得,且第一位置具有與其有關之第一程式庫相關量測及/或檢驗資料。第一預測資料能為第一S-D晶圓上之第一位置建立,且第一預測資料能包括預測量測及/或檢驗資料。藉利用由使用第一程式庫相關評估資料與第一預測資料所計算出的第一程式庫相關差異,第一位置能建立有第一信賴值。藉使用第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,第一位置能建立有第一風險因素。藉使用第一風險因素、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,第一位置能建立有第一總風險因素。
在660中,當第一總風險因素小於或等於第一程式庫相關產生限制時,第一位置作為第一已驗證位置,其能具有與其有關之第一總風險因素,所剩餘位置的數量能減少一個,所造訪位置的 數量能增加一個,且與第一位置有關之資料能作為已驗證資料儲存在評估程式庫中。當第一總風險因素大於第一程式庫相關產生限制時,第一位置能具有與其有關之第二風險因素的第一未驗證位置,所剩餘位置的數量能減少一個,所造訪位置的數量能增加一個。第一已驗證位置能具有與其有關之已驗證程式庫相關資料。
在665中,一查詢能執行來確認是否需要額外位置。當需要額外位置時,程序600能分支回到步驟650,而當不需要額外位置時,程序600能分支到步驟670。
當第一晶圓需要新位置時,一或更多個控制器能使用下列步驟:a)在第一S-D評估晶圓上,從該數量的所需位置中選定一新位置,其中,該新位置具有與其有關並使用第一S-D產生程序所產生的新程式庫相關參考特徵;b)在第一S-D評估晶圓上,從新位置獲得新程式庫相關評估資料,其中,新位置具有與其有關之新程式庫量測及/或檢驗資料;c)在第一S-D晶圓上,為新位置建立新已預測資料,其中,新已預測資料包含新已預測量測及/或檢驗資料;d)藉利用由使用新程式庫相關評估資料與新已預測資料所計算出的新程式庫相關差異,為新位置建立新信賴值;e)使用新信賴值、新程式庫相關差異、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,為新位置建立新風險因素;f)藉使用新風險因素、新信賴值、新程式庫相關差異、第一風險因素、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,為新位置建立新總風險因素;g)當新總風險因素小於或等於新程式庫相關產生限制時,將新位置辨識為具有與其有關之新總風險因素的新已驗證位置,將所需位置的數量減少一個,將所造訪位置的數量增加一個,且將與新位置相關之資料作為已驗證資料儲存在評估資料庫中;h)當新總風險因素大於新程式庫相關產生限制時,將新位置辨識為具有與其有關之新第二風險因素的新未驗證位置,減少一個所需位置數量,增加一個所造訪位置數量,其中,新已驗證資料具有與其有關之新已驗證程式庫相關資料;i)當所需 位置數量大於零時,重複步驟a)~h);及j)當所需位置數量等於零時,停止S-D程式庫產生程序。
在670中,一查詢能執行來決定是否需要一或更多個S-D評估晶圓。當需要一或更多個S-D評估晶圓時,程序600能分支到步驟645,而當不需要一或更多個S-D評估晶圓時,程序600能分支到步驟675。
當使用額外晶圓時,一或更多個控制器能使用下列步驟:a1)在額外S-D評估晶圓上,從該數量之所需位置中選定一額外位置,其中,該額外位置具有與其有關並使用第一S-D產生程序的額外程式庫相關參考(評估)特徵;b1)在額外S-D晶圓上,從額外位置獲得額外程式庫相關評估資料,其中,額外位置具有與其有關之額外程式庫相關量測及/或檢驗資料;c1)在額外S-D晶圓上,為額外位置建立額外已預測資料,其中,額外已預測資料包含額外已預測量測及/或檢驗資料;d1)藉利用由使用額外程式庫相關評估資料及額外已預測資料所計算出的額外程式庫相關差異,為額外位置建立額外信賴值;e1)藉使用額外信賴值、額外程式庫相關差異、新信賴值、新程式庫相關差異、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,為額外位置建立額外風險因素;f1)藉使用額外風險因素、額外信賴值、額外程式庫相關差異、新風險因素、新信賴值、新程式庫相關差異、第一風險因素、第一信賴值、第一程式庫相關差異或晶圓資料,或上述任何組合,為額外位置建立額外總風險因素;g1)當額外總風險因素小於或等於額外程式庫相關產生限制時,將額外位置辨識為具有與其有關之額外總風險因素的額外已驗證位置,將所需位置的數量減少一個,將所造訪位置的數量增加一個,且將與額外位置有關之資料作為已驗證資料儲存在評估程式庫中;h1)當額外總風險因素大於額外程式庫相關產生限制時,將額外位置辨識為具有與其有關之額外第二風險因素的額外未驗證位置,將所需位置的數量減少一個,將所造訪位置的數量增加一個,其中,額外已驗證位 置具有與其有關之額外已驗證程式庫相關資料;i1)當額外S-D評估晶圓可利用時,並且額外S-D評估晶圓上之所需位置數量大於零時,重複步驟a1)~h1);及j1)當額外S-D評估晶圓無法利用時,或額外S-D評估晶圓上之所需位置數量等於零時,停止S-D程式庫產生程序。
此外,在不同時間下,受延遲S-D評估晶圓能接受處理及/或評估。源自受延遲晶圓的資料一旦可利用時,便立即使用之。舉例而言,源自受延遲晶圓的資料能前授及/或反饋,以供其他程序使用。
在675中,一查詢能執行來決定是否需要額外產生晶圓。當需要額外產生晶圓時,程序600能分支回到步驟615,且處理能進行,如圖6所示。當不需要額外產生晶圓時,程序600能分支到步驟680。程序600能在680結束。
圖7說明利用S-D程序在晶圓上產生雙重金屬鑲嵌結構之方法的例示性流程圖。
在710中,S-D傳輸次系統能接收一或更多個晶圓,且晶圓資料能為一或更多個晶圓接收。或者,一不同次系統能接收晶圓。晶圓資料能包括歷史及/或即時資料。一或更多個晶圓能建立有晶圓狀態資料,且晶圓狀態資料能包括S-D資料、晶片相依性資料及/或晶粒相依性資料。此外,一或更多個S-D處理次序能為晶圓建立,且使用S-D晶圓狀態資料、晶片相依性晶圓狀態資料及/或晶粒相依性晶圓狀態資料,能建立S-D處理次序。
在第一例示性實施例中,回頭參考圖1,一S-D晶圓能夠由連接到第一微影次系統110的一或更多個S-D傳輸次系統(101、102)所接收。一或更多個控制器(114、119、124、129、134、139、144、149、154、159、195)能接收資料。在某些實施例中,當一晶圓被接收時,與該晶圓及/或晶圓批次有關之資料能被接收,且該等資料包括S-D及/或非S-D資料及/或訊息。舉例而言,該等資料能包括S-D地圖,如輸入之S-D晶圓及/或輸入之晶圓批次的信賴 度地圖、處理地圖、風險評估地圖、損壞評估地圖、參考地圖、量測地圖、預測地圖、成像地圖、程式庫相關地圖及/或晶圓相關地圖。該等資料能包括源自一或更多個與處理系統、主系統及/或另外處理系統有關之次系統的資料及/或訊息。舉例而言,S-D訊息及/或資料能用來決定及/或控制處理次序及/或傳輸次序。
該等資料能用來處理,以獲得能包括歷史及/或即時資料的晶圓資料。晶圓資料亦能為各晶圓來決定,且S-D晶圓資料能包括S-D晶圓狀態資料及S-D信賴度資料。
當額外S-D晶圓需要處理時,且當第一S-D處理元件可利用時,藉使用連接到一或更多個處理次系統的S-D傳輸次系統,額外S-D晶圓能傳輸到一或更多個處理次系統中的額外S-D處理元件;而當第一S-D處理元件無法利用時,藉使用連接到一或更多個處理次系統的S-D傳輸次系統,則能延遲額外S-D晶圓。S-D傳輸次系統中的傳輸元件能用來以一段時間儲存及/或延遲晶圓。
在715中,藉使用晶圓資料,一或更多個S-D處理次序能為各S-D晶圓建立。晶圓資料及/或S-D晶圓狀態資料能在晶圓被接收來為各S-D晶圓建立S-D處理程序之前及/或之後時使用。此外,藉使用第一S-D處理次序及/或S-D晶圓資料,第一處理次系統能為各晶圓辨識出。在一範例中,第一處理次序能建立來在晶圓上之一或更多個層中產生一數量的蝕刻特徵。
在第一例示性實施例中,能建立S-D雙重金屬鑲嵌(DD, Dual Damascene)處理次序,該S-D DD處理次序能包括第一鑲嵌產生程序、第一鑲嵌評估程序、第二鑲嵌產生程序及第二鑲嵌評估程序。第一組S-D處理晶圓能加以建立,且S-D晶圓資料能用來建立該第一組S-D處理晶圓。第一組S-D處理晶圓能用第一鑲嵌產生程序來處理。
在720中,未處理S-D晶圓能接受傳輸及/或受延遲。第一組S-D程序能為第一未處理S-D晶圓來決定,且第一S-D程序能包括一或多個處理相關程序。當第一S-D處理元件可利用時,藉利 用連接到第一處理次系統的S-D傳輸次系統,第一未處理S-D晶圓能傳輸到第一處理次系統中之第一S-D處理元件。當第一S-D處理元件無法利用時,藉利用連接到第一處理次系統的S-D傳輸次系統,能延遲第一未處理S-D晶圓。
在第一例示性實施例中,S-D傳輸次序能為第一組S-D處理晶圓建立。即時操作性狀態能為第一微影次系統(110)中之一或更多個第一S-D處理元件(112)來建立。當晶圓傳輸進出S-D處理元件時,操作性狀態能改變。即時傳輸次序能加以建立,並用來傳輸晶圓進出微影相關次系統中的第一S-D處理元件(110)。此外,亦能使用內部傳輸裝置113。即時傳輸次序能建立並隨時間改變。當第一數量的第一S-D處理元件可利用時,藉利用S-D傳輸次系統,第一數量的第一組S-D處理晶圓能傳輸到第一微影次系統(110)中之第一數量的第一S-D處理元件(112)。當第一組S-D處理晶圓中之其他S-D晶圓無法利用第一S-D處理元件時,藉利用S-D傳輸次系統,第一組S-D處理晶圓中之其他S-D晶圓能以第一段時間延遲。當第一組S-D處理晶圓傳輸時,能使用第一S-D傳輸次序。舉例而言,藉利用S-D傳輸次系統中之一或更多個傳輸元件,第一組S-D處理晶圓中之其他S-D晶圓能以第一段時間延遲。傳輸元件能用以支撐二或更多個晶圓。第一組S-D處理晶圓中之其他S-D晶圓能在第一段時間後接受處理。當S-D晶圓受延遲時,能建立新S-D傳輸次序。
當已辨識出受延遲未處理S-D晶圓時,能為受延遲未處理S-D晶圓決定已更新晶圓狀態資料。在第一延遲時段之後,已更新操作性狀態資料能為一或更多個處理次系統中之一或更多個S-D處理元件來決定,且一或更多個剛才可利用S-D處理元件能用已更新操作性狀態資料來辨識出。當剛才可利用S-D處理元件可利用時,藉使用連接到一或更多個處理次系統的S-D傳輸次系統,受延遲未處理S-D晶圓能傳輸到一或更多個處理次系統中之第一剛才可利用S-D處理元件。當第一S-D處理元件無法利用時,藉使 用連接到處理次系統的一或更多個S-D傳輸次系統,第一受延遲未處理S-D晶圓能以第二段時間延遲。受延遲未處理S-D晶圓能在以第二段時間延遲後,接受事後處理,且事後處理能包括停止處理、暫停處理、再評估一或更多個晶圓、再測量一或更多個晶圓、再檢驗一或更多個晶圓、再重新改製一或更多個晶圓、儲存一或更多個晶圓、清潔一或更多個晶圓或剝除一或更多個晶圓,或上述任何組合。
一或更多個S-D晶圓能傳輸到由S-D處理次序為晶圓辨識出的一或更多個處理次系統中之一或更多個S-D處理元件。此外,一或更多個S-D晶圓能用S-D傳輸次序傳輸。
在725中,一或更多個S-D晶圓能在一或更多個處理次系統中之一或更多個S-D處理元件接受處理。第一S-D程序能用來處理第一未處理S-D晶圓,且第一S-D程序能包括一或更多個處理相關程序。在替代實施例中,一或更多個晶圓能在非S-D次系統中受處理。舉例而言,S-D理次序中的第一程序能在第一處理次系統中執行,且S-D處理次序中之額外程序能在額外次系統中執行。
當執行第一S-D驗證程序時,第一組未驗證S-D驗證晶特徵能產生在第一驗證晶圓上,且第一組未驗證S-D驗證特徵能包括在第一驗證晶圓上之第一位置的第一未驗證驗證特徵。
當已識別出額外未處理S-D晶圓時,其能用第一S-D程序來處理。額外第一組的未驗證S-D驗證特徵能產生在額外驗證晶圓上,且額外第一組的未驗證S-D驗證特徵能包括各額外驗證晶圓上之第一位置的第一未驗證驗證特徵。
當已辨識出受延遲未處理S-D晶圓時,其在較晚時間能用第一S-D程序來處理。額外第一組未驗證S-D驗證特徵能產生在受延遲驗證晶圓上,且額外第一組未驗證S-D驗證特徵能包括各受延遲驗證晶圓上之第一位置的第一未驗證驗證特徵。或者,另外未驗證S-D程序能用額外未處理晶圓來執行。
繼續進行第一例示性實施例,當第一鑲嵌層正在產生時,能執行第一產生程序,而當第二鑲嵌層正在產生時,能執行第二產生程序。在第一產生程序期間,第一數量之第一組S-D處理晶圓能用第一鑲嵌產生程序來處理,且第一組已處理晶圓能加以建立。第一鑲嵌產生程序能用來產生第一組S-D鑲嵌特徵在第一數量之第一組S-D晶圓之上,且第一組S-D鑲嵌特徵能包括各個第一處S-D處理晶圓上之一或更多個位置的一或更多個驗證特徵。在第二產生程序期間,第一數量的第二組S-D處理晶圓能用第二鑲嵌產生程序來處理,且第二組已處理晶圓能加以建立。第二鑲嵌產生程序能用來產生第二組S-D鑲嵌特徵在第一數量之第二組S-D晶圓之上,且第二組S-D鑲嵌特徵能包括各個第二組S-D處理晶圓上之一或更多個位置的一或更多個第二驗證特徵。在第二產生程序期間及/或之後,第二組S-D評估晶圓能加以建立,且第二組S-D評估晶圓能包括一或更多個第二組已處理晶圓。此外,在第二產生程序期間及/或之後,第二組S-D評估晶圓能加以建立,且第二組S-D評估晶圓能包括一或更多個第二組已處理晶圓。
在730中,一或更多個已處理S-D晶圓能接受傳輸及/或接受延遲。在各式實施例中,已處理S-D晶圓能是:位置驗證、程序驗證、晶圓驗證、特徵驗證、影像驗證、程式庫驗證或處理驗證的晶圓,或上述任何組合。當S-D評估元件可利用時,藉使用連接到一或更多個評估次系統的S-D傳輸次系統,已處理S-D晶圓能傳輸到一或更多個評估次系統中的S-D評估元件;而當S-D評估次系統無法利用時,藉使用連接到一或更多個評估次系統的S-D傳輸次系統,能延遲已處理S-D晶圓。
當已辨識出受延遲S-D已處理晶圓時,已更新晶圓資料能為受延遲已處理晶圓來決定。在第一延遲時段之後,能為第一評估次系統中之一或更多個S-D評估元件決定已更新操作性狀態資料,且一或更多個剛才可利用S-D評估元件能用已更新操作性狀態資料來辨識出。當剛才可利用S-D評估元件可利用時,藉使用 連接到一或更多個評估次系統中的S-D傳輸次系統,受延遲、已處理之S-D晶圓能傳輸到一或更多個評估次系統中之第一剛才可利用S-D評估元件。當第一S-D評估元件無法利用時,藉使用連接到第一處理次系統的一或更多個S-D傳輸次系統,第一受延遲、已處理之S-D晶圓能以第二段時間延遲。受延遲已處理S-D晶圓在延遲第二段時間之後,能接受事後處理,事後處理能包括停止處理、暫停處理、再評估一或更多個晶圓、再測量一或更多個晶圓、再檢驗一或更多個晶圓、再重新改製一或更多個晶圓、儲存一或更多個晶圓、清潔一或更多個晶圓或剝除一或更多個晶圓,或上述任何組合。藉使用S-D傳輸次系統中的傳輸元件,一或更多個晶圓能以一或更多個時段延遲,且傳輸元件能包括支撐二或更多個晶圓的裝置。
又繼續進行第一例示性實施例,第一組評估晶圓中之各S-D晶圓能建立有第二S-D傳輸次序。即時操作性狀態能為評估次系統(150)中之一或更多個第一S-D評估元件(152)來建立。當晶圓傳輸進出S-D評估元件(152)時,操作性狀態能改變。即時傳輸次序能加以建立,並用來傳輸晶圓進出評估次系統(150)中的第一S-D評估元件(152)。或者,檢驗次系統(135)中的S-D評估元件(137)能加以使用。當第一數量的第一S-D評估元件可利用時,藉使用S-D傳輸次系統(101、102),第一數量的第一組S-D評估晶圓能傳輸到評估次系統(150)中之第一數量的第一S-D評估元件(152)。當第一組S-D評估晶圓中的其他S-D晶圓無法利用第一S-D評估元件時,藉使用S-D傳輸次系統(101、102),第一組S-D評估晶圓中的其他S-D晶圓能以第二段時間延遲。舉例而言,藉使用S-D傳輸次系統(101、102)中之一或更多個傳輸元件(104),第一組S-D評估晶圓中的其他S-D晶圓能以第二段時間延遲。傳輸元件(104)能用以支撐二或更多個晶圓。第一組S-D評估晶圓中的其他S-D晶圓能在第二段時間之後接受評估。當欲作第二鑲嵌層之S-D晶圓需要傳輸時,能使用類似的一組步驟來進行。舉例而言,能使 用第三及第四傳輸次序。
在735中,一查詢能執行來決定晶圓是否需要評估。當晶圓需要評估時,程序700能分支到740,而當晶圓不需要評估時,程序700能分支到745。
在740中,一或更多個S-D晶圓上能選定一或更多個位置。在各式實施例中,S-D程序能使用位置,該等S-D程序包括:位置驗證程序、特徵驗證程序、影像驗證程序、程式庫驗證程序或處理驗證程序,或上述任何組合。一位置能從S-D晶圓上該數量的所剩餘位置中選定,且該位置能具有與其相關之未驗證或驗證特徵。
在745中,一或更多個已處理S-D晶圓能用源自一或更多個已選定位置的資料來評估。舉例而言,第一位置能是最重要的位置,部分驗證決策的做出只需用到第一位置。信賴度資料及/或風險評估資料能在評估程序中使用。舉例而言,使用未驗證資料與驗證資料之間的差異,已選定位置能建立有一或更多個信賴值,且S-D程序能建立有一或更多個已更新風險因素。
此外,已更新信賴值能使用源自一或更多個晶圓上之額外位置的額外信賴度資料來建立,總風險因素能用源自一或更多個晶圓上之額外位置的額外信賴度資料來建立與更新。其他風險評估資料亦能使用。在其他情況下,使用源自一或更多個晶圓上之一或更多個位置的信賴值及/或風險因素,能作出驗證決策。信賴值能為下列來決定:未處理晶圓、已處理晶圓或受延遲晶圓,或上述任何組合。
仍繼續進行第一例示性實施例,當第一鑲嵌層正被評估時,能執行第一評估程序,而當第二鑲嵌層正被評估時,能執行第二評估程序。在第一評估程序期間,能執行一或更多個S-D第一評估程序。第一數量之第一組S-D評估晶圓能用第一鑲嵌評估程序來評估,且能建立第一組已驗證晶圓。第一鑲嵌評估程序能用來評估產生在第一組S-D評估晶圓上的第一組S-D鑲嵌特徵,且第 一組S-D鑲嵌特徵能包括各個第一組S-D評估晶圓上之一或更多個位置的一或更多個驗證特徵。在第二評估程序期間,能執行一或更多個S-D第二評估程序。第一數量之第二組S-D評估晶圓能用第二鑲嵌評估程序來評估,且能建立第二組已驗證晶圓。第二鑲嵌評估程序能用來評估產生在第二組S-D評估晶圓上的第二組S-D鑲嵌特徵,且第二組S-D鑲嵌特徵能包括各第二組S-D評估晶圓上之一或更多個位置的一或更多個第二驗證特徵。
在第一評估程序期間及/或之後,能建立第二組S-D處理,且第二組S-D處理能包括一或更多個第一組已驗證晶圓。
在745中,一查詢能執行來決定何時需要額外S-D評估晶圓。當額外S-D評估晶圓需要處理時,程序700能分支到740,而當不需要額外S-D評估晶圓時,程序700能分支到750。
在750中,一查詢能執行來決定何時需要額外S-D產生晶圓。當額外S-D產生晶圓需要處理時,程序700能分支到720,而當不需要額外產生晶圓時,程序700能分支到755。此外,額外驗證資料能從一或更多個額外S-D晶圓上之一或更多個位置獲得。額外信賴值能為額外S-D晶圓上之額外位置建立。額外風險因素亦能用額外信賴度資料來建立。更者,當驗證S-D程序時,源自受延遲S-D晶圓並在較晚時間受處理的資料能夠接受評估。
在755中,一查詢能執行來決定何時需要額外S-D及/或非S-D程序。當需要額外S-D及/或非S-D程序時,程序700能分支到715,而當不需要額外S-D及/或非S-D程序時,程序700能分支到760。程序700能在760結束。
在某些有多重步驟的範例中,微影相關及/或掃描器相關處理元件能執行能是S-D及/或非S-D的幕罩層沉積程序、幕罩層曝光程序及/或顯影程序,且S-D評估元件能用來驗證能是S-D及/或非S-D的幕罩層沉積程序、幕罩層曝光程序及/或顯影程序。此外,一或更多個層能用蝕刻相關處理元件來蝕刻,且蝕刻特徵能用一或更多個S-D評估元件來評估。
在其他有多重步驟的範例中,雙重金屬鑲嵌程序能在一或更多個晶圓上執行。在雙重金屬鑲嵌程序期間,能執行第一鑲嵌處理,隨後進行第二鑲嵌處理。在某些實施例中,能執行先通孔後溝渠(VFTL, Via First Trench Last)程序。在其他實施例中,能執行先溝渠後通孔(TFVL, Trench First Via Last)程序。在鑲嵌處理之前、期間及/或之後,能執行S-D量測、檢驗、驗證及/或評估程序。或者,可能需要一或更多個非S-D程序。舉例而言,第一圖案化鑲嵌層上的蝕刻特徵能能在執行「先通孔」或「先溝渠」蝕刻程序後測量。能使用一或更多個S-D資料收集(DC, data collection)計畫及/或S-D映射應用。或者可使用不同程序。
在微影程序期間,S-D晶圓厚度資料及/或晶圓溫度資料能用來:產生S-D幕罩(光阻)資料;產生S-D幕罩浸漬事後之清潔及/或乾燥資料;及產生S-D幕罩顯影及/或烘烤資料。此外,蝕刻次系統140能使用S-D晶圓厚度資料及/或晶圓溫度資料,以產生S-D蝕刻及/或灰化資料。舉例而言,該等資料能包括蝕刻化學資料、蝕刻時間資料、處理氣體比例資料、預期終點時間、加熱器功率資料及/或RF功率資料。此外,熱處理處理次系統130能使用S-D晶圓厚度資料及/或晶圓溫度資料,以產生S-D加熱及/或冷卻資料。檢驗次系統135能使用S-D晶圓厚度資料及/或晶圓溫度資料,以產生S-D檢驗、驗證及/或檢查資料。在其他範例中,重新改製次系統155能使用S-D晶圓厚度資料及/或晶圓溫度資料,以產生S-D重新改製程序。
圖8說明產生S-D評估程式庫的另一例示性流程圖。在所說明之程序800中,繪示有一數量的步驟。或者,可使用不同數量的步驟及不同的次序。
在810中,一或更多個S-D晶圓能用一或更多個S-D傳輸系統來接收。或者,亦可接收一或更多個非S-D晶圓。此外,能為一或更多個晶圓接收晶圓資料。晶圓資料能包括歷史及/或即時資料。或者,晶圓能由不同次系統接收。
在815中,能為一或更多個晶圓決定S-D晶圓資料及/或非S-D晶圓資料,該等晶圓能用一或更多個S-D傳輸系統接收。晶圓資料能用來建立數組的S-D及非S-D晶圓。在各式範例中,與S-D晶圓有關之S-D晶圓資料能夠是位置相依性、晶片相依性、產品相依性、區位相依性、層相依性、晶圓相依性或晶粒相依性的,或上述任何組合。此外,一或更多個S-D處理次序能為晶圓建立,且S-D處理次序能用S-D晶圓狀態資料、晶片相依性晶圓狀態資料及/或晶粒相依性晶圓狀態資料建立。
在820中,藉使用S-D傳輸系統,一或多個S-D晶圓能傳輸到一或更多個S-D處理元件。
在825中,能產生一或多個已處理S-D晶圓。已處理S-D晶圓能具有在其之上並用一或更多個S-D產生程序所產生在一或更多個位置的一或更多個S-D程式庫相關特徵。
在830中,一查詢能執行來決定一或更多個S-D產生程序是否已正確地執行。當一或更多個S-D產生程序正確地執行後,程序800能分支到步驟835,而當一或更多個S-D產生程序並未正確地執行後,程序800能分支到步驟880。舉例而言,可使用工具資料、處理室資料及/或故障資料。
一或更多組S-D評估晶圓能用一或更多組已處理S-D晶圓來建立。
在835中,藉使用S-D傳輸系統,一或更多組S-D評估晶圓能傳輸到一或更多個S-D評估元件。此外,藉使用S-D傳輸系統,能延遲及/或儲存一或更多組S-D評估晶圓。
在840中,藉使用傳輸到一或更多個S-D評估元件的一或更多個S-D評估晶圓,能執行一或更多個S-D評估程序。此外,當可利用一或更多個S-D評估元件時,藉使用在受延遲後傳輸至一或更多個S-D評估元件的一或更多個S-D評估晶圓,能執行一或更多個S-D評估程序。
在某些評估程序期間,藉由評估在第一S-D評估晶圓上之第 一位置的S-D程式庫相關特徵,第一S-D評估晶圓能建立有第一信賴度資料。第一S-D評估晶圓的第一信賴度資料能與一或更多個第一信賴值限制做比較,且不同程度的信賴度能與不同信賴值限制有關。
當達到第一信賴值限制時,第一程式庫相關參考特徵能辨識為具有與其有關之第一程度之信賴度的高信賴度特徵,第一S-D評估晶圓能辨識為具有與其有關之第一程度之信賴度的高信賴度晶圓,且有關於高信賴度特徵及第一S-D評估晶圓之第一程式庫相關評估資料能儲存在S-D評估程式庫。高信賴度特徵及S-D評估晶圓能具有與其等相關之一或更多個程度的信賴度。
在845中,一查詢能執行來決定是否一或更多個S-D評估程序已正確地執行。當一或更多個S-D評估程序正確地執行時,程序800能分支到步驟850,而當一或更多個S-D評估程序並未正確地執行時,程序800能分支到步驟880。舉例而言,可使用工具資料、處理室資料及/或故障資料。
在850中,當未達成一或更多個信賴值限制時,能執行一或更多個修正動作。
在855中,一查詢能執行來決定一額外評估晶圓是否需要評估。當額外評估晶圓需要評估時,程序800能分支到步驟835,而當額外評估晶圓不需要評估時,程序800能分支到步驟860。
在860中,一查詢能執行來決定額外產生晶圓是否可為後續處理所利用。當額外產生晶圓可利用時,程序800能分支到步驟810,而當額外產生晶圓無法利用時,程序800能分支到步驟870。程序800能在870結束。
在某些範例中,施加修正動作能包括下列步驟:a)決定第一S-D評估晶圓上之評估位置的最大數量;b)決定第一S-D評估晶圓上之評估位置的最小數量;c)為第一S-D評估晶圓產生第一信賴度地圖;d)決定第一S-D評估晶圓上之評估位置的所需數量;e)在第一S-D評估晶圓上選定一新位置;f)藉使用新S-D評估程序, 為第一S-D評估晶圓建立新信賴度資料,其中,第一S-D晶圓上之新位置的S-D程式庫相關特徵接受評估;g)為第一S-D評估晶圓加入新位置於第一信賴度地圖中;h)將新信賴度資料比較於第一S-D評估晶圓的新第一信賴值限制;i)將第一S-D評估晶圓上之新位置的S-D程式庫相關特徵辨識為具有與其有關之新第一程度信賴度的新高信賴度特徵;將第一S-D評估晶圓辨識為具有與其有關之新第一程度信賴度的高信賴度晶圓;以及,當達到新第一信賴值限制時,將與新高信賴度特徵及第一S-D評估晶圓有關之第一程式庫相關評估資料儲存在S-D評估程式庫中;j)當未達到新第一信賴值限制時,將第一S-D評估晶圓上之新位置的S-D程式庫相關特徵辨識為具有與其有關之新信賴度資料的新未驗證特徵,將所需位置的數量減少一個,且將所造訪位置的數量增加一個;k)當第一S-D評估晶圓上之所需位置的數量大於零時,重複e)~j);及1)當第一S-D評估晶圓上之所需位置的數量等於零時,停止第一S-D評估晶圓的評估。
在其他範例中,施加修正動作能包括下列步驟:a1)用S-D傳輸系統接收額外S-D晶圓;b1)用S-D傳輸系統將額外S-D晶圓傳輸到額外第一S-D處理元件;c1)產生一或更多個額外已處理S-D晶圓,其中,藉使用第一S-D產生程序,一或更多個S-D程式庫相關特徵產生在各額外S-D已處理晶圓上的一或更多個位置;d1)用額外已處理S-D晶圓來決定額外S-D評估晶圓;e1)用S-D傳輸系統將額外S-D晶圓傳輸到額外第一S-D評估元件;f1)藉使用額外第一S-D評估程序,為額外S-D評估晶圓建立額外第一信賴度資料,其中,額外S-D評估晶圓上之第一位置的S-D程式庫相關特徵接受評估;g1)為額外S-D晶圓比較額外第一信賴度資料與額外第一信賴值限制;h1)將位於在額外S-D評估晶圓之第一位置上的S-D程式庫相關特徵辨識為具有與其有關之額外第一程度信賴度的額外高信賴度特徵;將額外S-D評估晶圓辨識為具有與其有關之新第一程度信賴度的高信賴度晶圓;以及,當達到額外第一 信賴值限制時,將與額外高信賴度特徵及額外S-D評估晶圓有關之額外程式庫相關評估資料儲存在S-D評估程式庫中;及i1)當未達到額外第一信賴值限制時,施加一第二修正動作。
此外,施加第二修正動作能包括下列步驟:a2)決定額外S-D評估晶圓上之評估位置的最大數量;b2)決定額外S-D評估晶圓上之評估位置的最小數量;c2)為額外S-D評估晶圓產生第一信賴度地圖;d2)決定額外S-D評估晶圓上之評估位置的所需數量;e2)選定額外S-D評估晶圓上的一新位置;f2)藉使用額外新S-D評估程序,為額外S-D評估晶圓建立新額外信賴度資料,其中,位於在額外S-D評估晶圓之新位置上的S-D程式庫相關特徵接受評估;g2)將新位置加入額外S-D評估晶圓的第一信賴度地圖中;h2)針對額外S-D評估晶圓,將新額外信賴度資料與新第一信賴值限制做比較;i2)將位於在額外S-D評估晶圓之新位置上的S-D程式庫相關特徵辨識為具有與之有關之額外新第一程度信賴度的額外新高信賴度特徵;將第一S-D評估晶圓辨識為具有與其有關之額外新第一程度信賴度的高信賴度晶圓;以及,當達到額外新第一信賴值限制時,將與額外新高信賴度特徵及額外S-D評估晶圓有關之新額外程式庫相關評估資料儲存在S-D評估程式庫中;j2)當未達到額外新第一信賴值限制時,將位於在額外S-D評估晶圓之新位置上的S-D程式庫相關特徵辨識為具有與其有關之新信賴度資料的額外新未驗證特徵,將所需位置的數量減少一個,且將所造訪位置的數量增加一個;k2)當在額外S-D評估晶圓上的所需位置數量大於零時,重複步驟e2)~j2);及12)當所需位置數量等於零時,停止額外S-D評估晶圓的評估。
在某些範例中,第一位置能是最重要位置其中之一,且能基於一或更多個晶圓之第一位置資料的結果來作決策。
源自S-D及/或非S-D程序的資料能用來改變量測、檢驗、驗證及/或評估處理,並用來決定何時要建立新量測、檢驗、驗證及/或評估位置。此外,當晶圓之一或更多個區域的信賴值係低數值 時,或當錯誤已經發生時,一或更多個新位置能加以建立。再者,當針對一特定處理,信賴度地圖上的數值係保持高數值時,及/或當針對一特定處理,正確度數值係保持在可接受限制範圍之內時,可建立一新量測、檢驗、驗證及/或評估計畫,該等計畫使用較小數量的位置,並能減少各晶圓的生產時間。
在某些情況下,整個晶圓的資料能在S-D程序期間計算出。或者,可針對晶圓的一部分計算及/或預測資料。舉例而言,一部份可包括一或更多個徑向區域及/或扇形區域(quadrants)。當一或更多個所測量數值及/或計算/預測數值在晶圓所建立之正確度限制的範圍外時,便能宣示一錯誤狀況。部分錯誤能用S-D正確度改善程序來消除。其他錯誤能由次系統及/或控制器解決。
晶圓某些部分可具有不同信賴值的產品,且在產品發展週期中之許多不同階段下,S-D處理能用來獲得S-D晶圓的最大產量。
與處理結果及/或其他地圖有關的公差值及/或限制能用來辨識一或更多個處理中的可允許變化。此外,處理結果及/或其他地圖能用來為處理次序中之一或更多個處理建立信賴度資料及/或風險因素。舉例而言,處理結果及/或其他地圖可因應處理室清潔程序而變化,且S-D程序能用來改善及/或消除能發生在處理室清潔之後的「第一晶圓」問題。
在某些實施例中,S-D資料能包括層製造資訊,且層製造資訊能依不同層而有不同。新S-D層資料能在S-D程序期間獲得,且能用來更新及/或最佳化處理製程配方,能用來更新及/或最佳化處理模型,以及能用來更新及/或最佳化剖面輪廓資料。此外,S-D程序能傳送新S-D層資料到其他次系統及/或廠房系統的控制器。舉例而言,新S-D資料能包括新晶圓厚度資料及/或均勻性資料。
S-D程序能利用資訊,如位置ID、晶片ID、產品ID、次系統ID、時間、晶圓ID、slotID、晶圓批次ID、製程配方及/或圖案化結構ID,作為整理及編號晶圓資料的手段。
此外,S-D模型程序能產生、精煉及/或使用晶圓模型、正確 度模型、製程配方模型、光學屬性模型、結構模型、FDC模型、預測模型、信賴度模型、量測模型、蝕刻模型、沉積模型、第一晶圓效應模型、處理室模型、工具模型、偏移模型、延遲時間模型、電路效能模型或裝置模型,或上述任何組合。
S-D程序亦能使用歷史資料、晶圓資料、正確度資料、處理資料、光學屬性資料、結構資料、FDC資料、預測資料、信賴度資料、量測資料、蝕刻資料、處理室資料、工具資料、偏移資料、電路效能資料或裝置資料,或上述任何組合。
S-D參數能包括S-D層資訊。微影處理後,可提供S-D厚度資料,且S-D程序能用來將此資訊通訊給掃描器次系統。此外,沉積處理後,可提供厚度資料,且S-D程序能用來將此資訊通訊給其他次系統。藉由將S-D晶圓資料即時前授到量測及/或處理次系統,便能提供改善的晶圓處理。影響層厚度的材料變化及/或處理變化能依在位置到位置之間、晶圓到晶圓之間及晶圓批次到晶圓批次之間做改變。厚度變化能是因為沉積處理未均勻地橫跨晶圓面上,而此包括在處理室到處理室之間的變化及處理上隨時間的處理室偏移。厚度變化能導致光學屬性變化及/或熱處理變化的發生。S-D程序能用來減少及/或消除這些變化。
系統及/或次系統資料能包含非S-D及/或S-D資料,該等非S-D及/或S-D資料能包括:設定資料、組態資料、歷史資料、輸入資料、輸出資料、優先資料、延遲資料、故障資料、反應資料、錯誤資料、前授資料、反饋資料、通過資料、內部資料、外部資料、最佳化資料、狀態資料、時間資料、處理結果資料及/或測量資料。
在某些實施例中,S-D晶圓資料及/或晶圓資料能包括底部CD資料、中間CD資料、頂部CD資料或角度資料,或上述任何組合。舉例而言,次系統能包含蝕刻工具,且蝕刻工具能使用S-D新晶圓及/或處理狀態資料,以決定在晶圓上蝕刻一深溝渠時所使用的蝕刻時間,以決定在晶圓上蝕刻一雙重金屬鑲嵌結構時所使用的 蝕刻時間,以決定在晶圓上蝕刻一閘極結構時所使用的蝕刻時間。此外,即時處理資料能包括所計算CD、所計算深度及/或所計算側壁角度。
直到處理元件準備好接受晶圓之前,一S-D控制應用能用來防止晶圓被傳輸到處理元件。直到接收者準備好使用S-D訊息及/或資料之前,S-D控制器亦能用來防止S-D訊息及/或資料傳送出去。S-D控制應用能用延遲時間變數來延遲晶圓、計算、處理及/或量測。舉例而言,在S-D資料能被用來對晶圓做計算、處理及/或量測之前,延遲時間能用來防止S-D資料到達。延遲時間能藉使用晶圓資料、定序資料、控制資料及/或歷史資料來決定。一或更多個控制器(114、119、124、129、134、139、144、149、154、159)能使用延遲時間變數。
此外,當判斷及/或干涉規範與S-D程序有關時,其等規範便能執行。基於歷史程序、顧客經驗或處理知識或從主機電腦所獲得的,能執行干涉及/或判斷規範評估程序及/或限制。規範能用在S-D FDC程序中來決定如何反應警報狀況、錯誤狀況、故障狀況及/或警告狀況。FDC S-D程序能優先化及/或分類故障、預測系統效能、預測防止性維修排程、減少維修停機時間及延展系統中消耗性部件的使用期限。
次系統根據警報/故障的本質,能採取各種行動,以對警告/故障作出反應。對警告/故障所採取的行動能是基於背景的,該背景能是位置相依性的,並能由下列來指定:規格、系統/處理製程配方、處理室類型、辨識編號、承載埠編號、晶舟編號、批號、控制工作編號、處理工作編號、晶槽編號及/或資料類型。
基於輸入狀態、處理特徵及處理模型,一或更多個S-D模擬應用能用來運算晶圓的預測資料。S-D量測模型能用來預測及/或計算與低於65nm的設計節點有關之較小的結構及/或特徵。舉例而言,預測模型能包括處理化學模型、處理室模型、EM模型、SPC圖表、PLS模型、PCA模型、FDC模型及多變量分析(MVA, multivariate Analysis)模型。
當結構的實體尺寸縮小時,大部分的晶圓可需要即時、S-D的處理來獲得更準確的資料。此外,一些晶圓可用來驗證新S-D處理及/或來評估(assess)既有S-D處理。當一新S-D處理正在發展及/或驗證時,該處理結果係能夠變化,且評估(assessment)或驗證程序能在一較較大部分的晶圓上執行。當執行評估或驗證程序時,能使用S-D程序。
S-D處理次序能執行,並用來建立何時及如何來使用評估位置。基於儲存在歷史資料庫中的資料,S-D處理次序能由半導體製造商指定。舉例而言,半導體製造商在進行SEM量測時,可能已在之前選取了一數量的位置,並且希望將評估資料與用SEM工具、TEM工具及/或FIB工具所測量出的資料作關聯。此外,因為製造商對於處理當下與即將產出的高品質產品及/或裝置具有較佳信心,評估位置的數量便能減少。
一評估/檢驗/量測程序能是費時的,並能影響處理系統的產能。在處理流程期間,製造商可能期望最小化產生及評估晶圓用的時間。S-D程序能依背景而定,且不同S-D程序可基於晶圓的背景來執行。舉例而言,一或更多個晶圓可不會被測量及/或檢驗,而S-D程序可用包括在評估計畫中之評估位置的子集合來執行。
在半導體製程的一部分發展期間,S-D及/或非S-D歷史資料能產生及儲存,作為後續使用。S-D歷史資料能包括在一數量之位置的資料。
在執行一程序之前、之中及/或之後,模擬及/或預測資料能產生及/或修改。模擬及/或預測資料能包括S-D資料及/或非S-D資料。新模擬及/或預測資料能用來即時更新計算、模型及/或結果。此外,在執行一程序之前、之中及/或之後,信賴度資料能為模擬及/或預測資料來產生及/或修改。
S-D歷史資料能包括:GOF資料、熱處理資料、厚度資料、通孔相關資料、CD資料、CD剖面輪廓資料、材料相關資料、溝 渠相關資料、側壁角度資料、微分寬度資料,或上述任何組合。該等資料亦能包括:位置結果資料、位置數量資料、CD量測旗標資料、量測位置數量資料、X座標資料、Y座標資料及其他資料。
次系統能用S-D程序來即時調整製程配方及/或模型,以處理三維結構,如記憶體結構、雙重金屬鑲嵌結構、溝渠、通孔及多重閘極電晶體。此外,次系統能用S-D程序來即時調整評估、檢驗、驗證及/或量測製程配方及/或模型,以評估、檢驗、驗證及/或測量三維結構。三維結構能增加厚度變化的S-D感應度,並需要在多重方向有結構的模型及/或量測。評估次系統能導致產量問題,而藉由在程序中動態調整試樣區位(sampling locations)及結構,能獲得較高的測量產量。
在S-D半導體處理系統中,能出現多重處理及/或量測工具,使工具匹配成為一關鍵議題。在某些情況下,源自內部工具的資料必須要與源自外部及/或參考工具的資料匹配。S-D程序能用來作工具間的匹配,並能用來產生次系統需要的校準調整。這些調整能以R2R計算作出。
一或更多個S-D程序能用來促成用以交換S-D資料及交握的雙向通訊。S-D程序能查詢次系統、控制器及/或S-D程序,以得目前狀態與配置。藉由分離各裝置的獨特參數,以及藉由分配資訊到各裝置,S-D程序能用來通聯次系統中的多重裝置。舉例而言,S-D參數能被傳送到控制器、處理工具、量測工具、OES工具、RF感應器、照相機、光學感應器、CCDs、端點偵測器、溫度感應器及深度感應器。
當晶圓在次系統中用S-D資料處理後,藉由改變該晶圓的晶圓狀態資料,該已處理晶圓能辨識為已處理S-D晶圓;並且,與該晶圓相關的處理資料能辨識及/或儲存為新S-D處理資料。當晶圓在次系統中用非S-D資料處理後,藉由改變該晶圓的晶圓狀態資料,該已處理晶圓能辨識為已處理非S-D晶圓;並且,與該晶圓相關的處理資料能辨識及/或儲存為新非S-D處理資料。
該等晶圓資料能包括已處理晶圓的模型資料,該已處理晶圓在次系統中能產生、加強及/或修改。當使用S-D模型資料時,新模型及相關之模型參數能辨識及儲存為S-D模型與資料。而當使用非S-D資料時,模型及相關之模型參數能辨識及儲存為非S-D模型與資料。舉例而言,S-D模型與資料能儲存在S-D程式庫及/或資料庫,且非S-D模型與資料能儲存在非S-D程式庫及/或資料庫。當S-D或非S-D資料用來執行模擬時,模擬模型及/或模擬資料能辨識及/或儲存。
S-D程序能產生、使用、改變及/或驗證晶圓剖面輪廓資料。舉例而言,當尺寸縮小時,在對準、測量及/或處理期間,S-D晶圓剖面輪廓資料能具有較大的影響,且晶圓剖面輪廓資料能包括半徑資料、弧度資料、特徵資料、溫度資料及/或厚度資料。
在某些次系統中,S-D及/或非S-D晶圓資料能用來決定污染程度、污染機率及/或逸氣率(out-gassing rate)。在其他次系統中,沉積程序期間的噴嘴位址,及/或對準及/或量測程序期間的探針位址能加以決定。處理室中由晶圓所放射的能量多寡能加以決定。舉例而言,所使用之光學元件、噴嘴及/或探針可以是位址(position)敏感的、區位(location)敏感的、位置(site)敏感的及/或溫度敏感的。此外,晶圓之光學屬性及/或光學屬性之校準因素能加以決定。舉例而言,已處理幕罩及/或材料層的特徵能加以決定。
系統資歷能包含晶圓狀態資訊、區位資訊、量測資訊、供應商資訊、設計資訊、晶片佈局資訊、程式庫資訊、工具資訊或檢索資訊,或上述任何組合。
在某些實施例中,一或更多個次系統能接收一或更多個晶圓與相關之晶圓資料。次系統能包含用來在實質上同一時間處理一或更多個晶圓之一數量的處理元件。舉例而言,檢驗次系統能包括用來在實質上同一時間檢驗一或更多個晶圓的二或更多個檢驗元件/模組。與次系統相關的控制器能使用S-D處理次序,以決定各處理元件處理哪個晶圓。次系統之內部及/或外部的傳輸元件能 用來移動及/或儲存晶圓。此外,一或更多個次系統中之一或更多個處理件能用來非即時地處理一或更多個晶圓。現行晶圓能為各處理元件辨識出,各晶圓能建立有晶圓資料,且晶圓資料能包括即時及/或歷史晶圓資料。處理次序能包括內部及/或外部程序,在該等程序其中,晶圓能傳送至外部量測及/或處理工具。晶圓批次中的其他晶圓能傳送到其他次系統或其他整合量測(IM)工具。
本發明之又其他實施例提供用來產生S-D影像程式庫的方法,該方法能包含:從圖案化幕罩層之中及/或在其之上的第一S-D特徵,獲得第一S-D檢驗影像,第一S-D特徵係形成在位於晶圓上之第一預先決定的位置,且第一S-D檢驗物系統產生第一S-D檢驗影像;計算出對應於第一S-D特徵之假想影像的第一S-D模擬影像;計算出S-D檢驗影像與第一S-D模擬影像之間的第一差異;將第一差異與第一S-D影像產生準則做比較;以及,若符合第一S-D影像產生準則時,藉使用假想影像辨識出第一S-D特徵,並將第一S-D檢驗影影像與相關位置資料儲存在S-D檢驗影像程式庫中,或是,若不符合第一S-D影像產生準則時,便施加第一修正動作。
此外,一或更多個額外程序能加以執行。當額外程序執行時,能產生額外處理資料。在某些實施例中,因為時間差的關係,新S-D訊息及/或資料可能無法利用。
在某些實施例中,一或更多個微影次系統藉使用一或更多個S-D程序,能處理晶圓,且一或更多個微影次系統能即時產生S-D晶圓厚度資料。接著,晶圓能傳輸到蝕刻次系統,且一或更多個微影次系統能傳送S-D訊息及/或資料至蝕刻次系統。蝕刻次系統能接收及處理S-D訊息,並能擷取S-D晶圓厚度資料。蝕刻次系統能使用S-D晶圓厚度資料,以建立能包括蝕刻製程配方、蝕刻時間及/或蝕刻化學的S-D蝕刻資料。接著,蝕刻次系統能用S-D蝕刻資料蝕刻晶圓。此外,當S-D層資料提供給蝕刻工具時,計算時間能減短,且正確度能改善。
正確度值能為S-D及/或非S-D程序及/或結果加以決定,正確度值能與正確度限制做比較,且若正確度值未達到正確度限制,能執行精化程序。或者,能執行其他程序,能使用其他位置,或能使用其他晶圓。
當使用精化程序時,精化程序能利用雙線性(bilinear)精化、拉格朗日(Lagrange)精化、三次樣條(Cubic Spline)精化、艾特肯(Aitken)精化、加權平均(weighted average)精化、多元二次(multi-quadratic)精化、雙立方(bicubic)精化、杜蘭(Turran)精化、小波(wavelet)精化、貝索(Bessel's)精化、艾弗雷特(Everett)精化、有限差分(finite-difference)精化、高斯(Gauss)精化、厄米(Hermite)精化、牛頓均差(Newton's divided difference)精化、密切(osculating)精化或蒂勒(Thiele's)精化,或上述任何組合。
在某些實施例中,完成時間及/或執行時間能為S-D及/或非S-D程序來決定。完成時間及/或執行時間能與量測及/或處理啟動時間做比較,以決定是否有足夠時間建立已更新的製程配方。若完成時間及/或執行時間小於處理啟動時間,藉使用已更新量測製程配方,晶圓能接受測量及/或處理;或是,若完成時間及/或執行時間並非小於處理啟動時間,藉使用非已更新量測製程配方,晶圓能接受測量。
S-D處理次序能隨時間改變。當S-D處理次序正開發中時,因為新處理的信賴值較低,風險因素較高,產量會比期望產量少,且額外測量步驟能需要提昇信賴值並降低風險因素。當晶圓用分開的及/或外部的量測工具來測量時,便需要額外時間。
當S-D系統、次系統及/或程序開發時,便先發展穩定的S-D程序,接著最佳化該穩定S-D程序。S-D程序能在處理穩定化、處理加強化及處理最佳化期間使用。
在穩定化次序期間,一或更多個額外S-D測量步驟在最佳化次序建立之前時,能用來提昇信賴值及/或減少風險因素。執行一處理之前,延遲時間能用來等待S-D資料。
一或更多個S-D量測能在蝕刻處理執行前執行,以獲得能用來比較源自圖案化蝕刻層之S-D資料的圖案化幕罩層之S-D資料。此外,沉積處理之後能做S-D量測,且這些S-D量測能提供S-D厚度資料、均勻性資料及/或光學屬性資料,該等資料能當作S-D資料或歷史資料來即時前授。S-D晶圓資料能從處理工具、量測工具、對準工具、傳輸工具、檢驗工具及/或圖案辨別工具來獲得。
在某些製造環境中,S-D程序:能提供先前無法利用的S-D資料;能提供更快速的處理;能提供更完全地了解處理;能換掉破壞性的方法;能提供更高信賴值的晶圓;能提供更快速的傳輸速率;能改善均勻性;能降低有風險之晶圓的數量;及對於處理及/或工具的事故,能提供更短反應時間。
如上所提及,當前積體電路的製造方法與廠房設計需要許多工具設置成獨立式平台或聚集於一般區域,彼此間通常隔有2000英尺或更遠。使用這些工具的設備便因此亦必須廣泛遍佈於廠房中。這些平台所需的典型功能是:基板塗布(黏著劑塗布、BARC、TARC、抗蝕劑塗布、頂蓋層塗布);烘烤(塗布抗蝕劑後烘烤及曝光後烘烤);成像(曝光);量測(疊對量測、臨界尺寸、缺陷及膜厚);使用以浸漬式處理的曝光前與曝光後清潔;及蝕刻(定義下方薄膜之圖案)及蝕刻後清潔(聚合物及其他副產物之移除)。針對低於32 nm閘極長度的技術將需要重複這些許多的操作來完成半導體晶圓的單一啟動層,亦即,二次抗反射底層、二次或三次圖案化、二次或三次成像等。為了在這些製造用「島嶼」之間移動積體電路,前開式晶圓傳送盒(FOUPs, Forward Opening Unified Pods)便用來在分開之平台間移動積體電路。
為了加速處理,並提供較佳產生的300mm、450mm或其他直徑的晶圓,包括塗布、烘烤、曝光、顯影、全檢驗、蝕刻、蝕刻後清潔、晶圓報廢及晶圓重新改製的整個生產製程能理想地在單一平台上完成,該平台由該單一平台內之共同控制軟體所控制, 該平台並包括對蝕刻後結果的前授及/或反饋之先進製程控制(APC),該先進製程控制係能連接到最初第一處理步驟。藉由將資料前授(以指導使用同樣晶圓的後續處理)或將資料反饋(以指導使用現行晶圓的現行處理,或以指導使用後續晶圓的現行處理),APC能使蝕刻後CD、疊對量測及缺陷資訊受評估並幾乎立刻作用。
此外,前授及/或反饋APC系統及相關S-D傳輸次系統可使用具位置特定性(site-specific)之技術。例如,S-D傳輸次系統能用來傳輸晶圓到一特定處理元件,且可針對晶圓之指定位置作APC調整。此外,基於從晶圓上特定位置所執行之處理而蒐集的具位置特定性之資訊,生產處理及傳輸次序能加以發展。
再者,藉使用「先遣」("send ahead")晶圓(亦即,先處理及評估一完整晶圓,再進行整批)而因此對晶圓廠(FAB, fabrication plant)之利用造成最小影響,生產處理及傳輸次序便能據此加以發展並更臻完善,而此對於習知製程而言,若不在晶圓廠產量大量損失的情況下進行,則幾乎不可能達到。舉例而言,藉使用S-D傳輸次序,「先遣」晶圓能經由蝕刻及檢驗來處理,同時間主要批次在上游製程處理。如此允許上游生產製程調整為對整體產量具有最小衝擊。
因此,源自薄膜處理(或其他上游處理)的晶圓能進入平台的一邊,且良好的、結束處理的晶圓能在另一邊離開。另言之,FOUP將傳送晶圓到一邊作處理,且新FOUP將在另一邊接收。相較於使用如上所述之製造用「島嶼」,在所有晶圓都已經載入光微影系統之後,將不再需要FOUP的仲介傳送。
為了完成這些必要處理,平台可包括一數量的模組,該等模組容納所有必要設備,以從黏合到蝕刻後清潔檢驗之期間處理晶圓。各模組係可移除式的,因此欲讓一工具「重新啟動」時,並不需要替換模組。這將有助於修理,並使因非預期之模組層級工具問題所造成的損失產量時間最小化。此外,具有可移除式模組的基本區塊設計會允許有足夠的空間以使專用之次組件(模組)因 應需要而加入或移除,而不會有長時間停機及昂貴之移除與重新架設工具。
當晶圓在模組間移動時,晶圓能被軌道類型系統上的機器人加以管理。移動晶圓用之機器人能包含旋轉於一中軸上的雙鉗或三鉗平衡系統。這些機器人將晶圓在區位間移動,並能在掃描器任一側的軌道上移動,以允許用快速週期時間及處理步驟的全部可能配置來達到改善的處理多樣化。因此針對多重微影(雙圖案化或微影)或重新改製,「側邊傳輸」("side transport")系統能促使晶圓輕易地從顯影後IM回到塗布過程的起點,以使曝光工具的使用增加。此外,「側邊傳輸」能促成多重圖案化,單一晶圓能從顯影後IM移動而回到光微影系統的輸入口,以進行多重微影。若重新改製處理在光微影系統之微影前部分可利用,需要重新改製的晶圓亦可以此方式處置。如此,晶圓不須以人工或架空搬運自動化系統(overhead automation)之方式來載入FOUP及在工具間移動,藉此減低晶圓層級之缺陷率。
上述軌道系統的使用亦能造成系統不一定要按照次序處理晶圓。組成整個製程的模組能與服務模組群組的一或更多個機器人組成群組。此外,批次晶圓並不需要等待重新改製或報廢晶圓。良品晶圓能被處理直到生產線最終,同時間重新改製晶圓之「子批次」("child lots")能產生、處理,並在蝕刻後與主要批次會合。此相同概念能用於從主要批次淘汰報廢晶圓,而不會延遲主要批次中的良品晶圓。不符合標準的晶圓的重新改製可立即開始並自動化。如此,整個製造、檢驗與控制功能包含於般單一工具之中,該單一工具並有共同軟體來即時控制或監視輸出及調整製程輸入。
在本發明之一實施例中有包括數個模組,該等模組包含有用來在黏合到蝕刻後清潔檢驗等階段處理晶圓之所有必要的設備。模組並不需要如圖9般地按照次序排列出來。
如圖9所繪示,接受過薄膜處理(或其他上游處理)的晶圓進入 第一端點,而已驗證、已完成之晶圓在另一端點離開。例如,模組1和3可包括抗蝕劑塗布機、空烤板、浸漬前清潔處理。模組2可處理高逸氣化學反應來使缺陷最小化。若如此,模組2將會包含「不潔」("dirty")烘烤處理,進而污染晶圓。據此,本發明能允許這些「不潔」處理從其餘工具隔離開,藉此降低缺陷並將可能污染最小化。空浮微粒計數器能建立在晶圓路徑與關鍵製程區域,以監視環境缺陷程度。偵測便接著可以啟動警報狀況。再者,機器人晶圓處置器可駕馭在多重軌道類型的系統上,該系統從晶圓入口延伸到模組4的掃描器。掃描器可具有本身內部晶圓處理器。接著在曝光之後,晶圓可由多重軌道系統上另一機器人拾取到模組4和6,以進行浸漬後清潔、曝光後烘烤(PEB)、BWEE與顯影。接著,晶圓可到IM模組7(成像模組)進行疊對量測、缺陷與臨界尺寸的檢查。
此時,晶圓若故障,能進行重新改製,若不能重新改製則報廢,並經由頂頭處置系統或單一晶圓之「側邊軌道」送回,以進行二次或三次圖案化。並且,對光微影系統塗布抗蝕劑後烘烤(PAB)、曝光後烘烤(PEB)、掃描器或顯影處理所做的APC調整能基於在此時所獲得之量測結果來完成。然而,APC調整與具位置特定性之APC調整亦可在處理中任何時候來完成。例如,在本範例中,雖然IM模組7是第一個模組將有關晶圓與晶圓上特定位置的資訊成像,該等資訊能從處理中任何步驟收集到。舉例而言,模組4的掃描器能提供有關對晶圓執行之處理的資訊或有關在晶圓某些特定位置執行之處理的資訊。如此,APC調整能根據晶圓上特定位置而完成,並能使用處理中各來源之資訊來完成。
此外,蝕刻處理能在本身的內部處置器(模組8)中進行。亦包含在內部的有蝕刻後清潔器(模組9)及最終IM工具(模組10)。最終IM會包含所需之臨界尺寸、缺陷與疊對量測特徵。良好與不良晶圓能在此時進行分類。實際上、完整的APC能由蝕刻後臨界尺寸資料來執行,以該等資料驅動光阻光微影系統塗布抗蝕劑後烘 烤(PAB)、曝光後烘烤(PEB)、曝光工具或光微影系統顯影劑製程配方。
縱使以上只敘述本發明之特定實施例,熟悉本技藝者當了解到,在不及實質離開本發明之新穎之教示與優點之下,可對實施例進行許多修正。據此,本發明之範疇皆包含所有此等修正。
以此方式,該敘述並非用來限制本發明,而是根據在此提供之細節程度,實施例之修正與變化亦為可能,因此以此為前提之下,本發明之配置、操作、運行能進行敘述。據此,先前之詳述無論如何並非意指或用來限制本發明,而其範疇係由隨附之申請專利範圍界定之。
100‧‧‧處理系統
101‧‧‧第一S-D傳輸次系統
102‧‧‧第二S-D傳輸次系統
103‧‧‧第三傳輸次系統
104‧‧‧傳輸元件
105‧‧‧遞送元件
106‧‧‧資料傳輸次系統
110‧‧‧第一微影次系統
111a‧‧‧連接
111b‧‧‧連接
112‧‧‧處理元件
113‧‧‧內部傳輸裝置
114‧‧‧控制器
115‧‧‧掃描器次系統
116a‧‧‧連接
116b‧‧‧連接
117‧‧‧處理元件
118‧‧‧內部傳輸裝置
119‧‧‧控制器
120‧‧‧第二微影次系統
121a‧‧‧連接
121b‧‧‧連接
122‧‧‧處理元件
123‧‧‧內部傳輸裝置
124‧‧‧控制器
125‧‧‧第三微影次系統
126a‧‧‧連接
126b‧‧‧連接
127‧‧‧處理元件
128‧‧‧內部傳輸裝置
129‧‧‧控制器
130‧‧‧熱處理處理次系統
131a‧‧‧連接
131b‧‧‧連接
132‧‧‧處理元件
133‧‧‧內部傳輸裝置
134‧‧‧控制器
135‧‧‧檢驗次系統
136a‧‧‧連接
136b‧‧‧連接
137‧‧‧S-D評估元件
138‧‧‧內部傳輸裝置
139‧‧‧控制器
140‧‧‧蝕刻次系統
141a‧‧‧連接
141b‧‧‧連接
142‧‧‧處理元件
143‧‧‧內部傳輸裝置
144‧‧‧控制器
145‧‧‧沉積次系統
146a‧‧‧連接
146b‧‧‧連接
147‧‧‧處理元件
148‧‧‧內部傳輸裝置
149‧‧‧控制器
150‧‧‧評估次系統
151a‧‧‧連接
151b‧‧‧連接
152‧‧‧評估元件
153‧‧‧內部傳輸裝置
154‧‧‧控制器
155‧‧‧重新改製次系統
156a‧‧‧連接
156b‧‧‧連接
157‧‧‧處理元件
158‧‧‧內部傳輸裝置
159‧‧‧控制器
180‧‧‧製造執行系統
195‧‧‧系統控制器
196‧‧‧資料傳輸系統
200‧‧‧程序
205、210、215、220、225、230、235、240、245、250、255、260、265、270、275、280、285、290、295、510、515、520、525、530、535、540、545、550、555、560、565、570、580、610、615、620、625、630、630、635、640、645、650、655、660、665、670、675、680、690、710、715、720、725、730、735、740、745、750、755、760、810、815、820、825、830、835、840、845、850、855、860、870、880‧‧‧步驟
300‧‧‧晶圓
301、302‧‧‧環狀虛線
305‧‧‧外部區域
306‧‧‧中間區域
307‧‧‧內部區域
310‧‧‧晶片
320‧‧‧晶圓地圖
330‧‧‧位置
400‧‧‧S-D次系統
401‧‧‧第一非S-D傳輸次系統
402‧‧‧第二非S-D傳輸次系統
410、420、430、440、450‧‧‧S-D元件/次系統
411、421、431、441、451、466、476‧‧‧連接
414、424、434、444、454‧‧‧控制器
415、425、435、445、455‧‧‧S-D真空預備元件
417、427、437、447、457‧‧‧S-D內部傳輸裝置
460‧‧‧第一S-D傳輸次系統
461、462、463、464、465‧‧‧第一S-D傳輸元件
467‧‧‧第一S-D傳遞元件
469、479‧‧‧方向
470‧‧‧第二S-D傳輸次系統
471、472、473、474、475‧‧‧第二S-D傳輸元件
477‧‧‧第二S-D傳遞元件
500、600、700、800‧‧‧程序
本發明之實施例由隨附之概略圖示作為範例來敘述,其中各參照符號代表其所對應之元件,且其中:圖1係根據本發明之實施例,繪示處理系統的例示性方塊圖。
圖2係根據本發明之實施例,說明使用S-D程序用以處理晶圓之方法的例示性流程圖。
圖3係根據本發明之實施例,繪示晶圓地圖的簡化圖。
圖4係根據本發明之實施例,繪示例示性次系統的簡化方塊圖。
圖5係根據本發明之實施例,說明用來驗證S-D特徵、S-D晶圓及/或S-D程序之方法的例示性流程圖。
圖6係根據本發明之實施例,說明用來建構S-D評估程式庫之方法的例示性流程圖。
圖7係說明使用S-D程序在晶圓上建構雙重金屬鑲嵌結構之方法的例示性流程圖。
圖8係說明建構S-D評估程式庫的另一例示性流程圖。
圖9係本發明之一實施例的方塊圖,該方塊圖說明數個模組的系統,各模組容納有處理晶圓的所有必須設備。
100‧‧‧處理系統
101‧‧‧第一S-D傳輸次系統
102‧‧‧第二S-D傳輸次系統
103‧‧‧第三傳輸次系統
104‧‧‧傳輸元件
105‧‧‧遞送元件
106‧‧‧資料傳輸次系統
110‧‧‧第一微影次系統
111a‧‧‧連接
111b‧‧‧連接
112‧‧‧處理元件
113‧‧‧內部傳輸裝置
114‧‧‧控制器
115‧‧‧掃描器次系統
116a‧‧‧連接
116b‧‧‧連接
117‧‧‧處理元件
118‧‧‧內部傳輸裝置
119‧‧‧控制器
120‧‧‧第二微影次系統
121a‧‧‧連接
121b‧‧‧連接
122‧‧‧處理元件
123‧‧‧內部傳輸裝置
124‧‧‧控制器
125‧‧‧第三微影次系統
126a‧‧‧連接
126b‧‧‧連接
127‧‧‧處理元件
128‧‧‧內部傳輸裝置
129‧‧‧控制器
130‧‧‧熱處理處理次系統
131a‧‧‧連接
131b‧‧‧連接
132‧‧‧處理元件
133‧‧‧內部傳輸裝置
134‧‧‧控制器
135‧‧‧檢驗次系統
136a‧‧‧連接
136b‧‧‧連接
137‧‧‧S-D評估元件
138‧‧‧內部傳輸裝置
139‧‧‧控制器
140‧‧‧蝕刻次系統
141a‧‧‧連接
141b‧‧‧連接
142‧‧‧處理元件
143‧‧‧內部傳輸裝置
144‧‧‧控制器
145‧‧‧沉積次系統
146a‧‧‧連接
146b‧‧‧連接
147‧‧‧處理元件
148‧‧‧內部傳輸裝置
149‧‧‧控制器
150‧‧‧評估次系統
151a‧‧‧連接
151b‧‧‧連接
152‧‧‧評估元件
153‧‧‧內部傳輸裝置
154‧‧‧控制器
155‧‧‧重新改製次系統
156a‧‧‧連接
156b‧‧‧連接
157‧‧‧處理元件
158‧‧‧內部傳輸裝置
159‧‧‧控制器
180‧‧‧製造執行系統
190‧‧‧資料傳輸系統
195‧‧‧系統控制器

Claims (46)

  1. 一種處理複數個晶圓之方法,包含:藉由一處理系統,接收該等複數個晶圓,該處理系統包括具位置相依性(S-D)與非具位置相依性(N-S-D)的數個次系統,各晶圓具有與其有關之晶圓資料,其中,該晶圓資料包括S-D信賴度資料及/或N-S-D信賴度資料;藉使用該S-D信賴度資料及/或N-S-D信賴度資料,建立一第一組S-D晶圓;為該第一組S-D晶圓決定數個第一S-D處理次序,該第一組S-D晶圓係藉使用該等第一S-D處理次序在數個第一S-D次系統中接受處理,其中,晶圓狀態資料係用於建立該等第一S-D處理次序;傳輸該第一組S-D晶圓至該等第一S-D次系統中的一或更多個第一S-D處理元件,該等第一S-D處理次序係用來決定該一或更多個第一S-D處理元件;在該等第一S-D處理次序藉使用該第一組S-D晶圓來執行之前、之中及/或之後,收集第一S-D次系統處理資料;藉使用該晶圓資料及/或該第一S-D次系統處理資料,為該第一組S-D晶圓中的一或更多個晶圓建立第一S-D信賴度資料;藉使用該第一S-D信賴度資料、該S-D信賴度資料或該N-S-D信賴度資料,或上述任何組合,建立數個額外組S-D晶圓;及傳輸該等額外組S-D晶圓至在數個額外次系統中的一或更多個額外S-D處理元件,並有數個額外S-D處理次序係用來決定該一或更多個額外S-D處理元件。
  2. 如申請專利範圍第1項之處理複數個晶圓之方法,更包含:藉使用該S-D信賴度資料及/或N-S-D信賴度資料,建立一第一組N-S-D晶圓;為該第一組N-S-D晶圓決定數個第一N-S-D處理次序,該第 一組N-S-D晶圓係藉使用該等第一N-S-D處理次序在數個第一N-S-D次系統中接受處理,其中,晶圓狀態資料係用於建立該等第一N-S-D處理次序;及傳輸該第一組N-S-D晶圓至該等第一N-S-D次系統中的一或更多個第一N-S-D處理元件,該等第一N-S-D處理次序係用來決定該一或更多個第一N-S-D處理元件。
  3. 如申請專利範圍第2項之處理複數個晶圓之方法,更包含:藉使用該S-D信賴度資料及/或N-S-D信賴度資料,建立數個其他組N-S-D晶圓;為該等其他組N-S-D晶圓決定數個其他N-S-D處理次序,該等其他組N-S-D晶圓係藉使用該等其他N-S-D處理次序在數個其他N-S-D次系統中接受處理,其中,晶圓狀態資料係用於建立該等其他N-S-D處理次序;及傳輸該等其他組N-S-D晶圓至該等其他N-S-D次系統中的一或更多個其他N-S-D處理元件,該等其他N-S-D處理次序係用來決定該一或更多個其他N-S-D處理元件。
  4. 如申請專利範圍第1項之處理複數個晶圓之方法,更包含:藉使用該S-D信賴度資料及/或N-S-D信賴度資料,建立數個其他組S-D晶圓;為該等其他組S-D晶圓決定數個其他S-D處理次序,該等其他組S-D晶圓係藉使用該等其他S-D處理次序在數個其他S-D次系統中接受處理,其中,晶圓狀態資料係用來建立該等其他S-D處理次序;及傳輸該等其他組S-D晶圓至該等其他S-D次系統中的一或更多個其他S-D處理元件,該等其他S-D處理次序係用來決定該一或更多個其他S-D處理元件。
  5. 如申請專利範圍第1項之處理複數個晶圓之方法,其中該建立第一S-D信賴度資料包含:藉使用該第一S-D次系統處理資料,為該第一組S-D晶圓中之一第一S-D晶圓建立一第一S-D信賴值;比較該第一S-D晶圓之該第一S-D信賴值與一第一S-D信賴值限制;及當達到該第一S-D信賴值限制時,繼續處理該第一組S-D晶圓,否則,當未達到該第一S-D信賴值限制時,施加一第一S-D修正動作。
  6. 如申請專利範圍第5項之處理複數個晶圓之方法,其中該施加一第一S-D修正動作包含:藉使用該第一S-D次系統處理資料,為該第一組S-D晶圓中之一或更多個額外晶圓建立數個S-D信賴值;比較一或更多個該等額外晶圓之該等S-D信賴值與數個額外第一S-D信賴值限制;及當達到一或更多個該等額外第一S-D信賴值限制時,繼續處理該第一組S-D晶圓,否則,當未達到一或更多個該等額外第一S-D信賴值限制時,停止該建立與該比較。
  7. 如申請專利範圍第2項之處理複數個晶圓之方法,更包含:在該等第一N-S-D處理次序藉使用該第一組N-S-D晶圓來執行之前、之中及/或之後,收集第一N-S-D次系統處理資料;藉使用該晶圓資料及/或該第一次系統N-S-D處理資料,為該第一組N-S-D晶圓中之一或更多個晶圓建立第一N-S-D信賴度資料;藉使用該第一N-S-D信賴度資料、該S-D信賴度資料或該N-S-D信賴度資料,或上述任何組合,建立數個額外組N-S-D晶圓;及 傳輸該等額外組N-S-D晶圓至數個額外次系統中的一或更多個額外N-S-D處理元件,並有數個額外N-S-D處理次序係用來決定該一或更多個額外N-S-D處理元件。
  8. 如申請專利範圍第7項之處理複數個晶圓之方法,其中該建立第一N-S-D信賴度資料包含:藉使用該第一N-S-D次系統處理資料,為該第一組N-S-D晶圓中之一第一N-S-D晶圓建立一第一N-S-D信賴值;比較該第一N-S-D晶圓之該第一N-S-D信賴值與一第一N-S-D信賴值限制;及當達到該第一N-S-D信賴值限制時,繼續處理該第一組N-S-D晶圓,否則,當未達到該第一N-S-D信賴值限制時,施加一第一N-S-D修正動作。
  9. 如申請專利範圍第8項之處理複數個晶圓之方法,其中該施加一第一N-S-D修正動作包含:藉使用該第一N-S-D次系統處理資料,為該第一組N-S-D晶圓中之一或更多個額外晶圓建立數個N-S-D信賴值;比較一或更多個該等額外晶圓之該等N-S-D信賴值與數個額外第一N-S-D信賴值限制;及當達到一或更多個該等額外第一N-S-D信賴值限制時,繼續處理該第一組N-S-D晶圓,否則,當未達到一或更多個該等額外第一N-S-D信賴值限制時,停止該建立與該比較。
  10. 如申請專利範圍第3項之處理複數個晶圓之方法,更包含:在該等其他N-S-D處理次序藉使用該等其他組N-S-D晶圓來執行之前、之中及/或之後,收集其他N-S-D次系統處理資料;藉使用該晶圓資料及/或該其他次系統N-S-D處理資料,為該等其他組N-S-D晶圓中之一或更多個晶圓建立其他N-S-D信賴度 資料;藉使用該其他N-S-D信賴度資料、該S-D信賴度資料或該N-S-D信賴度資料,或上述任何組合,建立數個額外組N-S-D晶圓;及傳輸該等額外組N-S-D晶圓至數個額外次系統中的一或更多個額外N-S-D處理元件,並有數個額外N-S-D處理次序係用來決定該一或更多個額外N-S-D處理元件。
  11. 如申請專利範圍第10項之處理複數個晶圓之方法,其中該建立其他N-S-D信賴度資料包含:藉使用該其他N-S-D次系統處理資料,為該等其他組N-S-D晶圓中之一第一N-S-D晶圓建立一第一N-S-D信賴值;比較該第一N-S-D晶圓的該第一N-S-D信賴值與一第一N-S-D信賴值限制;及當達到該第一N-S-D信賴值限制時,繼續處理該等其他組N-S-D晶圓,否則,當未達到該第一N-S-D信賴值限制時,施加一第一N-S-D修正動作。
  12. 如申請專利範圍第11項之處理複數個晶圓之方法,其中該施加一第一N-S-D修正動作包含:藉使用該其他N-S-D次系統處理資料,為該等其他組N-S-D晶圓中之一或更多個額外晶圓建立數個N-S-D信賴值;比較該等其他組N-S-D晶圓中之一或更多個該等額外晶圓的該等N-S-D信賴值與數個額外第一N-S-D信賴值限制;及當達到一或更多個該等額外第一N-S-D信賴值限制時,繼續處理該等其他組N-S-D晶圓,否則,當未達到一或更多個該等額外第一N-S-D信賴值限制時,停止該建立與該比較。
  13. 如申請專利範圍第4項之處理複數個晶圓之方法,更包含: 在該等其他S-D處理次序藉使用該等其他組S-D晶圓來執行之前、之中及/或之後,收集其他S-D次系統處理資料;藉使用該晶圓資料及/或該其他S-D次系統處理資料,為該等其他組S-D晶圓中之一或更多個晶圓建立其他S-D信賴度資料;藉使用該其他S-D信賴度資料、該S-D信賴度資料或該N-S-D信賴度資料,或上述任何組合,建立數個額外組S-D晶圓;及傳輸該等額外組S-D晶圓至數個額外次系統中的一或更多個額外S-D處理元件,並有數個額外S-D處理次序係用來決定該一或更多個額外S-D處理元件。
  14. 如申請專利範圍第13項之處理複數個晶圓之方法,其中該建立其他S-D信賴度資料包含:藉使用該其他S-D次系統處理資料,為該等其他組S-D晶圓中之一第一S-D晶圓建立一第一S-D信賴值;比較該第一S-D晶圓之該第一S-D信賴值與一第一S-D信賴值限制;及當達到該第一S-D信賴值限制時,繼續處理該等其他組S-D晶圓,否則當未達到該第一S-D信賴值限制時,施加一第一S-D修正動作。
  15. 如申請專利範圍第14項之處理複數個晶圓之方法,其中該施加一第一S-D修正動作包含:藉使用該其他S-D次系統處理資料,為該等其他組S-D晶圓中之一或更多個額外晶圓建立數個S-D信賴值;比較在該等其他組S-D晶圓中之一或更多個該等額外晶圓的該等S-D信賴值與數個額外第一S-D信賴值限制;及當達到一或更多個該等額外第一S-D信賴值限制時,繼續處理該等其他組S-D晶圓,否則,當未達到一或更多個該等額外第一S-D信賴值限制時,停止該建立與該比較。
  16. 如申請專利範圍第1項之處理複數個晶圓之方法,其中該等第一S-D處理次序包括至少下列其中之一:一或更多個塗布程序、一或更多個蝕刻程序、一或更多個熱處理程序、一或更多個曝光程序、一或更多個氧化程序、一或更多個氮化程序、一或更多個顯影程序、一或更多個微影程序、一或更多個掃描機相關程序、一或更多個量測程序、一或更多個檢驗程序、一或更多個評估程序、一或更多個模擬程序、一或更多個預測程序、一或更多個重新改製程序、一或更多個儲存程序、一或更多個傳輸程序、一或更多個真空預備程序或一或更多個清潔程序,或上述任何組合。
  17. 如申請專利範圍第1項之處理複數個晶圓之方法,其中該等第一S-D次系統包括至少下列其中之一:一或更多個塗布次系統、一或更多個蝕刻次系統、一或更多個熱處理次系統、一或更多個曝光次系統、一或更多個氧化次系統、一或更多個氮化次系統、一或更多個顯影次系統、一或更多個微影次系統、一或更多個掃描機相關次系統、一或更多個量測次系統、一或更多個檢驗次系統、一或更多個評估次系統、一或更多個模擬次系統、一或更多個預測次系統、一或更多個重新改製次系統、一或更多個儲存次系統、一或更多個傳輸次系統、一或更多個真空預備次系統或一或更多個清潔次系統,或上述任何組合。
  18. 如申請專利範圍第1項之處理複數個晶圓之方法,其中該等第一S-D處理元件包括至少下列其中之一:一或更多個塗布處理元件、一或更多個蝕刻處理元件、一或更多個熱處理處理元件、一或更多個曝光處理元件、一或更多個氧化處理元件、一或更多個氮化處理元件、一或更多個顯影處理元件、一或更多個微影處理元件、一或更多個掃描機相關處理元件、一或更多個量測處理元件、一或更多個檢驗處理元件、一或更多個評估處理元件、一 或更多個模擬處理元件、一或更多個預測處理元件、一或更多個重新改製處理元件、一或更多個儲存處理元件、一或更多個傳輸處理元件、一或更多個真空預備處理元件或一或更多個清潔處理元件,或上述任何組合。
  19. 如申請專利範圍第1項之處理複數個晶圓之方法,其中該複數個晶圓包含至少下列其中之一:半導體材料、炭材料、介電質材料、玻璃材料、陶瓷材料、金屬材料、氧化物材料、幕罩材料或平坦化材料,或上述任何組合。
  20. 一種處理複數個晶圓之方法,包含:藉由一處理系統中之一非位置相依性傳輸次系統,接收該等複數個晶圓,該處理系統包括位置相依性(S-D)與非位置相依性(N-S-D)次系統,各晶圓具有與其有關之晶圓資料,其中該晶圓資料包括S-D信賴度資料及/或N-S-D信賴度資料,其中至少一個晶圓在其之上具有一或更多個評估結構;藉使用該S-D信賴度資料及/或N-S-D信賴度資料,建立一第一組S-D量測晶圓,該第一組S-D量測晶圓中的各晶圓在其之上具有一或更多個評估結構,其中該第一組S-D量測晶圓係由一N-S-D傳輸次系統傳輸至一S-D傳輸次系統;為該第一組S-D量測晶圓決定數個第一S-D量測程序,該第一組S-D量測晶圓係藉使用該等第一S-D量測程序在數個第一S-D量測次系統中接受測量,其中該晶圓資料係用於建立該等第一S-D量測程序;藉使用該S-D傳輸次系統,傳輸該第一組S-D量測晶圓至該等第一S-D次系統中的一或更多個第一S-D量測相關元件,且一第一S-D傳輸次序、一第一S-D處理次序或該等第一S-D量測程序或上述任何組合係用來決定該一或更多個第一S-D量測相關元件;及 執行該等第一S-D量測程序。
  21. 如申請專利範圍第20項之處理複數個晶圓之方法,其中該執行該等第一S-D量測程序包括:從該第一組S-D量測晶圓中,選定一第一量測晶圓,該第一量測晶圓在其之上具有一第一S-D評估特徵;獲得第一量測資料,其包括源自該第一S-D特徵的第一S-D已測量信號資料;從數個S-D量測信號與數個相關結構的一程式庫中,選定第一S-D最佳估計信號資料及一相關之第一S-D最佳估計結構;計算出數個第一S-D差異,該等差異係介於該第一S-D已測量信號資料與該第一S-D最佳估計信號資料之間;藉使用該等第一S-D差異,為該第一量測晶圓建立第一S-D信賴度資料;比較該第一S-D信賴度資料與數個第一S-D產品需求;及當達到一或更多個該等第一S-D產品需求時,將該第一量測晶圓辨識為一第一高信賴度晶圓,並繼續處理,否則,當未達到一或更多個該等第一S-D產品需求時,施加一第一修正動作。
  22. 如申請專利範圍第21項之處理複數個晶圓之方法,更包含:在達到一或更多個該等第一S-D產品需求時,藉使用該第一S-D最佳估計結構與該相關之第一S-D最佳估計信號資料,辨識出該第一S-D評估特徵。
  23. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作包含:從數個S-D繞射信號與數個相關結構之一程式庫中,選定新S-D最佳估計信號資料及一相關之新S-D最佳估計結構;計算出數個新S-D差異,該等差異係介於該第一S-D已測量 信號資料與該新S-D最佳估計信號資料之間;藉使用該等新S-D差異,為該第一量測晶圓建立新S-D信賴度資料;比較該新S-D信賴度資料與數個新S-D產品需求;及當達到一或更多個該等新S-D產品需求時,將該第一量測晶圓辨識為一新高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等新S-D產品需求時,停止該選定、該計算、該建立、該比較與該辨識。
  24. 如申請專利範圍第23項之處理複數個晶圓之方法,更包含:在達到數個第一S-D剖面輪廓程式庫產生準則時,藉使用該新S-D最佳估計結構與該相關之新S-D最佳估計信號資料,辨識出該第一S-D評估特徵。
  25. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作包含:從該第一組S-D量測晶圓中,選定一第二量測晶圓,該第二量測晶圓在其之上具有該第一S-D評估特徵;獲得第二量測資料,其包括源自該第一S-D特徵的第二S-D已測量信號資料;從S-D量測資料與數個相關結構的該程式庫中,或從數個S-D繞射信號與數個相關結構的一程式庫中,選定第二S-D最佳估計信號資料及一相關之第二S-D最佳估計結構;計算出數個第二S-D差異,該等差異係介於該第二S-D已測量信號資料與該第二S-D最佳估計信號資料之間;藉使用該等第二S-D差異,為該第二量測晶圓建立第二S-D信賴度資料;比較該第二S-D信賴度資料與數個第二S-D產品需求;及當達到一或更多個該等第二S-D產品需求時,將該第二量測 晶圓辨識為一第二高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第二S-D產品需求時,施加一第二修正動作。
  26. 如申請專利範圍第25項之處理複數個晶圓之方法,更包含:在達到一或更多個該等第二S-D產品需求時,藉使用該第二S-D最佳估計結構與該相關之第二S-D最佳估計信號資料,辨識出該第一S-D評估特徵。
  27. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第二修正動作包含:從S-D量測資料與數個相關結構的該程式庫中,或從數個S-D繞射信號與數個相關結構的該程式庫中,選定新第二S-D最佳估計信號資料及一相關之新第二S-D最佳估計結構;計算出數個新第二S-D差異,該等差異係介於該第二S-D已測量信號資料與該新第二S-D最佳估計信號資料之間;藉使用該等新第二S-D差異,為該第二量測晶圓建立新第二S-D信賴度資料;比較該新第二S-D信賴度資料與數個新第二S-D產品需求;及當達到一或更多個該等新第二S-D產品需求時,將該第二量測晶圓辨識為一新第二高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等新第二S-D產品需求時,停止該選定、該計算、該建立、該比較與該辨識。
  28. 如申請專利範圍第27項之處理複數個晶圓之方法,更包含:在達到一或更多個該等新第二S-D產品需求時,藉使用該新第二S-D最佳估計結構與該相關之新第二S-D最佳估計信號資料,辨識出該第一S-D評估特徵。
  29. 如申請專利範圍第27項之處理複數個晶圓之方法,其中該施加一第二修正動作包含:再測量、再檢驗、再重新改製、儲存、清潔及/或剝除該第一量測晶圓、該第二量測晶圓或該第一組S-D量測晶圓,或上述任何組合。
  30. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作包含:選定該第一量測晶圓上的一第二S-D評估特徵;獲得第二量測資料,其包括源自該第二S-D特徵的第二S-D已測量信號資料;從S-D量測資料與數個相關結構的該程式庫中,選定第二S-D最佳估計信號資料及一相關之第二S-D最佳估計結構;計算出數個第二S-D差異,該等差異係介於該第二S-D已測量信號資料與該第二S-D最佳估計信號資料之間;藉使用該等第二S-D差異,為該第一量測晶圓建立第二S-D信賴度資料;比較該第二S-D信賴度資料與數個第二S-D產品需求;及當達到一或更多個該等第二S-D產品需求時,將該第一量測晶圓辨識為一第二高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第二S-D產品需求時,施加一第二修正動作。
  31. 如申請專利範圍第20項之處理複數個晶圓之方法,其中該施加一第二修正動作包含:選定在該第一量測晶圓上的一第三S-D評估特徵;獲得第三量測資料,其包括源自該第三S-D特徵的第三S-D已測量信號資料;從S-D量測資料與數個相關結構的一程式庫中,或從數個S-D繞射信號與數個相關結構的一程式庫中,選定第三S-D最佳估計信號資料及一相關之第三S-D最佳估計結構; 計算出數個第三S-D差異,該等差異係介於該第三S-D已測量信號資料與該第三S-D最佳估計信號資料之間;藉使用該等第三S-D差異,為該第一量測晶圓建立第三S-D信賴度資料;比較該第三S-D信賴度資料與數個第三S-D產品需求;及當達到一或更多個該等第三S-D產品需求時,將該第一量測晶圓辨識為一第三高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第三S-D產品需求時,停止該選定、該計算、該建立、該比較與該辨識。
  32. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作包含:選定一第二量測晶圓,在其之上具有一第二S-D評估特徵;獲得第二量測資料,其包括源自該第二S-D特徵的第二S-D已測量信號資料;從S-D量測資料與數個相關結構的該程式庫中,選定第二S-D最佳估計信號資料及一相關之第二S-D最佳估計結構;計算出數個第二S-D差異,該等差異係介於該第二S-D已測量信號資料與該第二S-D最佳估計信號資料之間;藉使用該等第二S-D差異,為該第二量測晶圓建立第二S-D信賴度資料;比較該第二S-D信賴度資料與數個第二S-D產品需求;及當達到一或更多個該等第二S-D產品需求時,將該第二量測晶圓辨識為一第二高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第二S-D產品需求時,施加一第二修正動作。
  33. 如申請專利範圍第22項之處理複數個晶圓之方法,其中該施加一第二修正動作包含:從S-D量測資料與數個相關結構的該程式庫中,選定新第二 S-D最佳估計信號資料及一相關之新第二S-D最佳估計結構;計算出數個新第二S-D差異,該等差異係介於該第二已測量信號資料與該新第二S-D最佳估計信號資料之間;藉使用該等新第二S-D差異,為該第二量測晶圓建立新第二S-D信賴度資料;比較該新第二S-D信賴度資料與數個新第二S-D產品需求;及當達到一或更多個該等新第二S-D產品需求時,將該第二量測晶圓辨識為一新第二高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等新第二S-D產品需求時,停止該選定、該計算、該比較與該辨識。
  34. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作包含:再測量、再檢驗、再重新改製、儲存、清潔及/或剝除該一或更多個該第一組S-D量測晶圓。
  35. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作更包含:從一新剖面輪廓空間建立一第一S-D已計算評估結構與相關之第一S-D已計算信號資料,該新剖面輪廓空間係位在有關於數個S-D量測信號與數個相關結構的該程式庫或數個S-D繞射信號與數個相關結構的一程式庫的一剖面輪廓空間之外;決定數個第一S-D已計算差異,該等差異係介於該第一已測量信號資料與該第一S-D已計算信號資料之間;藉使用該等第一S-D已計算差異,為該第一量測晶圓建立第一S-D已計算信賴度資料;比較該第一S-D已計算信賴度資料與數個第一S-D剖面輪廓程式庫產生準則;及當達到一或更多個該等第一S-D剖面輪廓程式庫產生準則 時,將該第一量測晶圓辨識為一第一已計算高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第一S-D剖面輪廓程式庫產生準則時,施加一第二修正動作。
  36. 如申請專利範圍第35項之處理複數個晶圓之方法,更包含:藉由改變至少下列其中之一:一高度、一寬度、一厚度、一深度、一容積、一面積、一角度、一介電質屬性、一處理製程配方參數、一處理時間、一臨界尺寸、一間距、一週期、一位址或一線寬或上述任何二個或更多個組合,產生一新S-D已計算評估結構及相關之新S-D已計算信號資料;決定數個新S-D已計算差異,該等差異係介於該第一已測量信號資料與該新S-D已計算信號資料之間;藉使用該等新S-D已計算差異,為該第一量測晶圓建立新S-D已計算信賴度資料;比較該新S-D已計算信賴度資料與數個新S-D剖面輪廓程式庫產生準則;及當達到一或更多個該等新S-D剖面輪廓程式庫產生準則時,將該第一量測晶圓辨識為一新已計算高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等新S-D剖面輪廓程式庫產生準則時,停止該產生、該決定、該建立、該比較與該辨識。
  37. 如申請專利範圍第35項之處理複數個晶圓之方法,更包含:在達到該等第一S-D剖面輪廓程式庫產生準則時,於數個S-D量測信號與數個相關結構的該程式庫之中,或於數個S-D繞射信號的該程式庫之中,儲存該第一S-D已計算評估結構及該相關之第一S-D已計算信號資料。
  38. 如申請專利範圍第35項之處理複數個晶圓之方法,更包含:在達到該等第一S-D剖面輪廓程式庫產生準則時,藉使用該 S-D第一已計算評估結構與該相關之第一已計算信號資料,辨識出該第一S-D評估特徵。
  39. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該施加一第一修正動作更包含:決定一第一S-D剖面輪廓資料空間中的一第一外部資料點,該第一S-D剖面輪廓資料空間係位於與一S-D剖面輪廓程式庫有關的一資料空間之外,其中,與該第一外部資料點有關的係為第一外部S-D信號資料、第一外部S-D剖面輪廓資料或第一外部S-D剖面輪廓參數資料,或上述任何組合;計算出數個第一外部S-D差異,該等差異係介於該第一S-D已測量信號資料與該第一外部S-D信號資料之間;藉使用該等第一外部S-D差異,為該第一量測晶圓建立第一外部S-D信賴度資料;比較該第一外部S-D信賴度資料與數個第一外部S-D產品需求;及當達到一或更多個該等第一外部S-D產品需求時,將該第一量測晶圓辨識為一外部高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第一外部S-D產品需求時,施加一第二修正動作。
  40. 如申請專利範圍第39項之處理複數個晶圓之方法,更包含:在達到一或更多個該等第一外部S-D產品需求時,藉使用與該第一外部資料點相關之該資料,辨識出該第一S-D評估特徵。
  41. 如申請專利範圍第21項之處理複數個晶圓之方法,其中該繼續該處理包含:比較該等第一S-D差異與數個第一正確度需求;及 當達到一或更多個該等第一正確度需求時,將一第一組檢驗晶圓辨識為數個高信賴度晶圓,並繼續該處理,否則,當未達到一或更多個該等第一正確度需求時,施加數個額外修正動作。
  42. 一種處理晶圓之平台,包含:複數個具位置相依性的(S-D)處理模組,各S-D處理模組包括基於具位置相依性的(S-D)處理資料而處理至少一個具位置相依性的(S-D)晶圓用之一工具,其中該S-D處理資料係基於針對該S-D晶圓所開發之一製造用處理;複數個非具位置相依性的(N-S-D)處理模組,各N-S-D處理模組包括基於非具位置相依性的(N-S-D)處理資料而處理至少一個非具位置相依性的(N-S-D)晶圓用之一工具,其中該N-S-D處理資料係基於針對該N-S-D晶圓所開發之一製造用處理;至少一個機器人,係用以傳輸數個S-D及N-S-D晶圓於該等S-D及N-S-D模組之間,該至少一個機器人在該等S-D及N-S-D模組之一側的數個軌道上移動;至少一個檢驗模組,係用以檢驗在該等S-D及N-S-D處理模組中在該等S-D及N-S-D晶圓上所完成的數個處理;一共同控制單元,其控制並接收源自該等S-D處理模組之各者、該至少一個機器人及該至少一個檢驗模組的具位置特定性之晶圓資料,其並基於該所接收之資料,調整位於該等S-D處理模組中的該S-D處理資料。
  43. 如申請專利範圍第42項之處理晶圓之平台,其中該共同控制單元係用於接收非具位置特定性之晶圓資料。
  44. 如申請專利範圍第42項之處理晶圓之平台,其中該S-D及N-S-D處理資料係基於針對該等S-D及N-S-D晶圓所開發之一製造用處理。
  45. 如申請專利範圍第44項之處理晶圓之平台,其中該製造用處理係根據該S-D及N-S-D處理資料之該調整來相對調整。
  46. 如申請專利範圍第45項之處理晶圓之平台,其中該等S-D及N-S-D晶圓之至少一個係一先送晶圓,該先送晶圓在許多晶圓由該晶圓處理平台處理之前,用以更新該製造用處理。
TW097111696A 2007-03-30 2008-03-31 線上微影及蝕刻系統 TWI381468B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US11/730,284 US7596423B2 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent procedure
US11/730,339 US7935545B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual patterning procedure
US11/730,341 US7650200B2 (en) 2007-03-30 2007-03-30 Method and apparatus for creating a site-dependent evaluation library
US11/730,202 US7531368B2 (en) 2007-03-30 2007-03-30 In-line lithography and etch system
US11/730,283 US7373216B1 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent wafer
US11/730,279 US7783374B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual damascene procedure

Publications (2)

Publication Number Publication Date
TW200903686A TW200903686A (en) 2009-01-16
TWI381468B true TWI381468B (zh) 2013-01-01

Family

ID=39808885

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097111696A TWI381468B (zh) 2007-03-30 2008-03-31 線上微影及蝕刻系統

Country Status (4)

Country Link
JP (1) JP5200276B2 (zh)
KR (1) KR101475967B1 (zh)
TW (1) TWI381468B (zh)
WO (1) WO2008121955A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8739095B2 (en) * 2010-03-08 2014-05-27 Cadence Design Systems, Inc. Method, system, and program product for interactive checking for double pattern lithography violations
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US11263737B2 (en) * 2019-01-10 2022-03-01 Lam Research Corporation Defect classification and source analysis for semiconductor equipment
US20220351996A1 (en) * 2021-04-29 2022-11-03 Changxin Memory Technologies, Inc. Front opening unified pod, wafer transfer system and wafer transfer method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process
US20050187649A1 (en) * 2002-09-30 2005-08-25 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20060292845A1 (en) * 2004-09-17 2006-12-28 Chiang Tony P Processing substrates using site-isolated processing

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3889355A (en) * 1973-02-05 1975-06-17 Ibm Continuous processing system
US5124927A (en) * 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JPH0480939A (ja) * 1990-07-24 1992-03-13 Hitachi Ltd 半導体集積回路装置の製造方法
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
JP4158384B2 (ja) * 2001-07-19 2008-10-01 株式会社日立製作所 半導体デバイスの製造工程監視方法及びそのシステム
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
TW200745771A (en) * 2006-02-17 2007-12-16 Nikon Corp Adjustment method, substrate processing method, substrate processing apparatus, exposure apparatus, inspection apparatus, measurement and/or inspection system, processing apparatus, computer system, program and information recording medium

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process
US20050187649A1 (en) * 2002-09-30 2005-08-25 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20060292845A1 (en) * 2004-09-17 2006-12-28 Chiang Tony P Processing substrates using site-isolated processing

Also Published As

Publication number Publication date
KR20100016095A (ko) 2010-02-12
JP2010524209A (ja) 2010-07-15
KR101475967B1 (ko) 2014-12-23
JP5200276B2 (ja) 2013-06-05
TW200903686A (en) 2009-01-16
WO2008121955A2 (en) 2008-10-09
WO2008121955A3 (en) 2009-01-15

Similar Documents

Publication Publication Date Title
US7531368B2 (en) In-line lithography and etch system
US7373216B1 (en) Method and apparatus for verifying a site-dependent wafer
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US7673278B2 (en) Enhanced process yield using a hot-spot library
KR102427132B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
TWI459168B (zh) 可調適之處方選擇器
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
US7801635B2 (en) Real-time parameter tuning for etch processes
US8024676B2 (en) Multi-pitch scatterometry targets
US20080183412A1 (en) Real-Time Parameter Tuning Using Wafer Thickness
TWI786474B (zh) 最佳化微影製程之方法及裝置
US7517708B2 (en) Real-time parameter tuning using wafer temperature
TWI381468B (zh) 線上微影及蝕刻系統
US7449265B1 (en) Scatterometry target for determining CD and overlay
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
Chien et al. A novel approach to hedge and compensate the critical dimension variation of the developed-and-etched circuit patterns for yield enhancement in semiconductor manufacturing
US20110112678A1 (en) Advanced process control for new tapeout product
JP2020519932A (ja) 製品ユニットの製造プロセスのシーケンスの最適化
US8183062B2 (en) Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences
US7783374B2 (en) Method and apparatus for performing a site-dependent dual damascene procedure
US7935545B2 (en) Method and apparatus for performing a site-dependent dual patterning procedure
TW201712773A (zh) 用於分析半導體晶圓之處理的方法及裝置