KR20100016095A - 인라인 리소그래피 및 에칭 시스템 - Google Patents

인라인 리소그래피 및 에칭 시스템 Download PDF

Info

Publication number
KR20100016095A
KR20100016095A KR1020097022776A KR20097022776A KR20100016095A KR 20100016095 A KR20100016095 A KR 20100016095A KR 1020097022776 A KR1020097022776 A KR 1020097022776A KR 20097022776 A KR20097022776 A KR 20097022776A KR 20100016095 A KR20100016095 A KR 20100016095A
Authority
KR
South Korea
Prior art keywords
data
wafer
processing
reliability
subsystem
Prior art date
Application number
KR1020097022776A
Other languages
English (en)
Other versions
KR101475967B1 (ko
Inventor
마크 지 윙클러
토마스 이 윈터
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/730,279 external-priority patent/US7783374B2/en
Priority claimed from US11/730,202 external-priority patent/US7531368B2/en
Priority claimed from US11/730,284 external-priority patent/US7596423B2/en
Priority claimed from US11/730,339 external-priority patent/US7935545B2/en
Priority claimed from US11/730,341 external-priority patent/US7650200B2/en
Priority claimed from US11/730,283 external-priority patent/US7373216B1/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100016095A publication Critical patent/KR20100016095A/ko
Application granted granted Critical
Publication of KR101475967B1 publication Critical patent/KR101475967B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Factory Administration (AREA)

Abstract

본 발명은 S-D 작성 프로시저, S-D 평가 프로시저 및 S-D 이송 시퀀스를 포함할 수 있는 사이트 종속적(S-D) 처리 시퀀스를 이용하여 웨이퍼를 처리하는 방법을 제공할 수 있다. S-D 작성 프로시저는 S-D 처리 요소를 이용하여 수행될 수 있고, S-D 평가 프로시저는 S-D 평가 요소를 이용하여 수행될 수 있으며, S-D 이송 시퀀스는 사이트 종속적 이송 서브시스템을 이용하여 수행될 수 있다. 사이트 종속적 데이터는 사이트 종속적 라이브러리 및/또는 데이터베이스에 저장될 수 있다.

Description

인라인 리소그래피 및 에칭 시스템{IN-LINE LITHOGRAPHY AND ETCH SYSTEM}
본 출원은, 이와 동일자로 출원한 발명의 명칭이 "Method and Apparatus for Verifying a site-Dependent Wafer"이며 동시 계류중인 미국 특허 출원 제11/XXX,XXX호(대리인 문서 번호 306129US)와, 이와 동일자로 출원한 발명의 명칭이 "Method and Apparatus for Verifying a site-Dependent Prpcedure"이며 동시 계류중인 미국 특허 출원 제11/XXX,XXX호(대리인 문서 번호 306130US)와, 이와 동일자로 출원한 발명의 명칭이 "Method and Apparatus for Creating a site-Dependent Evaluation Library"이며 동시 계류중인 미국 특허 출원 제11/XXX,XXX호(대리인 문서 번호 306131US)와, 이와 동일자로 출원한 발명의 명칭이 "Method and Apparatus for Performing a site-Dependent Dual Damascene Procedure"이며 동시 계류중인 미국 특허 출원 제11/XXX,XXX호(대리인 문서 번호 306134US), 및 이와 동일자로 출원한 발명의 명칭이 "Method and Apparatus for Performing a site-Dependent Dual Patterning Procedure"이며 동시 계류중인 미국 특허 출원 제11/XXX,XXX호(대리인 문서 번호 306135US)에 관한 것이다. 이들 출원 각각의 내용은 그 전체가 참조에 의해 본 명세서에 원용된다.
본 발명은 웨이퍼 처리에 관한 것이며, 보다 구체적으로는 사이트 종속적(S-D : site-dependent) 프로시저 및 서브시스템을 이용하여 웨이퍼 처리를 향상시키 는 것에 관한 것이다.
집적 회로에 이용된 현재의 제조 방법론 및 공장 설계에서는 단독형 플랫폼으로서 배치되거나, 대개 2000 피트 이상씩 떨어진 통상 면적에서 그룹화되는 다수의 툴을 필요로 한다. 그렇기 때문에, 이들 툴을 운용하기 위한 설비 역시 공장 전역에 넓게 분포되어 있어야 한다. 이들 플랫폼이 필요로 하는 통상의 기능은 기판 코팅(접착, BARC, TARC, 레지스트, 상면 코팅), 베이크(도포후 베이크 및 노광후 베이크), 촬상(노광), 계측(오버레이, 임계 치수, 결함 및 막 두께), 침지 처리를 이용한 노광전과 노광후 세정, 에칭(하위층 박막에 패턴 형성) 및 에칭 후 세척(폴리머 및 다른 부산물 제거)이다. 32 nm 이하의 게이트 길이를 목표로 하는 기술은 반도체 디바이스의 단일 활성층을 완성하기 위해 이들 작업의 다수가 반복되는 것, 즉 2중 BARC, 2중 또는 3중 패터닝, 2중 또는 3중 촬상 등을 필요로 한다.
15 nm 게이트 기술을 위해 필수 게이트 레벨 결함 빈도(defect density)는 ITRS 2005 로드맵(roadmap)에 따르면 사이즈에 있어서 10 nm에서 대략 0.01/㎠일 것이다. 임계 치수 제어는 게이트 소자에 대해 에칭 후 약 0.6 nm(3 시그마)가 되어야 할 것이다. 이들 성능 특성과 공존하는 리소그래피 및 에칭 프로세스 툴은 없다.
이들 고급 기술은 허용 가능한 디바이스 결과를 유지하기 위하여 프로세스의 실시간, 웨이퍼 간 업스트림 조정을 필요로 할 것이다. 결함도 요건에 있어서, 툴에서 툴로의 웨이퍼의 이동이 결함 및 공장 청정실 비용을 추가할 것이기 때문에, 공장 내에서 웨이퍼의 그러한 이동을 덜 요구할 것이다.
오늘날 이용되는 플랫폼은 제조 "섬(island)"으로서 기능한다. 이것은 최상의 CoO 개발을 감당하지 못하거나 최적의 프로세스 제어를 감당하지 못한다. 오늘날, 일부 노광 툴 제조업자에 의해 가능하다면 요구되는 처리량인 시간 당 300 웨이퍼를 충족할 수 있는 300 mm 트랙 설계는 없다.
본 발명은 S-D 처리 프로시저 및/또는 S-D 평가 프로시저를 이용하여 웨이퍼를 실시간으로 처리하는 방법을 제공한다. 일부 실시예들에서, 하나 이상의 서브시스템 및/또는 시스템 내의 하나 이상의 컨트롤러는, 실시간 S-D 파라미터를 이용하여 S-D 처리 프로시저 및/또는 S-D 평가 프로시저를 수행하는데 이용될 수 있다. 또한, S-D 처리 프로시저 및/또는 S-D 측정 프로시저는 이력 데이터를 이용하여 작동할 수 있다.
일부 다른 실시예들에 있어서, 본 발명은 S-D 웨이퍼를 검증하기 위한 방법 및 장치를 제공한다. 한 단계에서, 제1 S-D 웨이퍼 세트가 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 의해 수납될 수 있고, 하나 이상의 S-D 처리 요소는 하나 이상의 S-D 이송 서브시스템에 연결될 수 있다. 각각의 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있고, 그 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 다른 단계에서, 하나 이상의 S-D 처리 요소를 이용하여 제1 S-D 작성 프로시저를 수행함으로써 제1 미검증 S-D 웨이퍼 세트가 작성될 수 있고, 하나 이상의 미검증 평가 특징부는 미검증 S-D 웨이퍼 각각의 위에 있는 제1 개수의 평가 사이트(site)에 작성될 수 있고, S-D 웨이퍼 상태 데이터가 각각의 미검증 S-D 웨이퍼마다 확립될 수 있고, S-D 웨이퍼 상태 데이터는 각각의 미검증 S-D 웨이퍼마다, 필수 작성 사이트의 개수와 필수 평가 사이트의 개수를 포함할 수 있으며, 제1 개수의 미검증 S-D 웨이퍼를 포함하는 제1 평가 웨이퍼 세트가 확립될 수 있고, 제1 평가 웨이퍼 세트는 제1 S-D 평가 프로시저를 이용하여 평가될 수 있다. 다음으로, 하나 이상의 S-D 이송 서브시스템에 연결된 하나 이상의 서브시스템 내의 복수의 S-D 평가 요소에 대해 제1 작동 상태가 확립될 수 있고, 제1 개수의 가용 평가 요소는 하나 이상의 S-D 평가 요소에 대한 제1 작동 상태를 이용하여 결정될 수 있고, 제1 S-D 이송 시퀀스는 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, 제1 개수의 S-D 평가 웨이퍼, 제1 개수의 가용 평가 요소, 또는 이들의 조합을 이용하여 확립될 수 있다. S-D 평가 웨이퍼의 개수가 가용 평가 요소의 제1 개수 이하이면, 제1 S-D 평가 웨이퍼 세트는 제1 S-D 이송 시퀀스를 이용하여 하나 이상의 평가 서브시스템 내의 제1 개수의 가용 평가 요소로 이송될 수 있고, S-D 평가 웨이퍼의 개수가 가용 평가 요소의 제1 개수보다 많으면, 제1 보정 조치가 적용된다. 또한, 본 발명은 S-D 웨이퍼를 검증하기 위한 시스템을 제공하며, 이 시스템은, 제1 S-D 웨이퍼 세트를 수납하도록 구성된 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소와, 그 하나 이상의 S-D 처리 요소에 연결된 하나 이상의 S-D 이송 서브시스템을 포함할 수 있다. 하나 이상의 S-D 처리 요소는 제1 S-D 작성 프로시저를 수행함으로써 제1 미검증 S-D 웨이퍼 세트를 작성하도록 구성될 수 있고, 하나 이상의 미검증 평가 특징부는 미검증 S-D 웨이퍼 각각의 위에 있는 제1 개수의 평가 사이트에 작성될 수 있다. 시스템은 또한 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 그리고 하나 이상의 S-D 이송 서브시스템에 연결된 하나 이상의 컨트롤러를 포함할 수도 있다. 그 하나 이상의 컨트롤러는, 각각의 미검증 S-D 웨이퍼마다 필수 작성 사이트의 개수 및 필수 평가 사이트의 개수를 포함하는 S-D 웨이퍼 상태 데이터를 확립하고, 제1 개수의 미검증 S-D 웨이퍼를 포함하며 제1 S-D 평가 프로시저를 이용하여 평가되는 제1 평가 웨이퍼 세트를 확립하며, 하나 이상의 S-D 이송 서브시스템에 연결된 하나 이상의 서브시스템 내의 복수의 S-D 평가 요소에 대한 제1 작동 상태를 확립하고, 그 하나 이상의 S-D 평가 요소에 대한 제1 작동 상태를 이용하여 제1 개수의 가용 평가 요소를 판정하며, 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, 제1 개수의 S-D 평가 웨이퍼, 제1 개수의 가용 평가 요소, 또는 이들의 조합을 이용하여 제1 S-D 이송 시퀀스를 확립하고, S-D 평가 웨이퍼의 개수가 가용 평가 요소의 제1 개수보다 많은 경우 제1 보정 조치를 적용하도록 구성될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템은 S-D 평가 웨이퍼의 개수가 가용 평가 요소의 제1 개수 이하인 경우에 제1 S-D 이송 시퀀스를 이용하여 하나 이상의 평가 서브시스템 내의 제1 개수의 가용 평가 요소에 제1 S-D 평가 웨이퍼 세트를 이송하도록 구성될 수 있다.
일부 추가 실시예들에 있어서, 본 발명은 S-D 프로시저를 검증하기 위한 방법 및 장치를 제공한다. 피검증 S-D 작성 프로시저는 피처리 웨이퍼 상의 하나 이상의 위치에 하나 이상의 피검증 평가 특징부를 생성할 수 있다. 리스크 인자가 감소하면, 프로시저를 검증하는데 필요한 사이트의 개수도 저감되므로, 이것이 처리율 상승을 제공할 수 있다. 또한, 신뢰도 값이 상승할 경우, 프로시저를 검증하는데 필요한 사이트의 개수도 저하될 수 있으며, 이것은 처리량 상승을 제공할 수 있다. 완제품(mature product)에 있어서, 더 적은 수의 웨이퍼와 더 적은 수의 사이트를 이용하여 검증 판정이 이루어질 수 있다. 한 단계에서, 하나 이상의 사이트 종속적(S-D) 웨이퍼는 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 연결될 수 있는 하나 이상의 S-D 이송 서브시스템에 의해 수납될 수 있다. 각각의 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있고, 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 다양한 단계에서, 각 웨이퍼마다 S-D 웨이퍼 상태 데이터가 결정될 수 있고, S-D 웨이퍼 상태 데이터를 이용하여 제1 검증 웨이퍼 세트가 확립될 수 있으며, 제1 검증 웨이퍼 세트는 다수의 S-D 웨이퍼를 포함할 수 있다. 필수 검증 사이트의 개수, 시찰한 검증 사이트의 개수, 남아있는 검증 사이트의 개수가 S-D 웨이퍼 상태 데이터로부터 각 검증 웨이퍼마다 결정될 수 있다. 다음으로, 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, 필수 검증 사이트의 개수, 시찰한 검증 사이트의 개수, 남아있는 검증 사이트의 개수, 또는 이들의 조합을 이용하여 제1 검증 웨이퍼 세트 내의 제1 검증 웨이퍼에 대해 제1 프로시저 검증 시퀀스가 확립될 수 있고, 그 프로시저 검증 시퀀스를 이용하여 제1 검증 웨이퍼에 대해 제1 S-D 검증 프로시저가 결정될 수 있으며, 이 프로시저는 하나 이상의 처리 프로시저를 포함할 수 있다. 제1 S-D 처리 요소를 이용할 수 있다면, 제1 검증 웨이퍼는 제1 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 제1 처리 서브시스템 내의 제1 S-D 처리 요소로 이송될 수 있다. 제1 S-D 처리 요소를 이용할 수 없다면, 제1 검증 웨이퍼는 S-D 이송 서브시스템을 이용하여 제1 시간 동안 지연될 수 있다.
또 다른 실시예들에 있어서, 본 발명은 S-D 평가 라이브러리를 작성하는 방법을 제공하며, 이 방법은, S-D 처리 시퀀스를 이용하여 웨이퍼 상의 하나 이상의 층에 S-D 기준 구조물을 작성하는 단계와, 평가 서브시스템을 이용하여 S-D 기준 구조물에 대한 S-D 평가 데이터를 획득하는 단계와, S-D 평가 데이터를 예측된 라이브러리 관련 데이터에 비교하는 단계와, 평가 데이터에 대해 신뢰도 데이터 및 리스크 평가 데이터를 확립하는 단계와, 리스트 평가 한계가 충족될 경우 기준 구조물을 피검증 구조물로서 식별하는 단계와, 피검증 기준 구조물과 연관된 데이터를 S-D 평가 라이브러리에 저장하는 단계로서, S-D 평가 라이브러리 내의 데이터는 S-D 파장 세트를 포함하는 것을 특징으로 하는 저장 단계와, 매칭 조건이 발견되는 경우 매칭 조건과 연관된 S-D 평가 라이브러리 데이터를 이용하여 S-D 기준 구조물을 식별하거나, 또는 매칭 조건이 발견될 수 없다면 제1 보정 조치를 적용하는 단계를 더 포함할 수 있다. 또한, 본 발명은 S-D 평가 라이브러리를 작성하기 위한 시스템을 제공하고, 이 시스템은, 웨이퍼 상에서 하나 이상의 층에 S-D 기준 구조물을 작성하기 위한 S-D 처리 서브시스템과, 평가 데이터를 제공하기 위한 S-D 평가 서브시스템과, 평가 데이터를 예측 데이터에 비교하고, 피검증 기준 구조물을 식별하며, 피검증 기준 구조물과 연관된 데이터를 S-D 평가 라이브러리에 저장하기 위한 컨트롤러를 포함할 수 있다.
추가 실시예들에서, 본 발명은 사이트 종속적(S-D) 처리 요소, S-D 평가 요소, S-D 작성 프로시저, S-D 평가 프로시저, 또는 이들의 임의의 조합을 이용하여 2중 다마신 프로시저를 수행하기 위한 시스템 및 방법을 제공한다.
다른 추가 실시예들에서, 본 발명은 복수의 S-D 프로시저를 이용하여 2중 패터닝 처리 시퀀스를 수행하는 방법을 제공한다. 이 방법은 처리 시스템 내의 제1 S-D 이송 서브시스템에 의해 제1 웨이퍼 세트를 수납하는 단계를 포함할 수 있다. 처리 시스템은, 하나 이상의 리소그래피 서브시스템, 하나 이상의 스캐너 서브시스템, 하나 이상의 에칭 서브시스템, 하나 이상의 열처리 서브시스템, 하나 이상의 평가 서브시스템, 하나 이상의 검사 서브시스템, 하나 이상의 재공정(rework) 서브시스템, 하나 이상의 증착 서브시스템, 또는 이들의 임의의 조합을 포함할 수 있다.
본 발명의 다른 양태들은 이하의 설명과 첨부하는 도면으로부터 분명해질 것이다.
이제, 대응하는 도면 부호가 대응하는 부분을 가리키는 첨부하는 개략적 도면들을 참조하여, 본 발명의 실시예들에 대해서 예시적으로만 설명할 것이다.
도 1은 본 발명의 실시예들에 따른 처리 시스템의 예시적인 블록도이다.
도 2는 본 발명의 실시예들에 따라 S-D 프로시저를 이용하여 웨이퍼를 처리하기 위한 방법의 예시적인 흐름도이다.
도 3은 본 발명의 실시예들에 따른 웨이퍼 맵의 개략도이다.
도 4는 본 발명의 실시예들에 따른 예시적인 서브시스템의 개략 블록도이다.
도 5는 본 발명의 실시예들에 따라, S-D 특징부, S-D 웨이퍼, 및/또는 S-D 프로시저를 검증하기 위한 방법의 예시적인 흐름도이다.
도 6은 본 발명의 실시예들에 따라 S-D 평가 라이브러리를 작성하기 위한 방법의 예시적인 흐름도이다.
도 7은 S-D 프로시저를 이용하여 웨이퍼 상에 2중 다마신 구조물을 작성하기 위한 방법의 예시적인 흐름도이다.
도 8은 S-D 평가 라이브러리를 작성하기 위한 또 다른 예시적인 흐름도이다.
도 9는 각 모듈이 웨이퍼를 처리하는 데에 모든 필요한 장비를 포함하는 모듈 시스템을 도시하는, 본 발명의 실시예의 블록도이다.
본 발명은 사이트 종속적(S-D) 프로시저, 시퀀스, 및/또는 서브시스템을 이용하여 다수의 반도체 디바이스를 위에 구비한 웨이퍼를 처리하기 위한 장치 및 방법을 제공한다. 웨이퍼를 수납할 경우, 그 웨이퍼는 사이트 종속적(S-D) 웨이퍼 또는 사이트 비종속적(N-S-D : Non-Site-Dependent) 웨이퍼로서 식별될 수 있다. 다양한 실시예들에서, S-D 이송 시퀀스를 수행하고, S-D 웨이퍼를 처리하며, S-D 평가 라이브러리를 작성하고, 하나 이상의 S-D 작성 프로시저 및/또는 하나 이상의 S-D 평가 프로시저를 포함할 수 있는 S-D 처리 시퀀스를 수행하며, S-D 검증 프로시저를 수행하기 위한 장치 및 방법이 제공된다.
처리 시스템은, S-D 처리 요소, S-D 평가 요소, 및 하나 이상의 S-D 처리 요소와 하나 이상의 S-D 평가 요소에 연결된 하나 이상의 S-D 이송 서브시스템을 포 함할 수 있다. 대안으로, 다른 구성도 이용할 수 있다.
S-D 웨이퍼 상의 다양한 위치에 하나 이상의 사이트(site)가 마련될 수 있다. 사이트는 프로세스에 관련된 것이고, 그 하나 이상의 사이트는 S-D 평가 및/또는 검증 프로시저에 이용될 수 있다. S-D 평가 및/또는 검증 프로시저는 S-D 이송 시퀀스, S-D 웨이퍼, S-D 프로시저, S-D 평가 라이브러리, S-D 처리 시퀀스, 처리 단계에 이용된 특정 사이트, 또는 이들의 임의의 조합을 평가 및/또는 검증하는데 이용될 수 있다.
S-D 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있고, 그 웨이퍼 데이터는 실시간 데이터 및 이력 데이터를 포함할 수 있다. 웨이퍼 데이터는 S-D 및/또는 N-S-D 데이터일 수 있다. 또한, 웨이퍼 데이터는 웨이퍼에 대한 신뢰도 데이터 및/또는 리스크 데이터를 포함할 수 있다. S-D 웨이퍼는 그와 연관된 사이트 데이터를 가질 수 있고, 그 사이트 데이터는 필수 사이트의 개수, 시찰한 사이트의 개수, 그 하나 이상의 사이트에 대한 신뢰도 데이터 및/또는 리스크 데이터, 사이트 순위 데이터, 이송 시퀀스 데이터, 프로세스에 관련된 데이터, 평가/검증에 관련된 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 웨이퍼 데이터는 S-D 이송 시퀀스 특성을 확립하는데 이용될 수 있는 하나 이상의 이송 시퀀스 변수를 포함할 수 있다. S-D 이송 시퀀스는, 처리량을 최적화하며, 처리 요소의 이용을 최대화하고, 평가 요소의 이용을 최대화하며, 가능한 한 신속하게 결함 웨이퍼를 재공정하기 위하여 실시간으로 변경될 수 있다. 웨이퍼 데이터는 S-D 처리 시퀀스 특성을 확립하는데 이용될 수 있는 하나 이상의 처리 시퀀스 변수를 포함할 수 있다. S-D 이송 시 퀀스는, 처리량을 최적화하며, 처리 요소의 이용을 최대화하고, 평가 요소의 이용을 최대화하며, 가능한 신속하게 결함 웨이퍼를 재공정하고, 오프라인 및/또는 결함 요소를 피하고, 하나 이상의 사이트가 평가 및/또는 검증되었을 경우 웨이퍼를 이송하기 위해 실시간으로 변경될 수 있다.
또한, S-D 이송 및/또는 S-D 처리 시퀀스도 웨이퍼 데이터를 이용하여 S-D 웨이퍼마다 확립될 수 있다. 본 명세서에서 상세하게 설명하는 다양한 조건에 기초하여 S-D 처리 시퀀스가 확립될 수 있고, 본 명세서에서 상세하게 설명하는 다양한 조건에 기초하여 S-D 이송 시퀀스가 확립될 수 있다.
각 웨이퍼에 필요한 사이트의 개수, 처리를 필요로 하는 웨이퍼의 개수, 가용 S-D 처리 요소의 개수, 및 S-D 이송 서브시스템에 대한 로딩 데이터에 기초하여 S-D 이송 시퀀스가 확립될 수 있다.
또한, S-D 이송 시퀀스는, 최단 시간에 제1 웨이퍼 상의 필수 사이트들 중 제1 사이트에 대한 신뢰도 데이터를 획득하고, 최단 시간에 제1 웨이퍼 상의 하나 이상의 필수 사이트에 대한 신뢰도 데이터를 획득하며, 최단 시간에 제1 웨이퍼 상의 필수 사이트 모두에 대한 신뢰도 데이터를 획득하고, 최단 시간에 하나 이상의 추가 웨이퍼 상의 필수 사이트들 중 제1 사이트에 대한 신뢰도 데이터를 획득하며, 최단 시간에 하나 이상의 추가 웨이퍼 상의 하나 이상의 필수 사이트에 대한 신뢰도 데이터를 획득하고, 최단 시간에 하나 이상의 추가 웨이퍼 상의 필수 사이트 모두에 대한 신뢰도 데이터를 획득하며, 최단 시간에 제1 그룹 내의 모든 웨이퍼 상의 필수 사이트들 중 제1 사이트에 대한 신뢰도 데이터를 획득하고, 최단 시간에 제1 그룹 내의 모든 웨이퍼 상의 하나 이상의 필수 사이트에 대한 신뢰도 데이터를 획득하거나, 최단 시간에 제1 그룹 내의 모든 웨이퍼 상의 필수 사이트 모두에 대한 신뢰도 데이터를 획득하거나, 또는 이들의 임의의 조합을 획득하기 위하여 확립될 수 있다.
다른 실시예들에 있어서, S-D 이송 시퀀스는, 최단 시간에 제1 웨이퍼에 대한 리스크 데이터를 획득하고, 최단 시간에 하나 이상의 추가 웨이퍼에 대한 리스크 데이터를 획득하거나, 최단 시간에 제1 그룹 내의 모든 웨이퍼에 대한 리스크 데이터를 획득하거나, 또는 이들의 임의의 조합을 획득하기 위해 확립될 수 있다. 또한, 이송 시퀀스는, 최단 시간에 제1 웨이퍼에 대한 신규 웨이퍼 데이터를 획득하고, 최단 시간에 하나 이상의 추가 웨이퍼에 대한 신규 웨이퍼 데이터를 획득하거나, 최단 시간에 제1 그룹 내의 모든 웨이퍼에 대한 신규 웨이퍼 데이터를 획득하거나, 또는 이들의 임의의 조합을 획득하기 위해 확립될 수 있다. 예컨대, S-D 및/또는 N-S-D 웨이퍼를 이용할 수 있고, S-D 및/또는 N-S-D 신뢰도 데이터를 획득할 수 있으며, S-D 및/또는 N-S-D 리스크 데이터를 획득할 수 있다.
또 다른 실시예들에 있어서, S-D 이송 시퀀스는, 최단 시간에 제1 프로시저에 대한 리스크 데이터를 획득하고, 최단 시간에 하나 이상의 추가 프로시저에 대한 리스크 데이터를 획득하거나, 최단 시간에 제1 라이브러리로부터 제1 그룹 내의 모든 프로시저에 대한 리스크 데이터를 획득하거나, 또는 이들의 조합을 획득하기 위해 확립될 수 있다.
추가 실시예들에 있어서, S-D 이송 시퀀스는, 최단 시간에 제1 라이브러리 관련 데이터를 획득하고, 최단 시간에 추가 라이브러리 관련 데이터를 획득하거나, 최단 시간에 제1 라이브러리의 제1 서브세트 내의 모든 라이브러리 관련 데이터를 획득하거나, 또는 이들의 조합을 획득하기 위해 확립될 수 있다. 예컨대, S-D 및/또는 N-S-D 라이브러리 관련 데이터를 획득할 수 있다.
또한, S-D 이송 시퀀스는, 웨이퍼를 하나 이상의 지정된 처리 요소 및/또는 평가 요소에 하나 이상의 가용 처리 요소 및/또는 평가 요소에, 하나 이상의 "골든" 처리 요소 및/또는 평가 요소에, 하나 이상의 저(低)리스크 처리 요소 및/또는 평가 요소에, 하나 이상의 고신뢰도 처리 요소 및/또는 평가 요소에 이송하도록 확립될 수 있다. 예컨대, S-D 및/또는 N-S-D 웨이퍼를 이용할 수 있고, S-D 및/또는 N-S-D 처리 요소를 이용할 수 있으며, S-D 및/또는 N-S-D 평가 요소를 이용할 수 있다.
추가 실시예들에 있어서, 하나 이상의 처리 요소 및/또는 평가 요소를 이용할 수 없는 경우, S-D 이송 서브시스템을 이용하여 최단 시간 동안 웨이퍼를 "지연" 및/또는 "보관"하도록 S-D 이송 시퀀스가 확립될 수 있거나, 하나 이상의 처리 요소 및/또는 평가 요소를 이용할 수 없는 경우, S-D 이송 서브시스템을 이용하여 미리 정해진 시간 동안 웨이퍼를 "지연" 및/또는 "보관"하도록 S-D 이송 시퀀스가 확립될 수 있거나, 제1 서브시스템에서 하나 이상의 처리 요소 및/또는 평가 요소를 이용할 수 없는 경우, S-D 이송 서브시스템을 이용하여 웨이퍼를 또 다른 서브시스템에 이송하도록 S-D 이송 시퀀스가 확립될 수 있다.
또한, "지연된" 및/또는 "보관된" 웨이퍼를, 최단 시간에 하나 이상의 처리 요소 및/또는 평가 요소에, 하나 이상의 신규 가용 처리 요소 및/또는 평가 요소에, 소정 시간 후에 하나 이상의 가용 처리 요소 및/또는 평가 요소에, 하나 이상의 저리스크 처리 요소 및/또는 평가 요소에, 하나 이상의 고신뢰도 처리 요소 및/또는 평가 요소에 이송하도록 S-D 이송 시퀀스가 확립될 수 있다.
다른 추가 실시예들에서는, "지연된" 및/또는 "보관된" 웨이퍼를, 최단 시간에 하나 이상의 처리 요소 및/또는 평가 요소에, 하나 이상의 신규 가용 처리 요소 및/또는 평가 요소에, 소정 시간 후에 하나 이상의 가용 처리 요소 및/또는 평가 요소에, 하나 이상의 저리스크 처리 요소 및/또는 평가 요소에, 또는 하나 이상의 고신뢰도 처리 요소 및/또는 평가 요소에 이송하도록 S-D 이송 시퀀스가 확립될 수 있다.
S-D 이송 시퀀스는 선(先)처리 및/또는 후(後)처리를 위해 웨이퍼를 하나 이상의 서브시스템에 이송하도록 확립될 수 있다. 예컨대, 웨이퍼 프로파일 데이터, 웨이퍼 두께 데이터, 웨이퍼 온도 데이터, 광학 데이터, 또는 이들의 임의의 조합 등의 S-D 웨이퍼 데이터가 선처리 및/또는 후처리 시에 획득될 수 있다. S-D 이송 시퀀스는 에러 발생 시에 웨이퍼를 최단 시간에 하나 이상의 재공정 서브시스템으로 이송하도록 확립될 수 있다.
S-D 이송 시퀀스는, 수율을 최대화하고, 오퍼레이터의 개입을 허용하며, 호스트 시스템의 개입을 허용하고, 스캐너 서브시스템으로 인한 지연을 최소화하거나 이들의 임의의 조합을 위해 웨이퍼와 그 위에 있는 적어도 하나의 피검증 디바이스가 계속해서 처리를 통과하도록 확립될 수 있다. 현재의 공장 시스템은 웨이퍼를 이송하기 위한 S-D 이송 서브시스템 및/또는 웨이퍼를 처리하기 위한 S-D 처리 서브시스템을 포함하지 않는다. 또한, 현재의 공장 시스템은, 웨이퍼가 처리된 후에 하나의 서브시스템에서 다른 서브시스템으로 S-D 웨이퍼 데이터를 전송하고 및/또는 웨이퍼를 처리하기 위한 S-D 프로시저를 포함하지 않는다. 웨이퍼 프로세스로 인한 S-D 변동은 웨이퍼 전체에 걸쳐 균일하지 않을 수 있고, S-D 변동은 챔버 간 편차, 처리 시간, 처리 화학반응, 및 시간에 따른 챔버 드리프트를 포함할 수 있다.
특징부 사이즈가 65 nm 노드 이하로 저하함에 따라 정확한 처리 및/또는 측정 데이터가 점점 중요해지고 획득하기에 더욱 어려워지고 있다. S-D 프로시저는 이들 초소형 특징부를 정확하게 처리 및/또는 측정하는데 이용될 수 있다. S-D 데이터는 경고 및/또는 제어 한계에 비교될 수 있으며, 실행 규칙(run-rule) 위반 시 처리 문제를 지적하는 경보가 발생할 수 있다.
도 1은 본 발명의 실시예들에 따른 처리 시스템의 예시적인 블록도이다. 도시하는 실시예에서, 처리 시스템(100)은, 시스템 컨트롤러(195), 제1 리소그래피 서브시스템(110), 스캐너 서브시스템(115), 제2 리소그래피 서브시스템(120), 제3 리소그래피 서브시스템(125), 열처리 서브시스템(130), 검사 서브시스템(135), 에칭 서브시스템(140), 증착 서브시스템(145), 평가 서브시스템(150), 및 재공정 서브시스템(155)을 포함한다. 도시하는 실시예에는 단일 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)을 나타내지만, 복수의 서브시스템을 이용할 수도 있다. 예를 들어, 일부 실시예들에는 복수의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)이 처리 시스템(100)에 이용될 수 있다. 또한, 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)은 하나 이상의 프로세스를 수행하는데 이용될 수 있는 하나 이상의 처리 요소를 포함할 수 있다.
시스템 컨트롤러(195)는 데이터 전송 서브시스템(106)을 이용하여, 제1 리소그래피 서브시스템(110), 스캐너 서브시스템(115), 제2 리소그래피 서브시스템(120), 제3 리소그래피 서브시스템(125), 열처리 서브시스템(130), 검사 서브시스템(135), 에칭 서브시스템(140), 증착 서브시스템(145), 평가 서브시스템(150), 및 재공정 서브시스템(155)에 연결될 수 있다. 예를 들어, 제2 리소그래피 서브시스템(120)은 (침지후)세정 서브시스템(도시 생략)을 포함할 수 있다.
제1 리소그래피 서브시스템(110)은 제1 S-D 이송 서브시스템(101)에 연결(111a)되고, 제2 S-D 이송 서브시스템(102)에 연결(111b)될 수 있다. 스캐너 서브시스템(115)은 제1 S-D 이송 서브시스템(101)에 연결(116a)되고, 제2 S-D 이송 서브시스템(102)에 연결(116b)될 수 있다. 제2 리소그래피 서브시스템(120)은 제1 S-D 이송 서브시스템(101)에 연결(121a)되고, 제2 S-D 이송 서브시스템(102)에 연결(121b)될 수 있다. 제3 리소그래피 서브시스템(125)은 제1 S-D 이송 서브시스템(101)에 연결(126a)되고, 제2 S-D 이송 서브시스템(102)에 연결(126b)될 수 있다. 열처리 서브시스템(130)은 제1 S-D 이송 서브시스템(101)에 연결(131a)되고, 제2 S-D 이송 서브시스템(102)에 연결(131b)될 수 있다. 검사 서브시스템(135)은 제1 S-D 이송 서브시스템(101)에 연결(136a)되고, 제2 S-D 이송 서브시스템(102)에 연결(136b)될 수 있다. 에칭 서브시스템(140)은 제1 S-D 이송 서브시스템(101)에 연결(141a)되고, 제2 S-D 이송 서브시스템(102)에 연결(141b)될 수 있다. 증착 서브시스템(145)은 제1 S-D 이송 서브시스템(101)에 연결(146a)되고, 제2 S-D 이송 서브시스템(102)에 연결(146b)될 수 있다. 평가 서브시스템(150)은 제1 S-D 이송 서브시스템(101)에 연결(151a)되고, 제2 S-D 이송 서브시스템(102)에 연결(151b)될 수 있다. 재공정 서브시스템(155)은 제1 S-D 이송 서브시스템(101)에 연결(156a)되고, 제2 S-D 이송 서브시스템(102)에 연결(156b)될 수 있다. 대안으로, 다른 연결 구성을 이용할 수도 있다.
또한, 제3 이송 서브시스템(103)은 제1 S-D 이송 서브시스템(101)에 연결되고, 제2 S-D 이송 서브시스템(102)에 연결될 수 있다. 제3 이송 서브시스템(103)은 다른 이송 시스템 및/또는 처리 시스템(도시 생략)에 연결될 수 있다. 예컨대, 이송 시스템(101, 102 및 103)은 웨이퍼를 수납, 웨이퍼를 이송, 웨이퍼를 정렬, 웨이퍼를 보관 및/또는 웨이퍼를 지연시키기 위해 전달 요소(105)에 연결된 이송 요소(104)를 이용할 수 있다. 대안으로, 다른 이송 수단을 이용할 수도 있다.
제조 실행 시스템(MES)(180)이 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195)에 연결될 수 있다. 대안으로, 공장 레벨 및/또는 호스트 시스템을 이용할 수 있고 다른 연결 기술을 이용할 수도 있다. 대안적 실시예들에서는 하나 이상의 추가 서브시스템이 필요할 수 있다. 예를 들어, 시스템 컨트롤러(195)가 다른 처리 시스템 및/또는 서브시스템(도시 생략)에 연결될 수 있다. 대안으로, 다른 구성을 이용할 수도 있고 다른 연결 기술을 이용할 수도 있다.
제1 리소그래피 서브시스템(110)은 내부 이송 디바이스(113)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(111a)될 수 있는 하나 이상의 처리 요소(112)를 포함할 수 있다. 스캐너 서브시스템(115)은 내부 이송 디바이스(118)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(116a)될 수 있는 하나 이상의 처리 요소(117)를 포함할 수 있다. 제2 리소그래피 서브시스템(120)은 내부 이송 디바이스(123)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(121a)될 수 있는 하나 이상의 처리 요소(122)를 포함할 수 있다. 제3 리소그래피 서브시스템(125)은 내부 이송 디바이스(128)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(126a)될 수 있는 하나 이상의 처리 요소(127)를 포함할 수 있다. 열처리 서브시스템(130)은 내부 이송 디바이스(133)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(131a)될 수 있는 하나 이상의 처리 요소(132)를 포함할 수 있다. 검사 서브시스템(135)은 내부 이송 디바이스(138)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(136a)될 수 있는 하나 이상의 평가 요소(137)를 포함할 수 있다. 에칭 서브시스템(140)은 내부 이송 디바이스(143)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(141a)될 수 있는 하나 이상의 처리 요소(142)를 포함할 수 있다. 증착 서브시스템(145)은 내부 이송 디바이스(148)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(146a)될 수 있는 하나 이상의 처리 요소(147)를 포함할 수 있다. 평가 서브시스템(150)은 내부 이송 디바이스(153)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(151a)될 수 있는 하나 이상의 평가 요소(152)를 포함할 수 있다. 재공정 서브시스템(155)은 내부 이송 디바이스(158)에 연결 및/또는 제1 S-D 이송 서브시스템(101)에 연결(156a)될 수 있는 하나 이상의 처리 요소(157)를 포함할 수 있다. 서브시스템에는 다양한 처리 요소를 이용할 수 있다. 처리 요소는 직렬로 및/또는 병렬로 연결될 수 있으며, 하나 이상의 입력 포트 및/또는 하나 이상의 출력 포트를 구비할 수 있다. 예컨대, 처리 요소는 툴, 모듈, 챔버, 센서, 및/또는 다른 디바이스를 포함할 수 있다.
일부 실시예들에서는 서브시스템이 추가 이송 디바이스를 포함할 수 있다. 제1 리소그래피 서브시스템(110)은 제2 S-D 이송 서브시스템(102)에 연결(111b)될 수 있는 하나 이상의 내부 이송 디바이스(113)를 포함할 수 있다. 스캐너 서브시스템(115)은 제2 S-D 이송 서브시스템(102)에 연결(116b)될 수 있는 하나 이상의 내부 이송 디바이스(118)를 포함할 수 있다. 제2 리소그래피 서브시스템(120)은 제2 S-D 이송 서브시스템(102)에 연결(121b)될 수 있는 하나 이상의 내부 이송 디바이스(123)를 포함할 수 있다. 제3 리소그래피 서브시스템(125)은 제2 S-D 이송 서브시스템(102)에 연결(126b)될 수 있는 하나 이상의 내부 이송 디바이스(128)를 포함할 수 있다. 열처리 서브시스템(130)은 제2 S-D 이송 서브시스템(102)에 연결(131b)될 수 있는 하나 이상의 내부 이송 디바이스(133)를 포함할 수 있다. 검사 서브시스템(135)은 제2 S-D 이송 서브시스템(102)에 연결(136b)될 수 있는 하나 이상의 내부 이송 디바이스(138)를 포함할 수 있다. 에칭 서브시스템(140)은 제2 S-D 이송 서브시스템(102)에 연결(141b)될 수 있는 하나 이상의 내부 이송 디바이스(143)를 포함할 수 있다. 증착 서브시스템(145)은 제2 S-D 이송 서브시스템(102)에 연결(146b)될 수 있는 하나 이상의 내부 이송 디바이스(148)를 포함할 수 있다. 평가 서브시스템(150)은 제2 S-D 이송 서브시스템(102)에 연결(151b)될 수 있는 하나 이상의 내부 이송 디바이스(153)를 포함할 수 있다. 재공정 서브시스템(155)은 제2 S-D 이송 서브시스템(102)에 연결(156b)될 수 있는 하나 이상의 내부 이송 디바이스(158)를 포함할 수 있다. 대안으로, 다른 연결 구성을 이용할 수도 있다. 다른 실시예들에서는 임의 개의 이송 디바이스 및/또는 이송 서브시스템을 시스템에 이용할 수 있다. 이송 디바이스 및/또는 이송 서브시스템은 직렬로 및/또는 병렬로 연결될 수 있으며 하나 이상의 입력 포트 및/또는 하나 이상의 출력 포트를 구비할 수 있다.
제1 리소그래피 서브시스템(110)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(114)를 포함할 수 있다. 스캐너 서브시스템(115)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(119)를 포함할 수 있다. 제2 리소그래피 서브시스템(120)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(124)를 포함할 수 있다. 제3 리소그래피 서브시스템(125)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(129)를 포함할 수 있다. 열처리 서브시스템(130)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(134)를 포함할 수 있다. 검사 서브시스템(135)은 데이터 전송 서브시스 템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(139)를 포함할 수 있다. 에칭 서브시스템(140)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(144)를 포함할 수 있다. 증착 서브시스템(145)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(149)를 포함할 수 있다. 평가 서브시스템(150)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(154)를 포함할 수 있다. 재공정 서브시스템(155)은 데이터 전송 서브시스템(106)을 이용하여 시스템 컨트롤러(195) 및/또는 다른 컨트롤러에 연결될 수 있는 하나 이상의 컨트롤러(159)를 포함할 수 있다. 대안으로, 다른 연결 구성을 이용할 수도 있다. 다른 실시예들에서는 임의 개의 컨트롤러를 시스템에 이용할 수 있다. 컨트롤러들은 직렬로 및/또는 병렬로 연결될 수 있으며, 하나 이상의 입력 포트 및/또는 하나 이상의 출력 포트를 구비할 수 있다. 예를 들어, 컨트롤러는 8비트, 16비트, 32비트 및/또는 64 비트 프로세서를 포함할 수 있다.
또한, 서브시스템들(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)은 인트라넷, 인터넷, 유선 및/또는 무선 접속을 이용하여 서로 그리고 다른 디바이스에 연결될 수 있다. 컨트롤러들(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)은 필요하다면 서로 연결될 수 있다.
실시간 S-D 프로시저 수행 시에 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)를 이용할 수 있다. 컨트롤러는 서브시스템, 처리 요소, 프로세스, 레시피, 프로파일 및/또는 모델 데이터를 업데이트하기 위해 실시간 데이터를 수신할 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 실시간 데이터를 이용하여 실시간 S-D 프로시저를 수행할 수 있고, 본 명세서에 기재하는 바와 같이 실시간 S-D 데이터를 제공할 수 있다. 일부 실시예들에서는 MES(180)과 하나 이상의 SECS 메시지를 교환하고, S-D 정보를 판독 및/또는 제거하며, S-D 정보를 피드백 및/또는 피드포워드하거나, 및/또는 S-D 정보를 SECS 메시지로서 보내기 위해 하나 이상의 컨트롤러를 이용할 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 실시간 데이터를 이용하여 S-D 프로시저를 수행할 수 있고 실시간 S-D 데이터를 제공할 수 있다. 예컨대, 컨트롤러는 실시간 데이터를 포함하는 메시지를 수신, 처리, 및/또는 보내는 데에 이용될 수 있다.
또한, 컨트롤러들(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)은 필요하다면 메모리(도시 생략)를 포함할 수 있다. 예컨대, 메모리(도시 생략)는 컨트롤러들(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)에 의해 실행될 정보 및 명령어를 저장하는 데에 이용될 수 있으며, 처리 시스템(100) 내의 다양한 컴퓨터/프로세서에 의한 명령어 실행 시에 임시 변수 또는 기타 중간 정보를 저장하는 데에 이용될 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154 및 159) 또는 기타 시스템 구성요소들은 컴퓨터 판독 가능한 매체로부터 데이터 및/또는 명령어를 판독하기 위한 수단을 포함할 수 있고, 데이터 및/또는 명령어를 컴퓨터 판독 가능한 매체에 기록하기 위한 수단을 포함할 수 있다.
처리 시스템(100)은 메모리에 포함되거나 및/또는 메시지로 수신된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 처리 시스템 내의 컴퓨터/프로세서에 응답하여 본 발명의 처리 단계들의 일부 또는 전부를 수행할 수 있다. 그러한 명령어는 또 다른 컴퓨터, 컴퓨터 판독 가능한 매체, 또는 네트워크 접속으로부터 수신될 수 있다.
컴퓨터 판독 가능한 매체 중 임의의 것 또는 임의의 조합 상에 저장되어 있다면, 본 발명은, 처리 시스템을 제어하며, 본 발명을 구현하기 위한 디바이스 또는 디바이스들을 구동하고, 처리 시스템(100)으로 하여금 인간 사용자와 대화하게 하기 위한 소프트웨어를 포함한다. 그러한 소프트웨어는 디바이스 드라이버, 운영체제, 개발 툴, 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이들에 한정되지는 않는다. 그러한 컴퓨터 판독 가능한 매체는 본 발명의 구현 시에 수행되는 처리의 전부 또는 일부(처리가 분산되어 있는 경우)를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 명세서에 사용되는 "컴퓨터 판독 가능한 매체"란 용어는 실행용 프로세서에 명령어를 제공하는 데에 관계된 임의의 매체를 칭하는 것이다. 컴퓨터 판독 가능한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 비롯한 다양한 형태를 취할 수 있지만, 이들에 한정되지는 않는다.
서브시스템들(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)은 처리 툴(도시 생략)을 포함할 수 있다. 일부 실시예에서는 Tokyo Electron Limited(TEL)로부터의 시스템 구성요소를 이용하여 통합 시스템을 구성할 수 있다. 다른 실시예들에서는 외부 서브시스템 및/또는 툴이 포함될 수도 있다. 처리 툴 및/또는 처리 요소들은 하나 이상의 에칭 툴, 증착 툴, ALD 툴, 측정 툴, 이온화 툴, 연마 툴, 코팅 툴, 현상 툴, 세정 툴, 노광 툴, 및 열처리 툴을 포함할 수 있다. 또한, CD 전자 주사 현미경(CDSEM) 툴, 전자 투과 현미경(TEM) 툴, 포커싱된 이온 빔(FIB) 툴, ODP 툴, 원자력 현미경(AFM) 툴, 또는 또 다른 광학 계측 툴을 포함할 수 있는 측정 툴이 제공될 수 있다. 서브시스템 및/또는 처리 요소는 상이한 인터페이스 요건들을 가질 수 있고, 컨트롤러는 이들 상이한 인터페이스 요건을 만족하도록 구성될 수 있다.
하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)는 제어 구성요소, GUI 구성요소, 및/또는 데이터베이스 구성요소(도시 생략)를 포함할 수 있다. 예컨대, 사용자에게 상태 보기를 가능하게 하고, 사이트 종속 및 사이트 비종속적 프로시저, 전략, 계획, 에러, 결함, 데이터베이스, 규칙, 레시피, 모델링 애플리케이션, 시뮬레이션, 및/또는 스프레드시트 애플리케이션, 이메일 메시지 및 진단 화면을 작성/보기/편집하는 것을 가능하게 하는 인터페이스를 이용하기에 용이한 GUI 구성요소(도시 생략)를 제공할 수 있다. 당업자들에게는 명백한 바이지만, GUI 구성요소가 모든 기능에 대한 인터페이스를 제공할 필요는 없고 이들 기능 또는 본 명세서에 기재하지 않은 다른 것들 중 임의의 서브세트에 대한 인터페이스를 제공할 수 있다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 및 159) 및/또는 시스템 컨트롤러(195)는 MES(180) 및 다른 서브시스템들과 정보를 교환하기 위한 데이터 전송 시스템(190)에 연결될 수 있다. 데이터 전송 시스템(190)은 하드와이어 및 무선 구성요소를 포함할 수 있다.
서브시스템들(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155), 컨트롤러들(114, 119, 124, 129, 134, 139, 144, 149, 154, 및 159), 및/또는 시스템 컨트롤러(195)는 고급 프로세스 제어(APC) 애플리케이션, 결함 검출 및 분류(FDC), 및/또는 런투런(R2R) 애플리케이션을 포함할 수 있다. 일부 실시예들에서는 S-D APC 애플리케이션, S-D FDC 애플리케이션, 및/또는 S-D R2R 애플리케이션이 수행될 수 있다.
일부 실시예들에서는 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 S-D 프로세스 최적화 프로시저, S-D 모델 최적화 프로시저를 수행할 수 있거나, S-D 라이브러리 최적화 프로시저, 또는 이들의 임의의 조합을 수행할 수 있다. S-D 최적화 프로시저는 웨이퍼 데이터, 모델, 레시피, 및 프로파일 데이터를 이용하여 프로시저를 업데이트 및/또는 최적화할 수 있다. 예컨대, S-D 최적화 프로시저는 실시간으로 작동할 수 있다. 실시간 S-D 최적화를 이용함으로써, 보다 정확한 프로세스 결과를 달성할 수 있다. 65 nm 노드 이하의 소형의 기하학 기술에서는 보다 정확한 결과가 필요하다.
프로세스 레시피, 프로파일, 모델, 및/또는 프로세스 결과에 영향을 미칠 수 있는 재료 및/또는 프로세스 변동은 웨이퍼 내 사이트마다, 웨이퍼마다 그리고 로 트마다 변할 수 있다. 이들 변동은 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에서의 변화 및/또는 문제로 인한 것일 수 있다. 불균일한 막 및/또는 불균일한 프로세스가 문제를 일으킬 수 있다. 또한, 툴 간 편차, 챔버 간 편차 및 챔버 드리프트는 시간에 따른 문제를 유발할 수 있다. 바닥부 CD를 제어하기 위하여 단부 지정(end pointing) 및 희생막을 이용하는 성질 때문에 두께 및/또는 불균일성은 에칭 프로세스 시에 웨이퍼 내의 사이트마다, 웨이퍼마다, 그리고 로트마다 변할 수 있다. 또한, 두께 변동은 광학 특성 및 기타 물리 특성의 변화로 인한 것일 수 있다. S-D 프로시저는 "과도 에칭(over-etching)"으로 인한 문제를 제거 또는 최소화하기 위해 이용될 수 있다.
S-D 프로시저로부터의 출력 데이터 및/또는 메시지는 프로세스 정확도 및 정밀도를 최적화하기 위하여 후속 프로시저에 이용될 수 있다. 데이터는 실시간 가변파라미터로서 실시간으로 S-D 계산 프로시저에 전달되어, 현재 모델 디폴트값을 무효로 하고 정확한 결과를 결정하기 위한 검색 공간을 좁게 한다. 프로시저를 최적화하기 위해 정보는 라이브러리에 기초한 시스템과 함께 이용되거나, 또는 실시간 회귀 단계에 이용되거나, 또는 이들의 임의의 조합으로 이용될 수 있다.
도면 부호 150으로 나타낸 평가 서브시스템은 통합적 광학 디지털 프로파일링(iODP : integrated Optical Digital Profiling) 시스템(도시 생략)을 포함할 수 있다. 대안으로, 다른 계측 시스템을 이용할 수도 있다. iODP 툴은 Timbre Technologies Inc(TEL사)에서 시판중이다. 예컨대, ODP 기술은 임계 치수(CD) 정보, 구조물 프로파일 정보, 또는 비아 프로파일 정보를 획득하는데 이용될 수 있 고, iODP 시스템에 대한 파장 범위는 약 200 nm보다 짧고 약 900 nm보다 길 수 있다. 예시적인 iODP 시스템은 ODP 프로파일러 라이브러리, 프로파일러 애플리케이션 서버(PAS) 및 ODP 프로파일러 소프트웨어를 포함할 수 있다. ODP 프로파일러 라이브러리는 광학 스펙트럼의 애플리케이션에 따른 데이터베이스와, 그것의 대응하는 반도체 프로파일, CD 및 막 두께를 포함할 수 있다. PAS는 광학적 하드웨어 및 컴퓨터 네트워크와 접속하는 적어도 하나의 컴퓨터를 포함할 수 있다. PAS는 데이터 통신, DOP 라이브러리 조작, 측정 프로세스, 결과 생성, 결과 분석, 및 결과 출력을 취급한다. ODP 프로파일러 소프트웨어는 측정 레시피, ODP 프로파일러 라이브러리, ODP 프로파일러 결과 검색/매칭, DOP 프로파일러 결과 계산/분석, 데이터 통신, 및 다양한 계측 툴과 컴퓨터 네트워트에 대한 PAS 인터페이스를 관리하기 위하여 PAS 상에 인스톨된 소프트웨어를 포함한다.
도면 부호 150으로 나타낸 평가 서브시스템은 편광 반사측정, 분광 두께측정(spectroscopic ellipsometry), 반사측정, 또는 기타 광학적 측정 기술을 이용하여 정확한 디바이스 프로파일, 정확한 임계 치수(CD) 및 웨이퍼의 다층막 두께를 측정할 수 있다. 통합 계측 프로세스(iODP)를 인라인으로 실행하여, 분석용 웨이퍼를 분해하거나 외부 툴로부터의 데이터를 장기간 대기할 필요성을 없앨 수 있다. 이 ODP 기술은 인라인 프로파일에 대한 기존의 박막 계측 툴 및 CD 측정과 함께 ODP 기술을 이용할 수 있으며, TEL 처리 툴 및/또는 리소그래피 시스템과 통합되어 실시간 프로세스 모니터링 및 제어를 제공할 수 있다. 예시적인 광학 계측 시스템은 2005년 9월 13일자로 Niu 등에게 허여된 발명의 명칭이 "GENERATION OF A LIBRARY OF PERIODIC GRATING DIFFRACTION SIGNAL"인 미국 특허 제6,913,900호에 개시되어 있다.
피시뮬레이션 회절 신호의 S-D 라이브러리를 생성하기 위한 대안적 프로시저는 머신 학습 시스템(MLS : Machine Learning System)을 이용하는 것을 포함할 수 있다. 피시뮬레이션 회절 신호의 라이브러리를 생성하기 전에, MLS은 알려진 입력 및 출력 데이터를 이용하여 트레이닝된다. 예시적인 일 실시예에서는 역전파(back-propagation), 방사 기저 함수, 서포트 벡터, 커넬 회귀 등의 머신 학습 알고리즘을 채용하는 머신 학습 시스템(MLS)을 이용하여 피시뮬레이션 회절 신호를 생성한다. 머신 학습 시스템 및 알고리즘의 보다 상세한 설명에 대해서는 Prentice Hall에서 1999년에 출간된 Simon Haykin이 저술한 "Neural Networks"를 참조할 수 있으며, 이 문헌은 그 전체가 본 명세서에 원용된다. 또한, 2003년 6월 27일자로 출원된 발명의 명칭이 "OPTICAL METROLOGY OF STRUCTURES FORMED ON SEMICONDUCTOR WAFERS USING MACHINE LEARING SYSTEMS"인 미국 특허 출원 일련 번호 제10/608,300호도 참조할 수 있으며, 이 역시 그 전체가 본 명세서에 원용된다.
계측 모델 최적화의 상세한 설명에 대해서는 Vuong 등에 의해 2002년 6월 27일자로 출원된 발명의 명칭이 "OPTIMIZED MODEL AND PARAMETER SELECTION FOR OPTICAL METROLOGY"인 미국 특허 출원 일련 번호 제10/206,491호와, Vuong 등에 의해 2004년 4월 27일자로 출원된 발명의 명칭이 "OPTICAL METROLOGY OPTIMIZATION FOR REPETITIVE STRUCTURES"인 미국 특허 출원 일련 번호 제11/061,303호를 참조할 수 있으며, 이들 문헌은 그 전체가 참조에 의해 본 명세서에 원용된다.
회귀 기반 프로세스를 이용할 경우, 패턴드 구조로부터 벗어나 피측정 회절 신호를 피시뮬레이션 회절 신호에 비교할 수 있다. 피시뮬레이션 회절 신호는 피측정 회절 신호에 비교된 피시뮬레이션 회절 신호와 가장 가까운 매칭을 생성하는 프로파일 파라미터 세트에 대한 수렴값을 갖기 위하여 그 프로파일 파라미터 세트에 기초하여 반복 생성될 수 있다. 회귀 기반의 프로세스의 보다 상세한 설명에 대해서는 2004년 8월 31일자로 허여된 발명의 명칭이 "METHOD AND SYSTEM OF DYNAMIC LEARING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROECESS"인 미국 특허 제6,785,638호를 참조할 수 있으며, 이 문헌은 그 전체가 본 명세서에 원용된다.
라이브러리 기반의 프로세스를 이용할 경우, S-D 및/또는 최적화된 레시피, 프로파일, 및/또는 모델을 이용하여 광학 계측 데이터 라이브러리가 생성 및/또는 강화될 수 있다. 광학 계측 데이터 라이브러리는 피시뮬레이션 회절 신호 쌍 및 대응하는 프로파일 파라미터 세트를 포함할 수 있다. 피시뮬레이션 회절 신호 및 대응하는 프로파일 파라미터 세트의 라이브러리와 같은 광학 계측 데이터를 생성하는 상세한 내용은 2005년 9월 13일자로 Niu 등에게 허여된 발명의 명칭이 "GENERATION OF A LIBRARY OF PERIODIC GRATING DIFFRACTION SIGNAL"인 미국 특허 제6,913,900호에 개시되어 있으며, 이 문헌은 그 전체가 본 명세서에 원용된다. 회귀 기반 및/또는 라이브러리 기반의 프로세스는 S-D 및/또는 N-S-D 단계를 포함할 수 있다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 실시간 S-D 처리를 제공하기 위해 제어 전략, 제어 계획, 제어 모델, 및/또는 레시피 매니저로서 작동할 수 있는 APC, R2R, FDC, 및/또는 S-D 프로시저를 수행할 수 있다. S-D 제어 및/또는 분석 전략/계획은 웨이퍼 처리 시퀀스 내에 복수의 프로세스 단계들을 포함할 수 있으며, 실시간 데이터 및/또는 수집된 데이터를 분석하여 에러 상태를 확립하는데 이용될 수 있다. S-D 분석 프로시저는 콘덱스트가 매칭될 경우에 실행될 수 있다. S-D 분석 프로시저의 실행 시에, 하나 이상의 분석 계획이 실행될 수 있다. S-D 계획은, 데이터 결함(data failure)이 발생하고, 실행 문제가 발생하거나 제어 문제가 발생할 경우에 에러를 일으킬 수 있다. S-D 데이터 수집 계획 및/또는 분석 계획은 S-D 프로시저가 작동하지 않기 때문에 웨이퍼의 하나 이상의 평가 사이트에서의 데이터를 거부할 수 있거나 데이터를 거부할 수 있다. 예컨대, 동적 S-D 콘텍스트 매칭은 각 사이트에서 커스텀 구성을 제공한다.
일 실시예에서는 S-D 프로시저의 작동실패가 S-D 프로시저를 중단시킬 수 없다. 예컨대, S-D 프로시저는 한계가 초과할 경우에 작동실패를 나타낼 수 있다. 성공적인 S-D 프로시저는 한계에 접근하고 있는 중이라면 경고 메시지를 작성할 수 있다. S-D 프로시저 에러에 대한 미리 지정된 작동실패 조치는 데이터베이스에 저장될 수 있고 에러 발생 시에 데이터로부터 검색될 수 있다.
일부 실시예들에서는 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)이 데이터 전송 시스템(190)을 통해 수신된 S-D 데이터를 이용하여 S-D 프로시저를 수행할 수 있다.
25개 웨이퍼의 로트가 처리 시스템에서 처리되고 있을 경우, 25개의 병렬 처리 경로를 제공함으로써 처리량이 상승할 수 있지만, 이것은 비실용적이다. 그러 나, S-D 처리 시스템(100)은 하나 이상의 25 웨이퍼 로트를 효율적으로 그리고 비용면에서 효과적으로 처리하는데 이용될 수 있다. 또한, S-D 처리 시스템(100)은 더 적은 및/또는 더 많은 웨이퍼 로트를 효율적으로 그리고 비용면에서 효과적으로 처리하는데 이용될 수 있다.
이송 서브시스템(101, 102 및 103)과 이송 디바이스(113, 118, 123, 128, 133, 138, 143, 148, 153 및 158)는 하나 이상의 웨이퍼 로트 내의 하나 이상의 웨이퍼를 효율적으로 그리고 비용면에서 효과적으로 이송, 정렬, 지연 및/또는 보관하도록 S-D 이송 시퀀스 및/또는 프로시저를 이용할 수 있다. 일부 S-D 프로시저는 웨이퍼 종속적, 로트 종속적, 및/또는 생산품 종속적 프로시저일 수 있다.
제1 리소그래피 서브시스템(100)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬, 및/또는 보관할 수 있는 하나 이상의 처리 요소(112)를 포함할 수 있다. 이송 디바이스(113), 제1 S-D 이송 서브시스템(101), 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬, 및/또는 보관할 수 있다. 일부 실시예들에서는 제1 리소그래피(110)가, S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에 코팅 프로시저, 열처리 프로시저, 측정 프로시저, 검사 프로시저, 정렬 프로시저, 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(112)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(112)는 포토레지스트 재료 및/또는 반사 방지 코팅(ARC) 재료를 포함할 수 있는 하나 이상의 마스킹 층을 증착하는데 이용될 수 있고, 하나 이상의 처리 요소(112)는 하나 이상의 마스킹 층을 열처리(베이크)하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(112)는 하나 이상의 마스킹 층을 측정 및/또는 검사하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 하나 이상의 웨이퍼를 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(113)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(113), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
다른 실시예들에 있어서, 제1 리소그래피 서브시스템(110)은 오염시킬 가능성이 있는 프로세스를 수행할 수 있는 하나 이상의 처리 요소(112)를 포함할 수 있다. 하나 이상의 처리 요소(112)가 다른 서브시스템들로부터 격리될 수 있고, 이에, 낮은 결함도(defectivity)를 제공하고 가능한 오염을 최소화할 수 있다. 하나 이상의 처리 요소(112)는 주위 결함 레벨을 모니터링하기 위해 웨이퍼 경로에 및/또는 중요한 프로세스 영역에 구축될 수 있는 대기중 입자 카운터를 포함할 수 있다. 검출 레벨은 경고 및/또는 경보 조건에 맞게 확립될 수 있다. 예컨대, 이들 프로세스는 "더러운" 베이크 프로세스를 포함할 수 있고, 이에 이들 "더러운" 프로세스가 시스템의 나머지로부터 격리되게 된다. 또한, 하나 이상의 재공정 프로시저는 다른 서브시스템으로부터 격리된 처리 요소에 의해 수행될 수 있다.
스캐너 서브시스템(115)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상 의 처리 요소(117)를 포함할 수 있다. 내부 이송 디바이스(118), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 스캐너 서브시스템(115)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 노광 프로시저, 열처리 프로시저, 건조 프로시저, 측정 프로시저, 검사 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(117)를 포함할 수 있다. 또한, 스캐너 서브시스템(115)은 S-D일 수 있는 습식 및/또는 건식 노광 프로시저를 수행하는데 이용될 수 있다. 다른 처리 시퀀스에 있어서, 스캐너 서브시스템(115)은 S-D일 수 있는 극자외선(EUV) 노광 프로시저를 수행하는데 이용될 수 있다. 예컨대, 하나 이상의 처리 요소(117)는 포토레지스트 재료 및/또는 반사 방지 코팅(ARC) 재료를 포함할 수 있는 하나 이상의 마스킹 층을 노광하는데 이용될 수 있고, 하나 이상의 처리 요소(117)는 하나 이상의 마스킹 층을 패터닝하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(112)는 하나 이상의 패터닝된 층을 측정 및/또는 검사하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 하나 이상의 웨이퍼를 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(113)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(118), 제1 S-D 이송 서브시스템(101), 및/또는 제2 S-D 이송 서브시스템(102)은 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
제2 리소그래피 서브시스템(120)은 S-D 프로시저 및/또는 N-S-D 프로시저를이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(112)를 포함할 수 있다. 내부 이송 디바이스(123), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에 있어서, 제2 리소그래피 서브시스템(120)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 세정 프로시저, 열처리 프로시저, 측정 프로시저, 검사 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(122)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(122)는 침지후 세정 프로시저를 수행하는데 이용될 수 있고, 하나 이상의 처리 요소(122)는 하나 이상의 웨이퍼를 열처리(건조)하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(122)는 세정된 및/또는 건조된 하나 이상의 웨이퍼를 측정 및/또는 검사하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 측정 및/또는 검사할 수 있다. 하나 이상의 컨트롤러(124)는 웨이퍼가 제대로 세정되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 예컨대, 워터 스팟(water spot) 및/또는 기타 이상이 검출될 수 있다. 내부 이송 디바이스(123), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
제3 리소그래피 서브시스템(125)은 S-D 프로시저 및/또는 N-S-D 프로시저를이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(117)를 포함할 수 있다. 내부 이송 디바이스(128), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예에 있어서, 제3 리소그래피 서브시스템(125)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 현상 프로시저, 열처리 프로시저, 측정 프로시저, 검사 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(127)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(127)는 포토레지스트 재료 및/또는 반사 방지 코팅(ARC) 재료를 포함할 수 있는 하나 이상의 패터닝된 마스크층을 현상하는데 이용될 수 있고, 그 하나 이상의 처리 요소(127)는 하나 이상의 패터닝된 마스크층을 열처리(베이크)하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(127)는 하나 이상의 패터닝된 마스크층을 측정 및/또는 검사하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 측정 및/또는 검사할 수 있다. 하나 이상의 컨트롤러(129)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(128), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
다른 실시예들에 있어서, 제3 리소그래피 서브시스템(125)은 오염시킬 가능성이 있는 프로세스를 수행할 수 있는 하나 이상의 처리 요소(127)를 포함할 수 있다. 하나 이상의 처리 요소(127)가 다른 서브시스템들로부터 격리될 수 있고, 이에 낮은 결함도를 제공하고 가능한 오염을 최소화할 수 있다. 하나 이상의 처리 요소(127)는 주위 결함 레벨을 모니터링하기 위해 웨이퍼 경로에 및/또는 중요한 프로세스 영역에 구축될 수 있는 대기중 입자 카운터를 포함할 수 있다. 검출 레벨은 경고 및/또는 경보 조건에 맞게 설정될 수 있다. 예컨대, 이들 프로세스는 "더러운" 베이크 프로세스를 포함할 수 있고, 이에 이들 "더러운" 프로세스가 시스템의 나머지로부터 격리되게 된다. 또한, 하나 이상의 재공정 프로시저는 다른 서브시스템으로부터 격리된 처리 요소에 의해 수행될 수 있다.
열처리 서브시스템(130)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(132)를 포함할 수 있다. 내부 이송 디바이스(133), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 열처리 서브시스템(130)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 베이킹 프로시저, 어닐링 프로시저, 스파이크 어닐링 프로시저, 열처리 프로시저, 측정 프로시저, 검사 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(132)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(132)는 하나 이상 의 웨이퍼의 온도를 상승 및/또는 제어하는데 이용될 수 있고, 하나 이상의 처리 요소(132)는 하나 이상의 웨이퍼의 온도를 저하 및/또는 제어하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(132)는 하나 이상의 웨이퍼를 측정 및/또는 검사하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 하나 이상의 웨이퍼를 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(134)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(133), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
검사 서브시스템(135)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 평가, 처리, 측정, 검사, 정렬, 검증 및/또는 보관할 수 있는 하나 이상의 S-D 평가 요소(137)를 포함할 수 있다. 내부 이송 디바이스(138), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 검사 서브시스템(135)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 평가 프로시저, 검사 프로시저, 입자 검출 프로시저, 측정 프로시저, 정렬 프로시저, 검증 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 S-D 평가 요소(137)를 포함할 수 있다. 예컨대, 하나 이상의 S-D 평가 요소(137)는 광학 검사를 수행하는데 이용될 수 있고, 하나 이상의 S-D 평가 요소(137)는 하나 이상의 웨 이퍼에 대해 단파장으로 검사를 수행하는데 이용될 수 있다. 또한, 하나 이상의 S-D 평가 요소(137)는 하나 이상의 웨이퍼에 대해 입자를 검출하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 웨이퍼들의 하나 이상의 표면을 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(139)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(138), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
에칭 서브시스템(140)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(142)를 포함할 수 있다. 내부 이송 디바이스(143), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 에칭 서브시스템(140)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 에칭 프로시저, 화학적 산화물 제거(COR) 프로시저, 애싱 프로시저, 검사 프로시저, 재공정 프로시저, 측정 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(142)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(142)는 하나 이상의 S-D 및/또는 N-S-D 플라즈마 에칭 프로시저를 이용하여 패터닝된 웨이퍼를 작성 및/또는 수정하는데 이용될 수 있고, 하나 이상의 처리 요소(142)는 하나 이상 의 S-D 및/또는 N-S-D 비플라즈마 에칭 프로시저를 이용하여 패터닝된 웨이퍼를 작성 및/또는 수정하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(142)는 하나 이상의 웨이퍼로부터 층 재료를 제거하거나 및/또는 잔여물을 처리하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 웨이퍼들의 하나 이상의 표면을 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(144)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(143), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
증착 서브시스템(145)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(147)를 포함할 수 있다. 내부 이송 디바이스(148), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 증착 서브시스템(145)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 증착 프로시저, 검사 프로시저, 측정 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(147)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(147)는 물리적 기상 증착(PVD) 프로시저, 화학적 기상 증착(CVD) 프로시저, 이온화 물리적 기상 증착(iPVD) 프로시저, 원자층 증착(ALD) 프로시저, 플라즈마 강 화 원자층 증착(PEALD) 프로시저, 및/또는 플라즈마 강화 화학적 기상 증착(PECVD) 프로시저를 수행하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 웨이퍼들의 하나 이상의 표면을 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(149)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(148), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
평가 서브시스템(150)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 평가, 측정, 검사, 정렬, 검증 및/또는 보관할 수 있는 하나 이상의 S-D 평가 요소(152)를 포함할 수 있다. 내부 이송 디바이스(153), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 평가 서브시스템(150)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 평가 프로시저, 검사 프로시저, 온도 제어 프로시저, 측정 프로시저, 정렬 프로시저, 검증 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 S-D 평가 요소(152)를 포함할 수 있다. 예컨대, 하나 이상의 S-D 평가 요소(152)는 웨이퍼 상의 특징부 및/또는 구조물을 측정하는데 이용될 수 있는 광학 계측 프로시저를 수행하는데 이용될 수 있고, 하나 이상의 S-D 평가 요소(152)는 웨이퍼 표면의 측정을 수행하는데 이 용될 수 있다. 또한, 하나 이상의 S-D 평가 요소(152)는 웨이퍼의 굴곡을 결정하거나 웨이퍼들의 하나 이상의 표면을 측정 및/또는 검사하는데 이용될 수 있다. S-D 평가 요소(152)는 S-D 평가 프로시저 및/또는 N-S-D 평가 프로시저를 수행할 수 있다. 하나 이상의 컨트롤러(154)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(153), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
재공정 서브시스템(155)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 처리, 측정, 검사, 정렬 및/또는 보관할 수 있는 하나 이상의 처리 요소(157)를 포함할 수 있다. 내부 이송 디바이스(158), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)은 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼를 이송, 측정, 검사, 정렬 및/또는 보관할 수 있다. 일부 실시예들에서는 재공정 서브시스템(155)이 S-D 프로시저 및/또는 N-S-D 프로시저를 이용하여 하나 이상의 웨이퍼 상에, 세정 프로시저, 에칭 프로시저, 층제거 프로시저, 애싱 프로시저, 검사 프로시저, 잔여물 제거 프로시저, 측정 프로시저, 정렬 프로시저 및/또는 보관 프로시저를 수행할 수 있는 하나 이상의 처리 요소(157)를 포함할 수 있다. 예컨대, 하나 이상의 처리 요소(157)는 하나 이상의 S-D 및/또는 N-S-D 플라즈마 에칭 프로시저를 이용하여 하나 이상의 패터닝된 웨이퍼로부터 재료를 제거하는데 이용될 수 있고, 하나 이상의 처리 요 소(157)는 하나 이상의 S-D 및/또는 N-S-D 비플라즈마 에칭 프로시저를 이용하여 하나 이상의 패터닝된 웨이퍼로부터 재료를 제거하는데 이용될 수 있다. 또한, 하나 이상의 처리 요소(157)는 하나 이상의 웨이퍼로부터 손상된 재료를 제거하는데 이용될 수 있다. S-D 프로시저 및/또는 N-S-D 프로시저는 웨이퍼들의 하나 이상의 표면을 측정 및/또는 검사하는데 이용될 수 있다. 하나 이상의 컨트롤러(159)는 웨이퍼가 제대로 처리되고 있는지의 여부 또는 재공정 프로시저가 필요한지의 여부를 결정하기 위해 S-D 프로시저 및/또는 N-S-D 프로시저를 수행할 수 있다. 내부 이송 디바이스(158), 제1 S-D 이송 서브시스템(101) 및/또는 제2 S-D 이송 서브시스템(102)이 결함 웨이퍼를 재공정 서브시스템에 이송할 수 있다.
각각의 서브시스템은 하나 이상의 웨이퍼를 병렬로 처리할 수 있고, 하나 이상의 S-D 프로시저 및/또는 N-S-D 프로시저가 수행될 수 있다.
하나 이상의 포맷팅된 메시지가 서브시스템들 간에 교환될 수 있다. 컨트롤러는 메시지를 처리하고 신규 데이터를 추출할 수 있다. 신규 데이터를 이용할 수 있다면, 컨트롤러는 신규 데이터를 이용하여 현재 웨이퍼 로트에 이용되고 있는 레시피, 프로파일 및/또는 모델을 업데이트할 수 있거나, 신규 데이터를 이용하여 다음 웨이퍼 로트에 대해 레시피, 프로파일 및/또는 모델을 업데이트할 수 있다. 컨트롤러가 신규 데이터를 이용하여 현재 처리되고 있는 웨이퍼에 대해 레시피 데이터, 프로파일 데이터 및/또는 모델링 데이터를 업데이트할 경우, 컨트롤러는 현재 웨이퍼가 처리되기 전에, 레시피, 프로파일 및/또는 모델이 업데이트될 수 있는지의 여부를 결정할 수 있다. 현재 웨이퍼가 처리되기 전에 레시피, 프로파일 및/또 는 모델이 업데이트될 수 있는 경우에 현재 웨이퍼는 업데이트된 레시피, 프로파일 및/또는 모델을 이용하여 처리될 수 있다. 현재 웨이퍼가 처리되기 전에 데이터가 업데이트될 수 없는 경우 현재 웨이퍼는 업데이트되지 않은 레시피, 프로파일 및/또는 모델을 이용하여 처리될 수 있다. 예컨대 신규 S-D 에칭 레시피, 프로파일 및/또는 모델을 이용할 수 있는 경우, 에칭 서브시스템 및/또는 에칭 컨트롤러는 신규 S-D 에칭 레시피, 프로파일 및/또는 모델을 이용할 시기를 결정할 수 있다.
하나 이상의 평가 프로시저는 상이한 사이트, 웨이퍼 및/또는 로트마다 손상된 층, 특징부 및/또는 구조물에 대한 데이터를 포함할 수 있는 S-D 손상 평가 데이터 및/또는 N-S-D 손상 평가 데이터를 제공할 수 있다. 하나 이상의 처리 서브시스템은 손상 평가 데이터를 이용하여 처리 레시피 데이터, 프로세스 프로파일 데이터 및/또는 모델링 데이터를 업데이트 및/또는 최적화할 수 있다. 예컨대, 에칭 서브시스템(140)은 손상 평가 데이터를 이용하여 에칭 화학반응 및/또는 에칭 시간을 업데이트 및/또는 최적화할 수 있다. 또한, 증착 서브시스템(145) 및/또는 리소그래피 서브시스템(110, 120 및 125)은 손상 평가 데이터를 이용하여 레시피 데이터, 프로파일 데이터 및/또는 모델링 데이터를 업데이트 및/또는 최적화할 수 있다.
S-D 프로시저는 상이한 시간에 및/또는 사이트에서 분리된 및/또는 내포된 구조물을 작성, 수정 및/또는 평가하는데 이용될 수 있다. 예컨대, 웨이퍼 두께 데이터는 분리 및/또는 내포 구조물 근방에서 다를 수 있고, 웨이퍼 두께 데이터는 개방 영역 및/또는 트렌치 어레이 영역 근방에서 다를 수 있다. 처리 서브시스템은 분리 및/또는 내포 구조물에 대한 신규 S-D 데이터를 이용하여 S-D 프로세스 레시 피 및/또는 프로세스 시간을 업데이트 및/또는 최적화할 수 있다. S-D 프로시저는 종단점 검출(EPD) 데이터 및 프로세스 시간 데이터를 이용하여 계산 정확도를 향상시킬 수 있다. 웨이퍼 및/또는 로트가 처리되고 있는 동안, S-D 데이터가 생성될 수 있고, 이 데이터는, 현재 웨이퍼가 처리되기 전에 또는 웨이퍼 로트 내의 추가 웨이퍼가 처리되기 전에 프로세스, 측정 및/또는 시뮬레이션 레시피를 업데이트하기 위하여 처리 시스템에 의해 실시간 피드포워드 및/또는 피드백될 수 있다. 대안으로, N-S-D 데이터가 이용될 수도 있다. EPD 데이터를 S-D 프로세스를 정지하는데 이용할 경우, EPD 시간 데이터 및 프로세스 레이트 데이터는 S-D 막 두께를 계산 및/또는 추정하는데 이용될 수 있다. 처리 중에, 웨이퍼의 모니터링 및/또는 검증이 주기적으로 이루어질 수 있고, S-D 측정 프로시저는 에칭, 증착, 리소그래피, 세정 및 연마 프로시저와 같은 S-D 처리 프로시저의 전 및/또는 후에 S-D 막 두께를 검증하는 데에 이용될 수 있다.
평가 서브시스템(150) 데이터는 S-D 패터닝된 구조물 또는 무패터닝된 구조물과 연관된 피측정 및/또는 피시뮬레이션 신호를 포함할 수 있고, S-D 신호는 처리 상태 데이터, 및 웨이퍼, 로트, 레시피, 사이트, 또는 웨이퍼 위치 데이터를 이용하여 저장될 수 있다. 측정 데이터는 패터닝된 구조물 프로파일과 연관된 변수, 계측 디바이스 타입 및 연관된 변수, 및 모델링에서의 부동 변수에 사용된 범위 및 모델링에서의 고정 변수 값을 포함할 수 있다. 라이브러리 프로파일 데이터, S-D 데이터는 고정적 및/또는 가변적 프로파일 파라미터(CD, 측벽각, N&K 파라미터 등) 및/또는 계측 디바이스 파라미터(파장, 입사각 및/또는 방위각 등)을 포함할 수 있 다.
일부 실시예들에서, S-D 프로시저는 피측정, 피예측 및/또는 피시뮬레이션 회절 신호를 이용하여 광학 계측 레시피, 구조물 및/또는 모델을 최적화할 수 있다. S-D 프로시저는 데이터를 구성 및 인덱싱하기 위한 수단으로서 사이트 ID, 웨이퍼 ID, 슬롯 ID, 로트 ID, 레시피, 상태 및 패터닝된 구조물 ID 등의 콘텍스트/식별 정보를 이용할 수 있다. 일부 예에서는 라이브러리 데이터가 생산품, 디바이스, 웨이퍼, 프로시저, 로트, 레시피, 사이트, 위치, 패터닝된 및/또는 무패터닝된 구조물과 연관된 피검증 데이터를 포함할 수 있다. S-D 데이터는 하위층의 막 데이터를 포함할 수 있고, 하위층의 막 데이터는 실시간 업데이트 및/또는 보정을 수행하기 위해 S-D 프로시저에 의해 이용될 수 있다. 처리 중에, 일부 측정 사이트는 하위층 및/또는 구조물로부터의 간섭 때문에 측정 불가능할 수 있다. S-D 간섭 기저 맵을 작성하여, 측정에 이용될 수 있는 사이트 위치를 결정하는데 이용할 수 있다. 또한, S-D 간섭 프로파일 및/또는 모델을 작성하여, 이들 문제를 극복하는데 이용할 수 있다.
또한, S-D 프로시저는 S-D 신호 및 대응하는 S-D 프로파일 파라미터 세트의 라이브러리를 작성, 업데이트 및/또는 최적화할 수 있다. S-D 프로시저는 트레이닝된 머신 학습 시스템(MLS)로부터 데이터 세트를 작성, 업데이트 및/또는 최적화할 수 있고, MLS는 라이브러리 데이터의 서브세트에 의해 트레이닝될 수 있다. 변경 및/또는 업데이트된 값은 성능을 향상시키기 위해 저장 및/또는 이용될 수 있다. S-D 및/또는 N-S-D 라이브러리 및 데이터베이스가 이용될 수 있다.
개입 및/판정 규칙이 S-D 전략, 계획, 모델, 서브시스템, 요소 또는 프로시저에 정의될 수 있다. 개입 및/또는 판정 규칙은 매칭 콘텍스트와 만날 때마다 실행되도록 지정될 수 있다. 개입 및/판정 규칙은 다양한 프로시저에 대한 것일 수 있고 데이터베이스에 유지될 수 있다.
일부 예들에서는 MES(180)가 일부 시스템 프로세스를 모니터링하도록 구성될 수 있고, 어떤 프로세스가 모니터링되고 어떤 데이터가 이용될 수 있는지 판정하기 위해 공장 수준의 간섭 및/또는 판정 규칙이 이용될 수 있다. 또한, 공장 수준의 간섭 및/또는 판정 규칙은 프로세스가 변경, 일시정지 및/또는 정지될 수 있는 경우 데이터를 관리하는 방법을 결정하는데 이용될 수 있다. 또, MES(180)는 S-D 구성 정보 및 S-D 업데이트 정보를 제공할 수 있다. 데이터는 GEM SECS 통신 프로토콜을 이용하여 교환될 수 있다.
일반적으로, 규칙에 의해 S-D 프로시저가 반도체 처리 시스템의 동적 상태 및/또는 생산품의 처리 상태에 기초하여 변경될 수 있다. 일부 셋업 및/또는 구성 정보는 최초 구성 시에 처리 시스템 서브시스템에 의해 결정될 수 있다. 또한, 규칙은 S-D 프로시저에 대해 제어 계층을 확립하는데 이용될 수 있다. 규칙은 프로세스가 언제 일시정지 및/또는 정지될 수 있는지, 프로세스가 일시정지 및/또는 정지될 경우 무엇이 수행될 수 있는지를 결정하는데 이용될 수 있다. 또, 처리 규칙은 어떤 보정 조치가 수행되어야 하는지를 결정하는데 이용될 수 있다. 또한, 처리 시퀀스 규칙 및 이송 시퀀스 규칙은 어떤 웨이퍼가 처리 및/또는 이송되어야 하는지를 결정하는데 이용될 수도 있다. 웨이퍼를 처리하는 예시적인 방법은 하나 이상의 웨이퍼 및 연관된 웨이퍼 데이터를 수신하는 단계와, 각 웨이퍼마다 처리 시퀀스 및/또는 상태 데이터를 확립하는 단계를 포함할 수 있다.
웨이퍼 상태 데이터는 처리 시퀀스로부터 결정될 수 있는 시퀀싱 상태(SQn ,m) 변수를 포함할 수 있다. 일부 실시예들에 있어서, 처리 시퀀스는 MES(180)로부터 획득될 수 있으며 변경될 수 없다. 다른 실시예들에서는, 가상(수정 가능한) 처리 시퀀스가 확립될 수 있으며, 시퀀싱 상태 및/또는 프로세스 개시 시간은 서브시스템 컴퓨터 및/또는 오퍼레이터에 의해 변경될 수 있다. 예컨대, 개시 시작이 변경된 추가 시퀀스 상태를 이용하여 추가 처리 단계를 확립할 수 있고, 처리 단계들이 수행되는 동안에 웨이퍼를 유지할 수 있으며, 계산이 수행되는 동안에 웨이퍼를 유지할 수 있고, 툴이 오프라인이 될 경우에 웨이퍼를 상이한 툴에 경로 지정할 수 있으며, 및/또는 결함 조건을 보정 및/또는 분석할 수 있다. 또한, 추가 시퀀스 단계 및/또는 지연된 개시 시간을 이용하여 S-D 데이터 및/또는 메시지가 작성/처리/송신 및/또는 수신되는 동안 웨이퍼를 유지 및/또는 경로 지정할 수 있다.
일부 예에 있어서, S-D 이송 서브시스템은 로딩 데이터를 이용하여 웨이퍼를 이송해야 하는 위치를 결정할 수 있다. 다른 예에서는 S-D 이송 서브시스템이 처리 시퀀스 데이터를 이용하여 웨이퍼를 이송해야 하는 위치를 결정할 수 있다. 또 다른 예에서는 S-D 이송 서브시스템이 신뢰도 데이터를 이용하여 웨이퍼를 이송해야 하는 위치를 결정할 수 있다. 대안으로, 다른 프로시저를 이용할 수도 있다.
신뢰도 데이터는 웨이퍼 상에 수행되었던 각각의 프로세스의 평가를 포함할 수 있다. S-D 프로시저로부터의 처리 데이터가 예측값에 근접한 경우, 그 S-D 프로시저에 대한 신뢰도 값이 높을 수 있고, S-D 프로시저로부터의 처리 데이터가 예측값에 근접하지 않은 경우, 그 S-D 프로시저에 대한 신뢰도 값은 낮을 수 있다. 예컨대, 신뢰도 값은 0부터 9까지의 범위일 수 있는데, 0은 결함 상태를 나타내고, 9는 정확한 성능을 나타낸다.
웨이퍼 상태 데이터는 웨이퍼 수(WN) 데이터, 처리 시퀀스(PS) 데이터, 단계카운터(SC) 데이터, 프로세스 타입(PT) 데이터, 프로세스 상태(PS) 데이터, 사이트 종속성(SD) 데이터, 상태(ST) 데이터, 및 지연 시간(DT) 데이터를 포함할 수 있다. 웨이퍼 수(WN) 데이터는 웨이퍼를 식별하는데 이용될 수 있고, 처리 시퀀스(PS)는 웨이퍼와 연관된 처리 시퀀스를 식별하는데 이용될 수 있으며, 단계 카운터(SC) 데이터는 웨이퍼에 대한 프로세스 단계의 개수를 식별하는데 이용될 수 있고, 프로세스 타입(PT) 데이터는 각 프로세스 단계에서 수행되었던 프로세스의 타입을 확립하는데 이용될 수 있으며, 사이트 종속성(SD)은 사이트 종속 수일 수 있고, 각 프로세스 단계에서 수행하는 S-D 프로시저의 타입을 확립하는데 이용되었던 하나 이상의 사이트를 확립하는데 이용될 수 있으며, 상태(ST) 데이터는 프로세스 단계가 수행되었는지의 여부와 프로세스 단계가 성공적이었는지의 여부를 확립하는데 이용될 수 있고, 지연 시간(DT) 데이터는 타이밍 데이터를 포함할 수 있다. 지연 시간 데이터는 웨이퍼 시퀀싱, 계산, 프로세스 및/또는 측정을 지연시키는데 이용될 수 있다.
일부 실시예들에서는 웨이퍼 데이터가 변수 데이터를 포함할 수 있다. 예컨 대, 피드포워드 변수가 제1 값인 경우, 데이터 및/또는 메시지는 피드포워드될 수 있고, 피드포워드 변수가 제2 값인 경우, 데이터 및/또는 메시지는 피워포워드되지 않는다. S-D 변수가 제1 값인 경우, S-D 프로시저가 수행될 수 있고, S-D 변수가 제2 값인 경우, N-S-D 프로시저가 수행될 수 있다.
일부 실시예들에서는 입력 및 출력 메시지가 작동실패 메시지, 응답 메시지, 에러 메시지, S-D 메시지, 피드백 메시지, N-S-D 메시지, 내부 메시지, 외부 메시지, 최적화 메시지, 상태 메시지, 타이밍 메시지, 프로세스 결과 메시지, 및/또는 기타 메시지를 포함할 수 있다. 또한, 메시지는 실시간 커맨드, 구성, 계산 및/또는 무효 정보를 포함할 수 있다. 데이터는 S-D 프로시저 변수/파라미터로서 실시간 이용될 수 있고, 현재 레시피 데이터, 프로파일 및/또는 모델 디폴트값을 무효화하고 현재 이송 시퀀스 데이터를 무효화하며 현재 개시 시간을 무효화하는데 이용될 수 있으며, 레시피, 프로파일 및/또는 모델 그리고 그와 연관된 정확도 한계를 결정하는 검색 공간을 좁히는데 이용될 수 있다.
다양한 실시예들에서, 하나 이상의 입력 메시지는 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154 및 159)에 의해 수신 및/또는 처리될 수 있고, 하나 이상의 출력 메시지는 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154 및 159)에 의해 작성 및/또는 송신될 수 있다. 일부 예들에서는, 입력 메시지가 S-D 데이터 및 N-S-D 데이터를 포함하는 포맷팅된 메시지일 수 있다. 컨트롤러는 서브시스템을 위한 개별 N-S-D 메시지 및/또는 S-D 메시지를 작성하기 위해 포맷팅된 메시지를 처리할 수 있다. S-D 메시지는 라이브러리 와 데이터베이스에서의 검색 시간을 단축하고 계산 에러를 줄이며 정확도를 향상시키기 위해 이용될 수 있는 S-D 웨이퍼 데이터를 포함할 수 있다. 예컨대, 라이브러리 공간 내부의 소형의 프로파일 공간은 S-D 데이터를 이용하여 식별될 수 있다. 또, S-D 두께 및/또는 온도 데이터가 이용될 수 있고, S-D 프로시저는 이 데이터를 이용하여 프로파일 라이브러리로부터 프로파일을 실시간으로 결정함으로써, 측정 시간을 단축하고 처리율을 상승시킬 수 있다. 컨트롤러는 이용할 수 있는 S-D 메시지가 입력 메시지에 포함되는 시기를 결정하기 위해 입력 메시지를 실시간으로 조사할 수 있고, 및/또는 컨트롤러는 실시간으로 S-D 메시지를 추출할 수 있는 방법을 결정할 수 있다. 메시지는 XML 포맷 및/또는 SML 포맷을 이용할 수 있다. 시스템은 복수의 서브시스템에 대해 송신, 분리 및/또는 구문해석되는 S-D 메시지로 예외 처리를 제공 및 관리할 수 있다.
예컨대, 일부 디바이스/생산품은 20-30 nm 게이트 구조물을 필요로 할 수 있으며, 생산되고 있는 모든 웨이퍼에는 이들 구조가 수백만 개 존재할 수 있다. S-D 처리는 그 구조물이 정확하다는 것을 보증하는데 수행되어야 하는 테스트량을 최소화하는데 이용될 수 있다.
처리 시퀀스도 스캐너 서브시스템을 비롯한 다른 서브시스템들의 처리량에 종속될 수 있다. S-D 이송 시스템은 전체 처리량을 최대화하도록 구성될 수 있다. 예컨대, S-D 이송 시퀀스는 스캐너 서브시스템 등의 속도가 느린 서브시스템으로 인한 처리량 문제를 최소화하기 위해 확립 및 이용될 수 있다. 일부 실시예들에서, S-D 이송 서브시스템은 신뢰도 값이 낮고 및/또는 리스크가 높은 웨이퍼를 지연시 킬 수 있다. 다른 실시예에서는 S-D 이송 서브시스템은 재공정 처리 시퀀스가 비교적 단시간에 확립 및 수행될 수 있는 경우에 신뢰도 값이 낮고 및/또는 리스크가 높은 웨이퍼를 재공정 서브시스템에 즉시 보낼 수 있다.
S-D 프로시저는 웨이퍼 상의 특정 위치에서 특정 결과를 산출할 수 있다. 프로세스가 완료될 때, 신뢰도 값은 높아야 하고, 최소개의 웨이퍼만이 평가를 필요로 해야 하며, 웨이퍼 상의 하나의 사이트가 웨이퍼 및/또는 웨이퍼 그룹을 선언하는데 이용될 수 있다. 프로세스가 완료될 때, 웨이퍼 상의 모든 사이트로부터의 프로세스 결과는 동일해야 한다(균일성 한계 내에서). 생산품이 개발중일 때에는, 저리스크 프로시저를 확립하기 위해 다수의 사이트에서의 평가 특징부/특성/구조물이 이용될 수 있다.
처리 시스템(100)은 하나 이상의 S-D 처리 프로시저를 검증하는데 이용될 수 있다.
일부 실시예에서는 하나 이상의 웨이퍼가 하나 이상의 S-D 이송 서브시스템(101, 102)에 의해 수납될 수 있으며, 그 S-D 이송 서브시스템(101, 102)은 처리 시스템(100) 내의 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 연결될 수 있다. 각각의 웨이퍼 위에는 하나 이상의 층이 있을 수 있고, 각 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있으며, 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. S-D 이송 서브시스템은 웨이퍼를 재공정 서브시스템 및/또는 보관 위치에 보낼 시기를 결정하기 위해 비지니스 규칙을 이용할 수 있다. 이들 비지니스 규칙은 웨이퍼가 처리될 때(추가 층을 취득할 때) 상이할 수 있다.
예컨대, "골든" S-D 처리 시퀀스를 이용하여 "골든 웨이퍼"를 생산할 수 있다. 웨이퍼 상의 일부 위치에서, 하나 이상의 게이트 구조물 근방에 있는 측정 구조물이 확립될 수 있다. 이들 위치에서, 제1 웨이퍼 데이터를 이용하여 CDSEM 데이터가 처리될 수 있고 제1 신뢰도 데이터는 비교 시에 획득될 수 있다. 신뢰도 데이터는 신뢰도 한계에 비교될 수 있다. 제1 신뢰도 한계가 제1 델타에 의한 것이 아니면, 그 웨이퍼에 대한 처리(측정) 시퀀스는 변할 수 있고, 측정 데이터는 웨이퍼 상의 하나 이상의 추가 사이트로부터 획득될 수 있다. 신뢰도 데이터가 불량하다면, 웨이퍼는 재공정될 수 있다. 2 이상의 사이트에서의 신뢰도 데이터가 불량하다면, 웨이퍼는 재공정될 수 있다. 2 이상의 웨이퍼에 대한 신뢰도 데이터가 불량하다면 전체 그룹이 재공정될 수 있다.
S-D 이송 시스템은 전체 처리량을 최대화하도록 구성될 수 있다. 예컨대, S-D 이송 시퀀스는 스캐너 서브시스템 등의 속도가 느린 서브시스템으로 인한 처리량 문제를 최대화하도록 확립 및 이용될 수 있다. 일부 실시예들에서, S-D 이송 서브시스템은 신뢰도 값이 낮거나 및/또는 리스크가 높은 웨이퍼를 지연시킬 수 있다. 다른 실시예들에서, S-D 이송 서브시스템은 재공정 처리 시퀀스가 비교적 단시간에 확립되어 수행될 수 있는 경우에, 신뢰도 값이 낮거나 및/또는 리스크가 높은 웨이퍼를 재공정 서브시스템에 즉시 보낼 수 있다
S-D 프로시저는 웨이퍼 상의 특정 위치에서 특정 결과를 산출할 것이다. 프로세스가 완료될 때, 신뢰도 값은 높아야 하고, 최소개의 웨이퍼가 평가를 필요로 해야 하며, 웨이퍼 상의 하나의 사이트가 웨이퍼 및/또는 웨이퍼 그룹을 선언하는데 이용될 수 있다. 프로세스가 완료될 때, 웨이퍼 상의 모든 사이트로부터의 프로세스 결과는 동일해야 한다(균일성 한계 내에서).
생산품이 개발중일 경우에는, 저리스크 프로시저를 확립하기 위해 다수의 사이트에서의 평가 특징부/특성/구조물이 이용될 수 있다.
처리 시스템(100)은 하나 이상의 S-D 처리 프로시저를 검증하는데 이용될 수 있다.
일부 실시예에서는 하나 이상의 웨이퍼가 하나 이상의 S-D 이송 서브시스템(101, 102)에 의해 수납될 수 있으며, 그 S-D 이송 서브시스템(101, 102)은 처리 시스템(100) 내의 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 연결될 수 있다. 각각의 웨이퍼 위에는 하나 이상의 층이 있을 수 있고, 각 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있으며, 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. S-D 이송 서브시스템은 웨이퍼를 재공정 서브시스템 및/또는 보관 위치에 보낼 시기를 결정하기 위해 비지니스 규칙을 이용할 수 있다. 이들 비지니스 규칙은 웨이퍼가 처리될 때(추가 층을 취득할 때) 상이할 수 있다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 각 웨이퍼마다 웨이퍼 상태 데이터를 결정하고 그 웨이퍼 데이터 및/또는 웨이퍼 상태 데이터를 이용하여 제1 미검증 S-D 프로시저를 결정하도록 구성될 수 있다. 제1 미검증 S-D 프로시저는 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)를 이용하여 수행될 수 있다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는, 제1 미검증 S-D 프로시저를 이용하여 처리될 제1 개수의 S-D 웨이퍼를 확립하고, 그 웨이퍼 데이터 및 제1 미검증 S-D 프로시저를 이용하여 각 S-D 웨이퍼마다 필수 검증 사이트의 개수를 확립하며, 제1 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 대한 작동 상태 데이터를 결정하고, 하나 이상의 S-D 이송 서브시스템(101, 102) 내의 하나 이상의 S-D 이송 요소(104)에 대한 로딩 데이터를 결정하며, 웨이퍼 데이터, 웨이퍼 상태 데이터, 작동 상태 데이터, 로딩 데이터, 필수 검증 사이트의 개수, 또는 이들의 임의의 조합을 이용하여 제1 개수의 S-D 웨이퍼 내의 제1 S-D 웨이퍼에 대한 제1 이송 시퀀스를 확립하고, 제1 S-D 처리 요소를 이용할 수 없을 경우, 제1 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 제1 시간 동안 제1 S-D 웨이퍼를 지연시키도록 구성될 수 있다.
하나 이상의 S-D 이송 서브시스템(101, 102)은, 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155) 내의 하나 이상의 S-D 처리 요소(112, 117, 122, 127, 132, 142, 147 및 157)에 제1 S-D 웨이퍼를 이송하도록 구성될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템(101, 102)은, S-D 이송 서브시스템(101, 102) 내의 이송 요소(104)를 이용하여 제1 시간 동안 제1 S-D 웨이퍼를 지연시키도록 구성될 수 있고, 그 이송 요소(104)는 2개 이상의 웨이퍼를 지지할 수 있다. 제1 시간 후에, 지연된 제1 S-D 웨이퍼는 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에서 처리될 수 있다.
제1 S-D 웨이퍼가 이송된 후에, 제1 S-D 웨이퍼를 이용하여 제1 미검증 S-D 프로시저가 수행될 수 있고, 제1 미검증 S-D 프로시저 시에 제1 S-D 검증 특징부 세트가 제1 피처리 S-D 웨이퍼 상에 작성될 수 있다. 제1 S-D 검증 특징부 세트는 제1 피처리 S-D 웨이퍼 상의 제1 사이트에 제1 검증 특징부를 포함할 수 있다.
제1 미검증 S-D 프로시저가 제1 웨이퍼 상에 수행될 경우 제1 피처리 S-D 웨이퍼가 작성될 수 있고, 제1 S-D 평가 요소(137, 152)를 이용할 수 있다면, 제1 피처리 S-D 웨이퍼는, 검사 서브시스템(135)과 평가 서브시스템(150)에 연결되는 하나 이상의 S-D 이송 서브시스템(101, 102)을 이용하여, 검사 서브시스템(135) 내의 제1 S-D 평가 요소(137) 또는 제1 평가 서브시스템(150) 내의 제1 S-D 평가 요소(152)에 이송될 수 있고, 제1 S-D 평가 요소를 이용할 수 없다면 제1 S-D 웨이퍼는 하나 이상의 S-D 이송 서브시스템(101, 102)을 이용하여 제2 시간 동안 지연될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템(101, 102)은 그 S-D 이송 서브시스템(101, 102) 내의 이송 요소(104)를 이용하여 제1 피처리 S-D 웨이퍼를 지연시키도록 구성될 수 있고, 이송 요소(104)는 2개 이상의 웨이퍼를 지지할 수 있다. 제2 시간 후에, 제1 피처리 S-D 웨이퍼는 검사 서브시스템(135) 및/또는 평가 서브시스템(150)에서 평가될 수 있다.
평가 프로시저가 수행될 경우, 제1 사이트를 이용할 수 있다. 일부 예에서는 제1 사이트로부터의 데이터를 이용하여 평가 결정을 수행할 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는, 제1 피처리 S-D 웨이퍼 상의 필수 사이트의 수로부터 제1 사이트를 선택하도록 구성될 수 있는데, 이 제1 사이트는 제1 미검증 S-D 프로시저를 이용하여 작성되었된 그와 연관된 제1 미검증 특징부를 갖는 것이며, 제1 S-D 웨이퍼 상의 제1 사이트로부터 제1 미검증 데이터를 획득하도록 구성될 수 있는데, 그 제1 사이트는 그와 연관된 제1 미검증 측정 및/또는 검사 데이터를 갖는 것이고, 제1 S-D 웨이퍼 상의 제1 사이트에 대한 제1 검증 데이터를 확립하도록 구성될 수 있는데, 그 제1 검증 데이터는 피검증 측정 및/또는 검사 데이터를 포함하는 것이며, 제1 미검증 데이터와 제1 검증 데이터 간의 제1 차이를 이용하여 제1 사이트에 대한 제1 신뢰도 값을 확립하고, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 제1 리스크 인자를 확립하며, f) 제1 리스크 인자 또는 이들의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 제1 합계 리스크 인자를 확립하고, g) 제1 리스크 인자가 신규 임계 한도 이하일 경우, 제1 미검증 S-D 프로시저를 그와 연관된 제1 리스크 인자를 갖는 제1 피검증 프로시저로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리며, h) 제1 리스크 인자가 제1 임계 한도보다 클 경우, 제1 미검증 S-D 프로시저를 그와 연관된 제2 리스크 인자를 갖는 신규 미검증 프로시저로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 위치의 수를 1씩 늘리도록 구성될 수 있으며, 제1 미검증 S-D 프로시저는 그와 연관된 신뢰도 데이터, 리스크 데이터 및/또는 검증 데이터를 갖는다.
일부 예에서는, 평가 프로시저가 수행될 경우, 제1 S-D 웨이퍼 상에 추가 사이트들을 이용할 수 있다. 예컨대, 제1 사이트로부터의 데이터와, 제1 S-D 웨이퍼 상의 하나 이상의 추가 사이트로부터의 데이터를 이용하여 평가 결정을 수행할 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 또한 다음의 단계를 수행하도록 구성될 수 있는데, 이 단계들은, a) 제1 S-D 웨이퍼 상의 필수 사이트의 수로부터 신규 사이트를 선택하는 단계로서, 이 신규 사이트는 제1 S-D 검증 프로시저를 이용하여 작성되었던 그와 연관된 미검증 특징부를 갖는 것인 선택 단계와, b) 제1 S-D 웨이퍼 상의 신규 사이트로부터 신규 미검증 데이터를 획득하는 단계로서, 이 신규 사이트는 그와 연관된 신규 미검증 측정 및/또는 검사 데이터를 갖는 획득 단계와, c) 신규 사이트에 대한 신규 검증 데이터를 확립하는 단계와, d) 신규 미검증 데이터와 신규 검증 데이터 간의 신규 차이를 이용하여 제1 S-D 웨이퍼 상의 신규 데이터에 대한 신규 신뢰도 값을 확립하는 단계와, e) 신규 신뢰도 값, 신규 차이, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 신규의 제1 리스크 인자를 확립하는 단계와, f) 웨이퍼 데이터, 제1 리스크 인자, 신규의 제1 리스크 인자, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 신규의 제1 합계 리스크 인자를 확립하는 단계와, g) 신규의 제1 합계 리스크 인자가 신규 임계 한도 이하일 경우, 제1 미검증 S-D 프로시저를 그와 연관된 신규의 제1 합계 리스크 인자를 갖는 신규 피검증 프로시저로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, h) 신규의 제1 합계 리스크 인자가 신규 임계 한도보다 클 경우, 제1 미검증 S-D 프로시저를 그와 연관된 신규의 제2 리스크 인자를 갖는 신규 미검증 프로시저로서 식별하 고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, i) 필수 사이트의 개수가 0보다 많을 경우 단계 a) 내지 h)를 반복하는 단계와, j) 필수 사이트의 개수가 0일 때 제1 웨이퍼의 검증을 정지하는 단계를 포함한다.
다른 예에서는, 평가 프로시저가 수행될 경우, 추가 S-D 웨이퍼 상의 사이트를 이용할 수 있다. 예컨대, 하나 이상의 S-D 웨이퍼 상의 사이트들로부터의 데이터를 이용하여 평가 결정을 수행할 수 있다. 또한, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 웨이퍼 데이터, 프로세스 상태 데이터, 필수 검증 사이트의 개수, 시찰한 검증 사이트의 개수, 필수 검증 사이트의 개수, 또는 이들의 임의의 조합을 이용하여 제1 S-D 웨이퍼 세트 내의 추가 S-D 웨이퍼에 대한 추가 프로시저 검증 시퀀스를 확립하고, 추가 S-D 웨이퍼에 대한 제1 미검증 S-D 프로시저를 결정하도록 구성될 수 있으며, 이 제1 미검증 S-D 프로시저는 추가 프로시저 검증 시퀀스를 이용하여 결정되고 하나 이상의 처리 프로시저를 포함한다.
하나 이상의 S-D 이송 서브시스템(101, 102)은 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155) 내의 S-D 처리 요소들(112, 117, 122, 127, 132, 142, 147 및 157) 중 하나에 추가 S-D 웨이퍼를 이송하도록 구성될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템(101, 102)은 그 S-D 처리 서브시스템(101, 102) 내의 처리 요소(104)를 이용하여 제2 시간 동안 추가 S-D 웨이퍼를 지연시키도록 구성될 수 있고, 그 이송 요소(104)는 2개 이상의 웨이퍼를 지지할 수 있다. 제2 시간 후에, 추가 S-D 웨이퍼는 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에서 처리될 수 있다.
추가 S-D 웨이퍼가 이송된 후에, 추가 S-D 웨이퍼를 이용하여 제1 미검증 S-D 프로시저가 수행될 수 있고, 제1 미검증 S-D 프로시저 시에, 제1 S-D 검증 특징부 세트가 추가 피처리 S-D 웨이퍼 상에 작성될 수 있다. 제1 S-D 검증 특징부 세트는 추가 피처리 S-D 웨이퍼 상의 제1 사이트에 제1 검증 특징부를 포함할 수 있다.
추가 피처리 S-D 웨이퍼는 제1 미검증 S-D 프로시저가 그 웨이퍼 상에서 수행될 경우에 작성될 수 있고, 제1 S-D 평가 요소(137, 152)를 이용할 수 있다면, 추가 피처리 S-D 웨이퍼는 검사 서브시스템(135)과 평가 서브시스템(150)에 연결되는 하나 이상의 S-D 이송 서브시스템(101, 102)을 이용하여, 검사 서브시스템(135) 내의 제1 S-D 평가 요소(137) 또는 평가 서브시스템(150) 내의 제1 S-D 평가 요소(152)에 이송될 수 있고, 제1 S-D 평가 요소를 이용할 수 없다면, 추가 피처리 S-D 웨이퍼는 하나 이상의 S-D 이송 서브시스템(101, 102)을 이용하여, 제3 시간 동안 지연될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템(101, 102)은 그 S-D 이송 서브시스템(101, 102) 내의 이송 요소(104)를 이용하여 제3 시간 동안 추가 피처리 S-D 웨이퍼를 지연시키도록 구성될 수 있고, 이송 요소(104)는 2개 이상의 웨이퍼를 지지할 수 있다. 제3 시간 후에, 제1 피처리 S-D 웨이퍼는 검사 서브시스템(135) 및/또는 평가 서브시스템(150)에서 평가될 수 있다.
추가 피처리 S-D 웨이퍼 상의 제1 사이트가 이용될 경우, 하나 이상의 컨트 롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는, 또한 다음의 단계들을 수행하도록 구성될 수 있으며, 이 단계들은, a1) 추가 피처리 S-D 웨이퍼 상의 필수 사이트의 수로부터 제1 사이트를 선택하는 단계로서, 이 제1 사이트는 그와 연관된 제1 검증 특징부를 갖는 것인 선택 단계와, b1) 추가 피처리 S-D 웨이퍼 상의 제1 사이트로부터 추가 미검증 데이터를 획득하는 단계로서, 그 제1 사이트는 그와 연관된 제1 미검증 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, c1) 추가 피처리 S-D 웨이퍼 상의 제1 사이트를 이용하여 추가 피처리 S-D 웨이퍼에 대한 추가 검증 데이터를 확립하는 단계로서, 제1 검증 데이터는 피검증 측정 및/또는 검사 데이터를 포함하는 확립 단계와, d1) 추가 미검증 데이터와 추가 검증 데이터 간의 추가 차이를 이용하여 추가 피처리 S-D 웨이퍼 상의 제1 사이트에 대한 추가 신뢰도 값을 확립하는 단계와, e1) 추가 신뢰도 값, 추가 차이, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 추가 리스크 인자를 확립하는 단계와, f1) 추가 리스크 인자, 추가 신뢰도 값, 추가 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 추가 합계 리스크 인자를 확립하는 단계와, g1) 추가 합계 리스크 인자가 추가 임계 한도 이하일 경우, 제1 미검증 S-D 프로시저를 그와 연관된 추가 합계 리스크 인자를 갖는 피검증 프로시저로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, h1) 추가 합계 리스크 인자가 추가 임계 한도보다 클 경우, 제1 미검증 S-D 프로시저를 그와 연관된 추가의 제2 합계 리스 크 인자를 갖는 추가 미검증 프로시저로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, i1) 필요한 추가 S-D 웨이퍼의 수가 0보다 많을 경우 단계 a1) 내지 h1)를 반복하는 단계와, j1) 필요한 추가 S-D 웨이퍼의 수가 0일 때 제1 웨이퍼의 검증을 정지하는 단계를 포함한다.
추가 피처리 S-D 웨이퍼 상의 추가 필수 사이트가 이용될 경우, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 또한 다음의 단계들을 수행하도록 구성될 수 있으며, 그 단계들은, a2) 추가 피처리 S-D 웨이퍼 상의 필수 사이트의 수로부터 신규 사이트를 선택하는 단계로서, 이 신규 사이트는 제1 미검증 S-D 프로시저를 이용하여 작성되었던 그와 연관된 제1 검증 특징부를 갖는 것인 선택 단계와, b2) 추가 피처리 S-D 웨이퍼 상의 신규 사이트로부터 추가의 신규 미검증 데이터를 획득하는 단계로서, 이 신규 사이트는 그와 연관된 신규 미검증 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, c2) 추가 피처리 S-D 웨이퍼 상의 신규 사이트를 이용하여 추가 피처리 S-D 웨이퍼에 대한 신규의 추가 검증 데이터를 확립하는 단계로서, 이 신규 검증 데이터는 신규 피검증 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, d2) 신규의 추가 미검증 데이터와 신규의 추가 검증 데이터 간의 신규 추가 차이를 이용하여 추가 피처리 S-D 웨이퍼 상의 신규 사이트를 이용한 신규의 추가 신뢰도 값을 확립하는 단계와, e2) 신규 추가 신뢰도 값, 신규 추가 차이, 추가 신뢰도 값, 추가 차이, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 신규의 추가 리스크 인자를 확립하는 단계와, f2) 신규의 추 가 리스크 인자, 신규의 추가 신뢰도 값, 신규의 추가 차이, 추가 리스크 인자, 추가 신뢰도 값, 추가 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 신규의 추가 합계 리스크 인자를 확립하는 단계와, g2) 신규의 추가 합계 리스크 인자가 신규의 추가 임계 한도 이하일 경우, 제1 미검증 S-D 프로시저를 그와 연관된 신규의 추가 합계 리스크 인자를 갖는 검증 프로시저로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계와, h2) 신규의 추가 합계 리스크 인자가 신규의 추가 임계 한도보다 클 경우, 제1 미검증 S-D 프로시저를 그와 연관된 추가의 제2 리스크 인자를 갖는 추가 미검증 프로시저로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, i2) 필요한 추가 S-D 웨이퍼의 개수가 0보다 많을 경우 단계 a2) 내지 h2)를 반복하는 단계와, j2) 필요한 추가 S-D 웨이퍼의 수가 0일 때 제1 웨이퍼의 검증을 정지하는 단계를 포함한다.
지연된 피처리 S-D 웨이퍼 상의 추가 필수 사이트가 이용될 경우, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 또한 다음의 단계들을 수행하도록 구성될 수 있으며, 그 단계들은, a3) 지연된 피처리 S-D 웨이퍼 상의 남아있는 사이트의 수로부터 사이트를 선택하는 단계로서, 이 사이트는 그와 연관된 제1 검증 특징부를 갖는 것인 선택 단계와, b3) 지연된 피처리 S-D 웨이퍼 상의 사이트로부터 지연된 미검증 데이터를 획득하는 단계로서, 그 사이트는 그와 연관된 지연된 미검증 측정 및/또는 검사 데이터를 갖는 것인 획득 단 계와, c3) 지연된 피처리 S-D 웨이퍼 상의 사이트를 이용하여 지연된 피처리 S-D 웨이퍼에 대한 지연된 검증 데이터를 확립하는 단계로서, 그 지연된 검증 데이터는 지연된 피검증 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, d3) 지연된 미검증 데이터와 지연된 검증 데이터 간의 지연된 차이를 이용하여 지연된 피처리 S-D 웨이퍼 상의 사이트에 대한 지연된 신뢰도 값을 확립하는 단계와, e3) 지연된 신뢰도 값, 지연된 차이, 추가 신뢰도 값, 추가 차이, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 지연된 리스크 인자를 확립하는 단계와, f3) 지연된 리스크 인자, 지연된 신뢰도 값, 지연된 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 미검증 S-D 프로시저에 대한 지연된 합계 리스크 인자를 확립하는 단계와, g3) 지연된 합계 리스크 인자가 지연된 임계 한도 이하일 경우, 제1 미검증 S-D 프로시저를 그와 연관된 지연된 합계 리스크 인자를 갖는 피검증 프로시저로서 식별하며, 남아있는 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계와, h3) 지연된 합계 리스크 인자가 추가 임계 한도보다 클 경우, 제1 미검증 S-D 프로시저를 그와 연관된 추가의 제2 리스크 인자를 갖는 추가 미검증 프로시저로서 식별하고, 남아있는 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, i3) 남아있는 지연된 S-D 웨이퍼의 개수가 0보다 많을 경우 단계 a3) 내지 h3)을 반복하는 단계와, j3) 남아있는 지연된 S-D 웨이퍼의 수가 0일 때 검증을 정지하는 단계를 포함한다.
다양한 실시예들에서, 하나 이상의 S-D 처리 요소는 하나 이상의 S-D 리소그 래피 관련 처리 요소, 하나 이상의 S-D 스캐너 관련 처리 요소, 하나 이상의 S-D 검사 관련 처리 요소, 하나 이상의 S-D 측정 관련 처리 요소, 하나 이상의 S-D 평가 관련 처리 요소, 하나 이상의 S-D 에칭 관련 처리 요소, 하나 이상의 S-D 증착 관련 처리 요소, 하나 이상의 S-D 열처리 요소, 하나 이상의 S-D 코팅 관련 처리 요소, 하나 이상의 S-D 정렬 관련 처리 요소, 하나 이상의 S-D 연마 관련 처리 요소, 하나 이상의 S-D 보관 관련 처리 요소, 하나 이상의 S-D 이송 처리 요소, 하나 이상의 S-D 세정 관련 처리 요소, 하나 이상의 S-D 재공정 관련 처리 요소, 하나 이상의 S-D 산화 관련 처리 요소, 하나 이상의 S-D 질화 관련 처리 요소, 하나 이상의 S-D 외부 처리 요소, 또는 이들의 임의의 조합을 포함할 수 있다.
또한, 제1 미검증 S-D 프로시저는 실시간으로 수행될 수 있고, 하나 이상의 S-D 리소그래피 관련 프로시저, 하나 이상의 S-D 스캐너 관련 프로시저, 하나 이상의 S-D 검사 관련 프로시저, 하나 이상의 S-D 측정 관련 프로시저, 하나 이상의 S-D 평가 관련 프로시저, 하나 이상의 S-D 에칭 관련 프로시저, 하나 이상의 S-D 증착 관련 프로시저, 하나 이상의 S-D 열처리 프로시저, 하나 이상의 S-D 코팅 관련 프로시저, 하나 이상의 S-D 정렬 관련 프로시저, 하나 이상의 S-D 연마 관련 프로시저, 하나 이상의 S-D 보관 관련 프로시저, 하나 이상의 S-D 이송 프로시저, 하나 이상의 S-D 세정 관련 프로시저, 하나 이상의 S-D 재공정 관련 프로시저, 하나 이상의 S-D 산화 관련 프로시저, 하나 이상의 S-D 질화 관련 프로시저, 하나 이상의 S-D 외부 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
일부 실시예들에서, 미검증 데이터는 S-D 강도 데이터, S-D 투과 데이터, S- D 흡수율 데이터, S-D 반사율 데이터, S-D 회절 데이터, S-D 광학 특성 데이터, S-D 이미지 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 검증 데이터는 이력 데이터, 라이브러리 데이터, 광학 계측 데이터, 촬상 데이터, 입자 데이터, CD 전자 주사 현미경(CD-SEM) 데이터, 전자 투과 현미경(TEM) 데이터, 및/또는 포커싱된 이온 빔(FIB) 데이터를 포함할 수 있다. 임계 한도는 적합도(goodness of fit) 데이터, CD 데이터, 정확도 데이터, 파장 데이터, 측벽 데이터, 입자 데이터, 프로세스 데이터, 이력 데이터, 또는 이들의 임의의 조합을 포함한 S-D 데이터를 포함할 수 있다.
일례로, 노광된 마스킹을 현상하여 제1 S-D 피처리 웨이퍼 상에 제1 S-D 검증 특징부 세트가 작성된다. 또 다른 예로, 하나 이상의 층을 에칭하여 제1 S-D 피처리 웨이퍼 상에 제1 S-D 검증 특징부 세트가 작성된다. 다른 예들로, 증착된 마스킹 층을 노광시킴으로써 제1 S-D 피처리 웨이퍼 상에 제1 S-D 검증 특징부 세트가 작성된다.
본 명세서에 개시하는 다양한 실시예들에서, 웨이퍼는 반도체 재료, 탄소 재료, 유전체 재료, 유리 재료, 세라믹 재료, 금속성 재료, 산화된 재료, 마스크 재료, 평탄화 재료, 또는 이들의 임의의 재료를 포함할 수 있는 하나 이상의 층을 포함할 수 있다.
일부 예들에 있어서, 리소그래피 관련 처리 요소는 S-D 및/또는 N-S-D일 수 있는 마스크층 증착 프로시저, 마스크층 노광 프로시저 및/또는 현상 프로시저를 수행할 수 있고, 평가 요소는 S-D 및/또는 N-S-D일 수 있는 마스크층 증착 프로시 저, 마스크층 노광 프로시저 및/또는 현상 프로시저를 수행할 수 있다.
S-D 이송 시퀀스는 이용할 S-D 이송 서브시스템, 이용할 이송 디바이스의 수, 이용할 이송 요소의 수, 이송 시간, 및/또는 이송 속도를 결정하는데 이용될 수 있다.
S-D 웨이퍼 상태 데이터는 필수 사이트의 개수, 시찰한(평가/완료된) 사이트의 개수, 남아있는 사이트의 개수, 또는 이들의 임의의 조합에 종속될 수 있다. S-D 프로세스 상태는 필수 프로시저의 수, 완료된 프로시저의 수, 남아있는 프로시저의 수, 또는 이들의 임의의 조합에 종속될 수 있다. 일부의 경우, 실제로 수행된 평가의 수는 이미 측정된 사이트에서 우수한 결과가 얻어질 때 원래 수보다 적을 수 있다.
처리량 시간은 하나 이상의 웨이퍼를 처리하는데 필요한 처리 요소의 수를 결정하는데 이용될 수 있다.
S-D 프로시저가 검증될 경우, S-D 프로시저 및 그 S-D 프로시저와 연관된 데이터는 라이브러리 및/또는 데이터베이스에 저장될 수 있다.
생산품이 개발중일 경우, 하나 이상의 S-D 라이브러리가 작성, 개선, 업데이트 및/또는 이용될 수 있다. S-D 평가 라이브러리는 사이트 종속적 S-D 특징부, 특성, 구조물, 프로시저, 이미지, 및/또는 광학 데이터를 포함할 수 있다.
처리 시스템(100)은 S-D 작성 프로시저 및/또는 S-D 평가 프로시저를 이용하여 하나 이상의 S-D 평가 라이브러리에 대한 S-D 데이터를 작성할 수 있다.
일부 실시예들에서, 하나 이상의 웨이퍼는 하나 이상의 S-D 이송 서브시스 템(101, 102)에 연결된 하나 이상의 처리 요소(112, 117, 122, 127, 132, 142, 147 및 157)에 의해 수납될 수 있고, S-D 이송 서브시스템(101, 102)은 처리 시스템(100) 내의 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 연결될 수 있다. 각각의 웨이퍼 위에는 하나 이상의 층이 있을 수 있고, 각 웨이퍼는 그와 연관된 웨이퍼 데이터를 가지며, 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 제1 S-D 웨이퍼 세트에 대한 웨이퍼 데이터를 수신하도록 구성될 수 있다.
하나 이상의 처리 요소(112, 117, 122, 127, 132, 142, 147 및 157)는 하나 이상의 제1 S-D 작성 프로시저를 수행할 수 있으며, 작성되는 제1 피처리 S-D 웨이퍼 세트는 제1 개수의 평가 사이트에 하나 이상의 라이브러리 관련 기준 특징부를 구비한다.
하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 또한, 다음의 단계들을 수행하도록 구성될 수 있는데, 이 단계들은, 각 피처리 S-D 웨이퍼마다 S-D 웨이퍼 상태 데이터를 확립하는 단계로서, 이 S-D 웨이퍼 상태 데이터는 각 피처리 S-D 웨이퍼마다 필수 작성 사이트의 수와 필수 평가 사이트의 수를 포함할 수 있는 것인 확립 단계와, 제1 개수의 피처리 S-D 웨이퍼를 포함하는 제1 평가 웨이퍼 세트를 확립하는 단계로서, 이 제1 평가 웨이퍼 세트는 제1 S-D 평가 프로시저를 이용하여 평가되는 것인 확립 단계와, 하나 이상의 S-D 이송 서브시스템에 연결된 하나 이상의 서브시스템 내의 복수의 S-D 평가 요소에 대한 제1 작동 상태를 확립하는 단계와, 하나 이상의 S-D 평가 요소에 대한 제1 작동 상태를 이용하여 가용 평가 요소의 제1 개수를 결정하는 단계와, 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, S-D 평가 웨이퍼의 제1 개수, 가용 평가 요소의 제1 개수, 또는 이들의 임의의 조합을 이용하여 제1 S-D 이송 시퀀스를 확립하는 단계와, S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수보다 많을 경우에 제1 보정 조치를 적용하는 단계를 포함한다.
제1 S-D 평가 웨이퍼 세트는 S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수 이하인 경우에 제1 S-D 이송 시퀀스를 이용하여 하나 이상의 평가 서브시스템(135, 150) 내의 제1 개수의 가용 평가 요소(137, 152)에 이송될 수 있다. 하나 이상의 S-D 이송 서브시스템(101, 102)은 검사 서브시스템(135)과 평가 서브시스템(150)에 연결될 수 있다.
또한, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 다음의 단계들을 수행하도록 구성될 수 있으며, 그 단계들은, 웨이퍼 데이터, 제1 S-D 작성 프로시저로부터의 데이터, S-D 웨이퍼 상태 데이터, S-D 평가 라이브러리 작성 규칙, 또는 이들의 임의의 조합을 이용하여 각 S-D 평가 웨이퍼마다 필수 평가 사이트의 개수를 결정하는 단계와, 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 제1 사이트를 선택하는 단계로서, 이 제1 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 제1 라이브러리 관련 기준 특징부를 갖는 것인 선택 단계와, 제1 S-D 평가 웨이퍼 상의 제1 사이트로부터 제1 라이브러리 관련 평가 데이터를 획득하는 단계로서, 이 제1 사이트는 연관된 제1 라이브러리 관련 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대한 제1 피예측 데이터를 확립하는 단계로서, 제1 피예측 데이터는 피예측 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, 제1 라이브러리 관련 평가 데이터 및 제1 피예측 데이터를 이용하여 계산된 제1 라이브러리 관련 차이를 이용하여 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대한 제1 신뢰도 값을 확립하는 단계와, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대한 제1 리스크 인자를 확립하는 단계와, 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대한 제1 합계 리스크 인자를 확립하는 단계와, 제1 합계 리스크 인자가 제1 라이브러리 관련 작성 한계 이하인 경우에 제1 S-D 평가 웨이퍼 상의 제1 사이트를 그와 연관된 제1 합계 리스크 인자를 갖는 제1 피검증 사이트로서 식별하고, 남아있는 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리고, 제1 사이트와 연관된 데이터를 피검증 데이터로서 S-D 평가 라이브러리에 저장하는 단계와, 제1 합계 리스크 인자가 제1 라이브러리 관련 작성 한계보다 클 경우에 제1 사이트를 그와 연관된 제2 리스크 인자를 갖는 제1 미검증 사이트로서 식별하고, 남아있는 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계를 포함하고, 제1 피검증 사이트는 그와 연관된 피검증 라이브러리 관련 데이터를 갖는다.
S-D 평가 라이브러리가 작성될 경우, 제1 S-D 평가 웨이퍼 상의 추가 사이트가 이용될 수 있고, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 다음의 단계들을 수행하도록 구성될 수 있으며, 이 단계들은, a) 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 신규 사이트를 선택하는 단계로서, 이 신규 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 신규 라이브러리 관련 기준(평가) 특징부를 갖는 것인 선택 단계와, b) 제1 S-D 평가 웨이퍼 상의 신규 사이트로부터 신규 라이브러리 관련 평가 데이터를 획득하는 단계로서, 이 신규 사이트는 그와 연관된 신규 라이브러리 관련 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, c) 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 피예측 데이터를 확립하는 단계로서, 이 신규 피예측 데이터는 신규 피예측 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, d) 신규 라이브러리 관련 평가 데이터 및 신규 피예측 데이터를 이용하여 계산된 제1 라이브러리 관련 차이를 이용하여 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 신뢰도 값을 확립하는 단계와, e) 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 리스크 인자를 확립하는 단계와, f) 신규 리스크 인자, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 합계 리스크 인자를 확립하는 단계와, g) 신규 합계 리스크 인자가 신규 라이브 러리 관련 작성 한계 이하인 경우에, 제1 S-D 평가 웨이퍼 상의 신규 사이트를 그와 연관된 신규 합계 리스크 인자를 갖는 신규 피검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리고, 신규 사이트와 연관된 데이터를 피검증 데이터로서 평가 라이브러리에 저장하는 단계와, h) 신규 합계 리스크 인자가 신규 라이브러리 관련 작성 한계보다 클 경우에 제1 S-D 평가 웨이퍼 상의 신규 사이트를 그와 연관된 신규 제2 리스크 인자를 갖는 신규 미검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 신규 피검증 사이트는 그와 연관된 신규 피검증 라이브러리 관련 데이터를 갖는 단계와, i) 필수 사이트의 개수가 0보다 많을 경우, 단계 a)-h)를 반복하는 단계와, j) 필수 사이트의 개수가 0일 경우에 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함한다.
S-D 평가 라이브러리가 작성될 경우, 추가 S-D 평가 웨이퍼 상의 추가 사이트가 이용될 수 있고, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 다음의 단계들을 수행하도록 구성될 수 있으며, 그 단계들은, a1) 추가 S-D 평가 웨이퍼를 선택하는 단계와, b1) 추가 S-D 평가 웨이퍼 상에 제1 개수의 필수 사이트를 결정하는 단계와, c1) 추가 S-D 평가 웨이퍼 상의 제1 개수의 필수 사이트로부터 추가 사이트를 선택하는 단계로서, 이 추가 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 추가 라이브러리 관련 기준(평가) 특징부를 갖는 것인 선택 단계와, d1) 추가 S-D 평가 웨이퍼 상의 추가 사이트로부터 추가 라이브러리 관련 평가 데이터를 획득하는 단계로서, 이 추가 사 이트는 그와 연관된 추가 라이브러리 관련 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, e1) 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 피예측 데이터를 확립하는 단계로서, 이 추가 피예측 데이터는 추가 피예측 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, f1) 추가 라이브러리 관련 평가 데이터 및 추가 피예측 데이터를 이용하여 계산된 추가 라이브러리 관련 차이를 이용하여 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 신뢰도 값을 확립하는 단계와, g1) 추가 신뢰도 값, 추가 라이브러리 관련 차이, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 리스크 인자를 확립하는 단계와, h1) 추가 리스크 인자, 추가 신뢰도 값, 추가 라이브러리 관련 차이, 신규 리스크 인자, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 합계 리스크 인자를 확립하는 단계와, i1) 추가 합계 리스크 인자가 추가 라이브러리 관련 작성 한계 이하인 경우에, 추가 S-D 평가 웨이퍼 상의 추가 사이트를 그와 연관된 추가 합계 리스크 인자를 갖는 추가 피검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리고, 추가 사이트와 연관된 데이터를 피검증 데이터로서 평가 라이브러리에 저장하는 단계와, j1) 추가 합계 리스크 인자가 추가 라이브러리 관련 작성 한계보다 클 경우에 추가 S-D 평가 웨이퍼 상의 추가 사이트를 그와 연관된 추가의 제2 리스크 인자 를 갖는 추가 미검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 추가 피검증 사이트는 그와 연관된 추가 피검증 라이브러리 관련 데이터를 갖는 것인 단계와, k1) 추가 S-D 평가 웨이퍼를 이용할 수 있고 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0보다 많을 경우, 단계 a1)-j1)를 반복하는 단계와, l1) 추가 S-D 평가 웨이퍼를 이용할 수 없거나 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0일 경우에 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함한다.
일부 예에서는 제1 보정 조치가 수행될 경우, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 S-D 피처리 웨이퍼의 제1 개수와 가용 처리 요소의 제1 개수 간의 차이를 이용하여 제1 개수의 지연된 S-D 웨이퍼들을 결정하도록 구성될 수 있으며, 하나 이상의 S-D 이송 서브시스템(101, 102) 내의 하나 이상의 이송 요소(104)는 제1 시간 동안 제1 개수의 지연된 웨이퍼들을 보관 및/또는 지연시키도록 구성될 수 있다.
또 다른 예에서는 제1 보정 조치가 수행될 경우, 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는, 제1 개수의 S-D 평가 웨이퍼들 및 제1 개수의 가용 평가 요소 간의 차이를 이용하여 제1 개수의 지연된 S-D 웨이퍼들을 결정하며, 제1 지연된 S-D 평가 웨이퍼에 대해 업데이트된 S-D 웨이퍼 상태 데이터를 결정하고, 제1 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 대해 업데이트된 작동 상태 데이터를 결정하며, 제1 지연된 S-D 평가 웨이퍼에 대해 제1 업데이트된 이송 시퀀스를 결정하고, 업데이트된 작동 상태 데이터를 이 용하여 하나 이상의 신규 가용 S-D 처리 요소를 식별하며, 제1 신규 가용 S-D 평가 요소를 이용할 수 없는 경우 제2 보정 조치를 적용하도록 구성될 수 있다. 또한, 하나 이상의 S-D 이송 서브시스템(101, 102) 내의 하나 이상의 이송 요소(104)는 하나 이상의 신규 가용 S-D 평가 요소를 이용할 수 있게 될 때 제1 업데이트된 이송 시퀀스를 이용하여 지연된 하나 이상의 웨이퍼를 이송하도록 구성될 수 있다.
추가 예에서는, 보정 조치가, 처리 정지, 처리 일시정지, 하나 이상의 S-D 평가 웨이퍼를 재평가, 하나 이상의 S-D 평가 웨이퍼를 재측정, 하나 이상의 S-D 평가 웨이퍼를 재검사, 하나 이상의 S-D 평가 웨이퍼를 재공정, 하나 이상의 S-D 평가 웨이퍼를 보관, 하나 이상의 S-D 평가 웨이퍼를 세정, 하나 이상의 S-D 평가 웨이퍼를 지연, 하나 이상의 S-D 평가 웨이퍼를 폐기, 또는 이들의 조합을 포함할 수 있다.
한 세트의 추가 처리 단계들은, 피처리 S-D 웨이퍼에 대한 S-D 신뢰도 맵으로서, 제1 S-D 신뢰도 맵은 피처리 S-D 웨이퍼 각각의 위에서 제1 개수의 평가 사이트에 작성된 하나 이상의 라이브러리 관련 기준 특징부에 대한 신뢰도 데이터를 포함하는 것인 S-D 신뢰도 맵을 계산하는 단계와, 피처리 S-D 웨이퍼에 대한 S-D 신뢰도 맵을 이용하여 제1 평가 웨이퍼 세트를 확립하는 단계를 포함할 수 있다.
제2 세트의 추가 처리 단계들은, 피처리 S-D 웨이퍼에 대한 S-D 신뢰도 맵으로서, 제1 S-D 신뢰도 맵은 피처리 S-D 웨이퍼 각각의 위에서 제1 개수의 평가 사이트에 작성된 하나 이상의 라이브러리 관련 기준 특징부에 대한 신뢰도 데이터를 포함하는 것인 S-D 신뢰도 맵을 계산하는 단계와, 제1 S-D 신뢰도 맵 내의 하나 이 상의 값이 제1 신뢰도 한계 내에 없을 경우 필수 평가 사이트의 개수를 1 이상 줄이는 단계와, 제1 S-D 신뢰도 맵 내의 하나 이상의 값이 제1 신뢰도 한계 내에 있을 경우 필수 평가 사이트의 개수를 1 이상 늘리는 단계를 포함할 수 있다.
제3 세트의 추가 처리 단계들은, 피처리 S-D 웨이퍼에 대한 S-D 리스크 평가 맵으로서 제1 S-D 리스크 평가 맵은 피처리 S-D 웨이퍼 각각의 위에서 제1 개수의 평가 사이트에 작성된 하나 이상의 라이브러리 관련 기준 특징부에 대한 리스크 평가 데이터를 포함하는 것인 S-D 리스크 평가 맵을 계산하는 단계와, 제1 S-D 리스크 평가 맵 내의 하나 이상의 값이 제1 신뢰도 한계 내에 없을 경우 필수 평가 사이트의 개수를 1 이상 줄이는 단계와, 제1 S-D 리스크 평가 맵 내의 하나 이상의 값이 제1 신뢰도 한계 내에 있을 경우 필수 평가 사이트의 개수를 1 이상 늘리는 단계를 포함할 수 있다.
대안적 실시예에서는 제1 N-S-D 웨이퍼 세트가 결정될 수 있고, 이들 웨이퍼는 제1 N-S-D 처리 시퀀스를 이용하여 처리될 수 있으며, 제1 N-S-D 처리 시퀀스는 하나 이상의 N-S-D 프로시저를 포함할 수 있다. 제1 N-S-D 웨이퍼 세트는 S-D 이송 서브시스템을 이용하여 하나 이상의 제1 서브시스템 내의 하나 이상의 제1 N-S-D 처리 요소로 이송될 수 있고, 제1 N-S-D 처리 시퀀스는 하나 이상의 제1 서브시스템 내의 하나 이상의 제1 N-S-D 처리 요소를 결정하는데 이용될 수 있다.
일부 실시예들에서는 S-D 평가 라이브러리 데이터가, 적합도 데이터, 작성 규칙 데이터, S-D 측정 데이터, S-D 검사 데이터, S-D 검증 데이터, S-D 맵 데이터, S-D 신뢰도 데이터, S-D 정확도 데이터, S-D 프로세스 데이터, S-D 균일성 데 이터, 또는 이들의 임의의 조합을 포함할 수 있다.
도 2는 본 발명의 실시예들에 따라 S-D 프로시저를 이용하여 웨이퍼를 처리하기 위한 방법의 예시적인 흐름도를 나타낸다. 웨이퍼는 반도체 재료, 탄소 재료, 유전체 재료, 유리 재료, 세라믹 재료, 금속성 재료, 산화된 재료, 도핑된 재료, 주입된 재료, 마스크 재료, 평탄화 재료, 또는 이들의 임의의 조합을 포함할 수 있는 하나 이상의 층을 포함할 수 있다. 일부의 경우에는 S-D 프로시저가 생산 사이클 전체에 걸쳐 이용될 수 있고, 다른 경우에 S-D 프로시저는 더 중요한 처리 단계들이 수행될 때 생산 사이클의 초기에 이용될 수 있다. 일부 예에서, S-D 프로시저는, NMOS 구조와 PMOS 구조 간의 이동도 차이를 고려하고, 테스트 구조물을 배치하며, 라인폭 조도(roughness) 및 라인 엣지 조도를 향상시키고, 오버레이 문제를 개선하는데 이용될 수 있다.
일부 예들에서는, 웨이퍼 데이터가 실시간 데이터, 이력 데이터, S-D 신뢰도 데이터, N-S-D 신뢰도 데이터, S-D 리스크 데이터, N-S-D 리스크 데이터, S-D 한계 데이터, N-S-D 한계 데이터, 또는 이들의 임의의 조합을 포함할 수 있다.
도면 부호 205에서, 하나 이상의 웨이퍼가 처리 시스템(100) 내의 하나 이상의 서브시스템(101, 102, 110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 의해 수납될 수 있다. 일부 실시예들에서, 그 하나 이상의 웨이퍼는 하나 이상의 서브시스템(101, 102, 110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 연결된 하나 이상의 이송 서브시스템(101, 102)에 의해 수납될 수 있다. 대안으로, 하나 이상의 웨이퍼는 상이한 서브시스템에 의해 수납될 수 있다. 또한, 시스템 컨 트롤러(195)는 하나 이상의 웨이퍼에 대한 웨이퍼 데이터를 수신하는데 이용될 수 있다. 대안으로, 웨이퍼 데이터의 일부는 상이한 컨트롤러에 의해 수신될 수 있다. 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 예컨대, 웨이퍼 데이터는 하나 이상의 웨이퍼에 대한, 웨이퍼 관련 맵, 프로세스 관련 맵, 손상 평가 맵, 기준 맵, 측정 맵, 예측 맵, 리스크 맵, 검사 맵, 검증 맵, 평가 맵, 입자 맵, 및/또는 신뢰도 맵을 포함할 수 있는 S-D 및/또는 N-S-D 맵을 포함할 수 있다. 일부의 경우, MES 시스템(180)은 시스템 컨트롤러(195) 및 하나 이상의 서브시스템(110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)과 데이터를 교환할 수 있고, 그 데이터는 처리 시퀀스 및/또는 이송 시퀀스를 결정 및/또는 제어하는데 이용될 수 있다. 교환된 데이터는 각 웨이퍼마다 이용할 S-D 및/또는 N-S-D 프로시저를 결정하는데 이용될 수 있다. 그 데이터는 시스템 데이터, 서브시스템 데이터, 챔버 데이터, 생산품 데이터, 센서 데이터, 및 이력 데이터를 포함할 수 있다.
웨이퍼는 S-D 웨이퍼 및 N-S-D 웨이퍼를 포함할 수 있다. S-D 웨이퍼 상태 데이터는 S-D 웨이퍼에 대해 확립될 수 있고, N-S-D 웨이퍼 상태 데이터는 N-S-D 웨이퍼에 대해 확립될 수 있다.
도면 부호 210에서, S-D 프로세스 및/또는 이송 시퀀스는 웨이퍼 데이터 및 S-D 웨이퍼 상태 데이터를 이용하여 S-D 웨이퍼에 대해 확립될 수 있다. N-S-D 프로시저 및/또는 이송 시퀀스는 웨이퍼 데이터 및 N-S-D 웨이퍼 상태 데이터를 이용하여 N-S-D 웨이퍼에 대해 확립될 수 있다. 대안으로, 다른 시퀀스 및 추가 데이터 를 이용할 수도 있다.
검증 관련 시퀀스는 S-D 프로시저, S-D 웨이퍼, S-D 프로시저 및/또는 S-D 라이브러리에 이용된 사이트를 검증하기 위해 확립될 수 있다. 검증 관련 시퀀스는 S-D 작성 프로시저, S-D 이송 프로시저, S-D 검증 프로시저, S-D 평가 프로시저, S-D 측정 프로시저, S-D 검사 프로시저, 또는 임의의 조합을 포함할 수 있다. 대안으로, N-S-D 프로시저가 포함될 수 있다. 하나 이상의 S-D 웨이퍼는 하나 이상의 프로세스 관련 프로시저를 이용하여 처리될 수 있고 프로세스 검증 처리 시퀀스를 이용하여 검증될 수 있다.
S-D 프로시저에서의 사이트는 트랜지스터 내의 게이트 구조물, 트랜지스터 내의 드레인 구조물, 트랜지스터 내의 소소 구조물, 커패시터 구조물, 비아 구조물, 트렌치 구조물, 2차원 메모리 구조물, 3차원 메모리 구조물, 측벽각, 바닥부 임계 치수(CD), 정상부 CD, 중간부 CD, 어레이, 주기적 구조물, 정렬 특징부, 도핑 특징부, 스트레인 특징부, 손상된 구조물, 기준 구조물, 또는 이들의 임의의 조합에 연관될 수 있다.
S-D 처리 시퀀스 및/또는 N-S-D 처리 시퀀스는 하나 이상의 마스크 작성 프로시저, 하나 이상의 증착 프로시저, 하나 이상의 코팅 프로시저, 하나 이상의 에칭 프로시저, 하나 이상의 열처리 프로시저, 하나 이상의 주입 프로시저, 하나 이상의 도핑 프로시저, 하나 이상의 노광 프로시저, 하나 이상의 산화 프로시저, 하나 이상의 질화 프로시저, 하나 이상의 이온화 프로시저, 하나 이상의 현상 프로시저, 하나 이상의 리소그래피 프로시저, 하나 이상의 스캐너 관련 프로시저, 하나 이상의 측정 프로시저, 하나 이상의 검사 프로시저, 하나 이상의 평가 프로시저, 하나 이상의 시뮬레이션 프로시저, 하나 이상의 예측 프로시저, 하나 이상의 재공정 프로시저, 하나 이상의 보관 프로시저, 하나 이상의 이송 프로시저, 하나 이상의 로드락 프로시저, 하나 이상의 세정 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
일부 예들에서, S-D 처리 시퀀스는 더 적은 수의 웨이퍼를 이용하여 수행될 수 있는 선처리 및/또는 후처리 프로시저를 포함할 수 있다. 선처리 및/또는 후처리 프로시저는 S-D일 수 있고, 처리, 평가, 측정, 검사, 검증 및/또는 손상 평가 프로시저를 포함할 수 있다. 대안으로, 프로시저는 N-S-D일 수 있다. 생산품 수명 동안, 처리 시퀀스는 생산품이 완성될 때 다수회 변경될 수 있고, 선처리 및/또는 후처리 정도는 상이한 웨이퍼마다 및/또는 상이한 시간에 대해 다를 수 있다. 일부 웨이퍼는 검증, 검사, 평가, 손상 평가, 테스트, 및/또는 미리 이송 웨이퍼로서 식별될 수 있고, 선처리 및/또는 후처리 프로시저는 이들 웨이퍼의 일부에 대해 수행될 수 있다. 생산품이 개발 및/또는 검증중일 때, 프로세스 결과는 변할 수 있고, 추가 프로시저는 더 많은 수의 웨이퍼 상에 수행될 수 있다. 예컨대, 추가 S-D 프로시저가 필요할 경우, 웨이퍼 상의 미리 정해진 개수의 사이트를 이용하여 선처리 및/또는 후처리 프로시저가 수행될 수 있다.
도면 부호 215에서, 하나 이상의 S-D 검증 관련 시퀀스, 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, 및 필요하다면 다른 데이터를 이용하여 각 S-D 웨이퍼마다 필요한 작성 프로시저의 개수가 결정될 수 있다. 또한, 필요한 작성 프로시저의 개수 는 하나 이상의 N-S-D 처리 시퀀스, 웨이퍼 데이터, 및 N-S-D 웨이퍼 상태 데이터를 이용하여 각 N-S-D 웨이퍼마다 결정될 수 있다. 대안으로, 추가 데이터가 이용될 수 있다.
일부의 경우, 웨이퍼 상태 데이터는 필요한 프로세스 관련 사이트의 개수, 시찰한 프로세스 관련 사이트의 개수, 남아있는 프로세스 관련 사이트의 개수, 또는 이들의 임의의 개수를 포함할 수 있다. S-D 작성 프로시저는 각각의 "처리될" S-D 웨이퍼마다 결정될 수 있고, S-D 작성 프로시저는 하나 이상의 프로세스 관련 프로시저를 포함할 수 있다. S-D 작성 프로시저는 이용할 처리 서브시스템 내의 S-D 처리 요소 및/또는 S-D 처리 서브시스템을 식별하는데 이용될 수 있다.
도면 부호 220에서, 필요한 평가 프로시저의 개수는 하나 이상의 S-D 처리 시퀀스, 웨이퍼 데이터 및 S-D 웨이퍼 상태 데이터를 이용하여 각각의 S-D 웨이퍼마다 결정될 수 있다. 또한, 필요한 평가 프로시저의 개수는 하나 이상의 N-S-D 처리 시퀀스, 웨이퍼 데이터 및 N-S-D 웨이퍼 상태 데이터를 이용하여 각각의 N-S-D 웨이퍼마다 결정될 수 있다. 대안으로, 추가 데이터가 이용될 수 있다.
일부의 경우, 웨이퍼 상태 데이터는 필요한 평가 관련 사이트의 개수, 시찰한 평가 관련 사이트의 개수, 남아있는 평가 관련 사이트의 개수, 또는 이들의 임의의 조합을 포함할 수 있다. S-D 평가 프로시저는 "평가될" 사이트, 웨이퍼, 프로시저, 및/또는 라이브러리에 대해 결정될 수 있고, S-D 평가 프로시저는 하나 이상의 검증, 평가, 측정, 검사, 및/또는 테스트 프로시저를 포함할 수 있다. 또한, S-D 평가 프로시저는 "검증될" 사이트, 웨이퍼, 프로시저, 및/또는 라이브러리에 대 해 결정될 수 있다. 이용될 S-D 평가 서브시스템 및/또는 S-D 평가 요소는 이용할 검증 서브시스템을 식별하는데 이용될 수 있는 S-D 평가 프로시저를 이용하여 식별될 수 있다.
다른 경우, 웨이퍼 상태 데이터는 필요한 검증 관련 사이트의 개수, 시찰한 검증 관련 사이트의 개수, 남아있는 검증 관련 사이트의 개수, 또는 이들의 임의의 조합을 포함할 수 있다. S-D 검증 프로시저는 "검증될" 사이트, 웨이퍼, 프로시저, 및/또는 라이브러리에 대해 결정될 수 있고, S-D 검증 프로시저는 하나 이상의 검증, 평가, 측정, 검사, 및/또는 테스트 프로시저를 포함할 수 있다. S-D 검증 프로시저는 이용할 검증 서브시스템 내의 S-D 검증 서브시스템 및/또는 S-D 검증 요소를 식별하는데 이용될 수 있다.
도면 부호 225에서, S-D 시퀀스 데이터, 로딩 데이터, 가용성 데이터, 작동 상태 데이터, 프로시저 데이터, 시스템 데이터, 서브시스템 데이터, 웨이퍼 데이터, S-D 웨이퍼 상태 데이터, 또는 이들의 임의의 조합을 이용하여, 각 S-D 웨이퍼마다 하나 이상의 S-D 이송 시퀀스가 확립될 수 있다. 또한, 각 N-S-D 웨이퍼마다 하나 이상의 N-S-D 이송 시퀀스가 확립될 수 있다. 대안으로, 상이한 데이터가 이용될 수도 있다.
일부 예들에서는, 제1 S-D 이송 시퀀스가 결정될 수 있고, 제1 웨이퍼 또는 제1 웨이퍼 그룹을 이송하는데 이용될 수 있다. 제1 웨이퍼 또는 제1 웨이퍼 그룹으로부터의 데이터는 다른 관련 웨이퍼들에 대한 결정 수행에 이용될 수 있다. 처리 시에 하나 이상의 "골든" 웨이퍼 및/또는 "골든" 챔버가 이용될 수 있다. 또한, 이송 및/또는 처리 시퀀스는 "제1 웨이퍼 영향"을 제거 및/또는 줄이는데 이용될 수 있다. S-D 이송 시퀀스는 이용할 S-D 이송 서브시스템, 이용할 이송 디바이스 및/또는 요소의 개수, 로딩 순서, 이송 시간, 및/또는 이송 속도를 결정하는데 이용될 수 있다.
리소그래피 관련 시퀀스가 수행될 경우, 리소그래피 관련 작성 프로시저를 이용하여 하나 이상의 S-D 웨이퍼 상의 하나 이상의 위치에 하나 이상의 리소그래피 관련 평가 특징부가 작성될 수 있고, 그 하나 이상의 리소그래피 관련 평가 특징부는 리소그래피 관련 평가 프로시저를 이용하여 평가 및/또는 검증될 수 있다.
일부 예들에서, MES(180)는 하나 이상의 검증 관련 시퀀스, 하나 이상의 프로세스 관련 시퀀스, 하나 이상의 작성 프로시저, 하나 이상의 S-D 평가 프로시저, 하나 이상의 이송 시퀀스, 또는 이들의 임의의 조합을 제공할 수 있다. 다른 예들에서, MES(180)는 하나 이상의 검증 관련 시퀀스, 하나 이상의 프로세스 관련 시퀀스, 하나 이상의 작성 프로시저, 하나 이상의 S-D 평가 프로시저, 하나 이상의 이송 시퀀스, 또는 이들의 임의의 조합을 확립하는데 이용될 수 있는 정보를 제공할 수 있다.
S-D 이송 시퀀스는, 이송 요소들 간의 교환, 이송 요소와 처리 요소 간의 교환, 이송 요소와 로드락 요소 간의 교환, 이송 요소와 N-S-D 서브시스템 간의 교환을 위해, 서브시스템 내의 내부 S-D 전달 요소에 연결된 내부 이송 요소에 대해, S-D 이송 서브시스템 내의 S-D 전달 요소에 연결된 이송 요소에 대해 확립될 수 있다.
도면 부호 230에서, 제1 S-D "처리" 웨이퍼 세트가 하나 이상의 처리 서브시스템 내의 하나 이상의 가용 S-D 처리 요소로 이송될 수 있다. 작동 상태 데이터는 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 대해 결정될 수 있고, 그 작동 상태 데이터는 하나 이상의 가용 S-D 처리 요소를 결정하는데 이용될 수 있다. 일부 대안의 경우, N-S-D 처리 요소를 이용하여 처리가 수행될 수 있고, 이 처리가 일어날 수 있도록 이송 시퀀스가 확립될 수 있다.
예컨대, 처리 요소에 대한 작동 상태 데이터는 가용성 데이터, 처리 요소에 대한 매칭 데이터, 일부 프로세스 단계 및/또는 사이트에 대한 예측 처리 시간, 처리 요소에 대한 신뢰도 데이터 및/또는 리스크 데이터, 하나 이상의 프로세스 관련 사이트에 대한 신뢰도 데이터 및/또는 리스크 데이터를 포함할 수 있다
일부 예들에서는 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 대해 실시간 작동 상태가 확립될 수 있다. 제1 개수의 제1 S-D 처리 요소들을 이용할 수 있는 경우에 제1 개수의 S-D 처리 웨이퍼의 세트는 S-D 이송 서브시스템을 이용하여 제1 개수의 S-D 처리 요소들에 이송될 수 있다. 그 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼에 대해 S-D 처리 요소를 이용할 수 없는 경우에 그 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼는 S-D 이송 서브시스템을 이용하여 제1 시간 동안 지연될 수 있다. 웨이퍼가 S-D 처리 요소에 대해 이송될 때 작동 상태가 변할 수 있다. 리소그래피 관련 서브시스템 내의 제1 S-D 처리 요소에 대해 웨이퍼를 이송하기 위해 실시간 이송 시퀀스가 확립되어 이용될 수 있다. 업데이트된 작동 상태는 실시간으로 하나 이상의 처리 요소 및/또는 하나 이상의 서브시스템에 질의함으로써 취득될 수 있다. 업데이트된 로딩 데이터는 실시간으로 하나 이상의 이송 요소 및/또는 하나 이상의 이송 서브시스템에 질의함으로써 취득될 수 있다.
지연된 웨이퍼는, 지연된 프로시저를 포함할 수 있고 지연된 데이터를 제공할 수 있는 "지연된" 처리 시퀀스 및/또는 "지연된" 이송 시퀀스를 이용하여 처리 및/또는 이송될 수 있다. 예컨대, "신규 가용" S-D 평가 요소가 식별될 경우, 지연된 S-D 평가 웨이퍼는 "지연된" 이송 시퀀스를 이용하여 하나 이상의 평가 서브시스템 내의 "신규 가용" S-D 평가 요소로 이송될 수 있다.
도면 부호 235에서는 작성 프로시저가 수행될 수 있다. 피검증 S-D 작성 프로시저는 하나 이상의 사이트에 하나 이상의 피검증 특징부 및/또는 구조물을 갖는 피검증 웨이퍼를 작성하는데 이용될 수 있다. 미검증 작성 프로시저는 하나 이상의 사이트에서 하나 이상의 미검증 특징부 및/또는 구조물을 갖는 미검증 웨이퍼를 작성하는데 이용될 수 있다. 웨이퍼 데이터, 처리 요소 및/또는 처리 서브시스템 데이터는 S-D 및/또는 N-S-D 작성 프로시저가 수행되기 전에, 도중에, 및/또는 후에 획득 및/또는 저장될 수 있다.
일부 작성 프로시저 도중에, 출력 데이터는 S-D 프로시저 내의 하나 이상의 프로세스 단계 시에 하나 이상의 프로세스 종속적 사이트로부터 획득될 수 있고, S-D 신뢰도 데이터는 S-D 출력 데이터를 프로세스 종속적 사이트에 대해 확립된 하나 이상의 S-D 생산품 요건에 비교함으로써 하나 이상의 웨이퍼에 대해 획득될 수 있다.
도면 부호 240에서는 현재 웨이퍼에 추가 작성 프로시저가 필요할 시기를 결 정하기 위한 질의가 수행될 수 있다. 현재 웨이퍼에 또 다른 작성 프로시저가 필요할 경우, 프로시저(200)는 다시 도면 부호 235로 이행될 수 있고, 현재 웨이퍼에 또 다른 작성 프로시저가 필요하지 않을 경우 프로시저(200)는 도면 부호 245로 이행될 수 있다.
도면 부호 245에서는 제1 S-D 평가 웨이퍼 세트가 확립될 수 있으며, 그 제1 S-D 평가 웨이퍼 세트는 제1 개수의 S-D 웨이퍼를 포함할 수 있다.
도면 부호 250에서, 하나 이상의 제1 S-D 평가 웨이퍼 세트는 하나 이상의 평가 서브시스템 내의 하나 이상의 가용 S-D 평가 요소로 이송될 수 있다. 하나 이상의 평가 서브시스템 내의 하나 이상의 S-D 평가 요소에 대해 작동 상태 데이터가 결정될 수 있고, 그 작동 상태 데이터는 하나 이상의 가용 S-D 평가 요소를 결정하는데 이용될 수 있다. 일부 대안의 경우, N-S-D 평가 요소를 이용하여 평가가 수행될 수 있고, 이 평가가 이루어지도록 이송 시퀀스가 확립될 수 있다. 또한, 제1 세트의 하나 이상의 S-D 평가 웨이퍼는 하나 이상의 검사 서브시스템 내의 하나 이상의 가용 S-D 평가 요소로 이송될 수 있다. 하나 이상의 검사 서브시스템 내의 하나 이상의 S-D 평가 요소에 대해 작동 상태 데이터가 결정될 수 있고, 그 작동 상태 데이터는 하나 이상의 가용 S-D 평가 요소를 결정하는데 이용될 수 있다. 일부 대안의 경우에, N-S-D 평가 요소를 이용하여 검사가 수행될 수 있고, 이 검사가 이루어지도록 이송 시퀀스가 확립될 수 있다.
예컨대, 평가 요소에 대한 작동 상태 데이터는 평가 요소에 대한 매칭 데이터, 일부 평가 단계 및/또는 사이트에 대한 예측 평가 시간, 평가 요소에 대한 신 뢰도 데이터 및/또는 리스크 데이터, 하나 이상의 평가 사이트에 대한 신뢰도 데이터 및/또는 리스크 데이터를 포함할 수 있다.
일부 예에서는, S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수 이하일 경우 이송 시퀀스는 제1 개수의 S-D 평가 웨이퍼를 제1 개수의 가용 평가 요소로 이송할 방법 및 시기를 결정하는데 이용될 수 있다. S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수보다 많을 경우 하나 이상의 보정 조치가 적용될 수 있는데, 제1 개수의 가용 평가 요소는 제1 작동 상태를 이용하여 결정된다.
도면 부호 255에서는 평가 웨이퍼가 선택될 수 있다. 평가 웨이퍼는 제1 웨이퍼, 추가 웨이퍼, 및/또는 지연된 웨이퍼를 포함할 수 있다. 남아있는 평가 웨이퍼들이 조사될 수 있다. 선택 결정은 S-D 웨이퍼 상태 데이터, 처리 시퀀스, 남아있는 웨이퍼의 개수, 필요한 평가 및/또는 검증 사이트의 개수, 시찰한 평가 및/또는 검증 사이트의 개수, 남아있는 평가 및/또는 검증 사이트의 개수, 또는 이들의 임의의 조합에 기초할 수 있다.
도면 부호 260에서는 현재 웨이퍼 상에서 사이트가 선택될 수 있다. 일부 예들에서는 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 제1 사이트가 선택될 수 있고, 제1 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 제1 미검증 평가 특징부를 가질 수 있다. 하나 이상의 추가 사이트는 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 선택될 수 있고, 추가 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 추가 미검증 평가 특징부를 가질 수 있다. 제1 웨이퍼는 가장 중요한 웨이퍼들 중 하나일 수 있고, 그 제1 웨이퍼로부 터의 결과에 기초하여 웨이퍼 그룹에 대한 결정이 이루어질 수 있다. 다른 예들에서는 추가 웨이퍼 및/또는 지연 웨이퍼로부터의 데이터에 기초하여 결정이 이루어질 수 있다.
도면 부호 265에서, 선택된 사이트를 이용하여 평가 프로시저가 수행될 수 있다. 평가 데이터는 S-D 평가 요소를 이용하여 수행된 S-D 평가 프로시저를 이용해 사이트에 대해 획득될 수 있다. 예컨대, 측정 프로시저는 측정 데이터를 제공할 수 있고 및/또는 검사 프로시저는 검사 데이터를 제공할 수 있다.
일부 예들에서, 제1 사이트는 평가 및/또는 검증 웨이퍼 상의 남아있는 사이트의 수로부터 선택될 수 있고, 제1 사이트는 그와 연관된 제1 미검증 특징부를 가질 수 있다. 제1 미검증 데이터는 제1 사이트로부터 획득될 수 있고, 그 제1 사이트에 대한 제1 미검증 데이터는 그와 연관된 제1 미검증 측정 및/또는 검사 데이터를 가질 수 있다. 제1 검증 데이터는 제1 사이트에 대해 확립될 수 있고, 제1 검증 데이터는 피검증 측정 및/또는 검사 데이터를 포함할 수 있다. 제1 신뢰도 데이터는 제1 미검증 데이터와 제1 검증 데이터 간의 제1 차이를 이용하여 제1 사이트에 대해 확립될 수 있고, 제1 리스크 데이터는 제1 신뢰도 값을 이용하여 제1 사이트, 웨이퍼, 및/또는 프로시저에 대해 확립될 수 있다. 제1 신뢰도 데이터가 제1 임계 한도 이상인 경우, 제1 사이트는 그와 연관된 제1 신뢰도 레벨을 갖는 제1 피검증 사이트로서 식별될 수 있고, 남아있는 사이트의 개수는 1씩 줄어들 수 있으며, 시찰한 사이트의 개수는 1씩 늘어날 수 있다. 제1 신뢰도 데이터가 제1 임계 한도보다 낮다면 제1 사이트는 그와 연관된 제2 신뢰도 레벨을 갖는 제1 미검증 사이트로 서 식별될 수 있고, 남아있는 사이트의 개수는 1씩 줄어들 수 있으며, 시찰한 사이트의 개수는 1씩 늘어날 수 있다.
일부 실시예들에서, 미검증 데이터는 트랜지스터 내의 게이트 구조물, 트랜지스터 내의 드레인 구조물, 트랜지스터 내의 소스 구조물, 커패시터 구조물, 비아 구조물, 트렌치 구조물, 2차원 메모리 구조물, 3차원 메모리 구조물, 측벽각, 임계 치수(CD), 어레이, 주기적 구조물, 정렬 특징부, 도핑 특징부, 스트레인 특징부, 손상된 구조물, 기준 구조물, 또는 이들의 임의의 조합에 대한 평가 데이터를 포함할 수 있다. 다른 실시예들에서, 미검증 데이터는 평가 데이터, 측정 데이터, 검사 데이터, 정렬 데이터, 검증 데이터, 프로세스 데이터, 웨이퍼 데이터, 라이브러리 데이터, 이력 데이터, 실시간 데이터, 광학 데이터, 층 데이터, 온도 데이터, 시간 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 대안으로, 다른 데이터가 이용될 수 있다.
일부 실시예들에서, 피검증 데이터는 트랜지스터 내의 게이트 구조물, 트랜지스터 내의 드레인 구조물, 트랜지스터 내의 소스 구조물, 커패시터 구조물, 비아 구조물, 트렌치 구조물, 2차원 메모리 구조물, 3차원 메모리 구조물, 측벽각, 임계 치수(CD), 어레이, 주기적 구조물, 정렬 특징부, 도핑 특징부, 스트레인 특징부, 손상된 구조물, 기준 구조물, 또는 이들의 임의의 조합에 대한 피검증, 피예측, 피시뮬레이션 및/또는 라이브러리 데이터를 포함할 수 있다. 다른 실시예들에서, 피검증 데이터는 평가 데이터, 측정 데이터, 검사 데이터, 정렬 데이터, 검증 데이터, 프로세스 데이터, 웨이퍼 데이터, 라이브러리 데이터, 이력 데이터, 실시간 데 이터, 광학 데이터, 층 데이터, 온도 데이터, 시간 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 대안으로, 다른 데이터가 이용될 수 있다.
다른 예들에서, 하나 이상의 평가 웨이퍼는 하나 이상의 신뢰도 및/또는 리스크 한계가 충족될 경우, 피평가 및/또는 피검증 웨이퍼로서 식별될 수 있거나, 또는 하나 이상의 한계가 충족되지 않는다면 보정 조치가 적용될 수 있다.
이력 검증 데이터는 S-D 검증 라이브러리 내의 제1 S-D 피검증 데이터를 포함할 수 있고, S-D 검증 라이브러리 내의 제1 S-D 피검증 데이터는 제1 피검증 구조물 데이터 및 연관된 제1 피검증 평가 데이터를 포함할 수 있으며, 제1 피검증 신호 데이터는 제1 S-D 파장 세트를 포함하는 것을 특징으로 한다.
실시간 검증 데이터는 실시간으로 획득된 피검증 데이터를 포함할 수 있다. 예컨대, 실시간 검증 데이터는 그 웨이퍼와 유사하며 동일한 웨이퍼 로트의 부분인 하나 이상의 웨이퍼로부터, 유사하게 처리된 웨이퍼로부터, 또는 이들의 임의의 조합으로부터의 데이터를 이용하여 확립될 수 있다. 이력 검증 데이터는 저장된 데이터일 수 있다.
하나 이상의 한계가 충족될 경우, S-D 평가 특징부, 구조물, 데이터, 웨이퍼, 프로시저 및/또는 이미지가 검증될 수 있다. 복수의 사이트 및/또는 웨이퍼가 평가될 경우, 신뢰도 및/또는 리스크 데이터가 개별 웨이퍼에 대해 및/또는 웨이퍼 그룹에 대해 확립될 수 있다. 대안으로, 다른 데이터가 이용될 수도 있다. 예컨대, 신뢰도 데이터 값의 범위는 0부터 9까지일 수 있으며, 여기서 0은 결함 상태를 나타내고 9는 가장 정확한 성능을 나타낸다. 또한, 리스크 데이터 값의 범위는 0부터 9까지일 수 있으며, 0은 결함 또는 고리스크 상태를 나타내고 9는 최저 리스크 상태를 나타낸다. 대안으로, 다른 범위가 이용될 수도 있다. 범위는 다치 신뢰도 데이터 및/또는 리스크 데이터를 제공하도록 한계에 대해 확립될 수 있다.
제1(가장 정확한) 임계 한도가 충족될 경우, 평가되는 아이템은 그와 연관된 가장 높은 신뢰도 레벨 및/또는 가장 낮은 리스크 인자를 갖는 것으로서 식별될 수 있다. (덜 정확한)또 다른 임계 한도가 충족될 경우, 평가되는 아이템은 그와 연관된 더 낮은 신뢰도 레벨 및/또는 더 높은 리스크 인자를 갖는 것으로서 식별될 수 있다. 하나 이상의 (정확도가 변하는)임계 한도가 충족되지 않을 경우, 평가되는 아이템은 그와 연관된 낮은 레벨의 신뢰도 및/또는 높은 리스크 인자를 갖는 미검증 아이템으로서 식별될 수 있다.
도면 부호 275에서는 추가 사이트가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 사이트가 필요할 경우, 프로시저(200)는 다시 단계 260으로 이행될 수 있고, 추가 사이트가 필요하지 않을 경우, 프로시저(200)는 단계 280으로 이행될 수 있다.
도면 부호 280에서는 추가의 평가 웨이퍼가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가의 평가 웨이퍼가 필요할 경우, 프로시저(200)는 다시 단계 255로 이행될 수 있고, 추가의 평가 웨이퍼가 필요하지 않을 경우, 프로시저(200)는 단계 285로 이행될 수 있다.
도면 부호 285에서는 현재 시퀀스가 완료되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 현재 시퀀스가 완료되었을 경우, 프로시저(200)는 단계 290으로 이행될 수 있고, 현재 시퀀스가 완료되지 않았을 경우, 프로시저(200)는 다시 단계 215로 이행될 수 있다.
도면 부호 290에서는 추가 시퀀스가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 시퀀스가 필요할 경우, 프로시저(200)는 다시 단계 210으로 이행될 수 있으며, 추가 시퀀스가 필요하지 않을 경우, 프로시저(200)는 단계 295로 이행될 수 있다. 프로시저는 도면 부호 295에서 끝날 수 있다.
일부 실시예들에서는 제1 이중 패터닝(DP) 시퀀스에 이어 제2 이중 패터닝 시퀀스가 수행될 수 있다. 제1 웨이퍼 세트가 처리 시스템(100) 내의 하나 이상의 서브시스템(101, 102, 110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)에 의해 수납될 수 있고, 하나 이상의 제1 패터닝된 층은 제1 S-D DP 처리 시퀀스를 이용하여 하나 이상의 제1 패터닝된 웨이퍼 세트에 작성될 수 있다. 제1 S-D 처리 시퀀스는 처리 시스템(100) 내의 하나 이상의 서브시스템(101, 102, 110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)을 이용하여 수행될 수 있다. 다음으로, 제1 S-D 평가 프로시저를 이용하여 제1 세트의 패터닝된 웨이퍼에 대해 제1 신뢰도 데이터 및/또는 제1 리스크 데이터가 확립될 수 있으며, 제1 S-D 평가 프로시저로부터의 데이터를 이용하여 제1 고신뢰도 웨이퍼 세트가 확립될 수 있다. 그리고 나서, 하나 이상의 제2 패터닝된 층이 제2 세트의 패터닝된 웨이퍼들 상에 작성될 수 있고, 제1 고신뢰도 웨이퍼 세트를 이용하여 제2 S-D 처리 시퀀스를 수행함으로써 제2 세트의 패터닝된 웨이퍼가 작성될 수 있다. 제2 S-D 처리 시퀀스는 처리 시스템(100) 내의 하나 이상의 서브시스템(101, 102, 110, 115, 120, 125, 130, 135, 140, 145, 150 및 155)을 이용하여 수행될 수 있고, 하나 이상의 제2 패터닝된 층은 스캐너 서브시스템(115)을 이용하여 하나 이상의 제1 패터닝된 층에 대해 정렬된다. 또한, 제2 S-D 평가 프로시저를 이용하여 제2 세트의 패터닝된 웨이퍼에 대해 제2 신뢰도 데이터 및/또는 제2 리스크 데이터가 확립될 수 있으며, 제1 및/또는 제2 S-D 평가 프로시저로부터의 데이터를 이용하여 제2 고신뢰도 웨이퍼 세트가 확립될 수 있다.
일부 실시예들에서는 제1 S-D 처리 시퀀스가 제1 다마신층을 작성하는데 이용될 수 있고, 신규 S-D 시퀀스는 제2 다마신층을 작성하는데 이용될 수 있다.
다양한 실시예들에서, S-D 처리 시퀀스는 실시간으로 수행될 수 있고, 하나 이상의 S-D 리소그래피 관련 프로시저, 하나 이상의 S-D 스캐너 관련 프로시저, 하나 이상의 S-D 검사 관련 프로시저, 하나 이상의 S-D 측정 관련 프로시저, 하나 이상의 S-D 평가 관련 프로시저, 하나 이상의 S-D 에칭 관련 프로시저, 하나 이상의 S-D 증착 관련 프로시저, 하나 이상의 S-D 열처리 프로시저, 하나 이상의 S-D 코팅 관련 프로시저, 하나 이상의 S-D 정렬 관련 프로시저, 하나 이상의 S-D 연마 관련 프로시저, 하나 이상의 S-D 보관 관련 프로시저, 하나 이상의 S-D 이송 프로시저, 하나 이상의 S-D 세정 관련 프로시저, 하나 이상의 S-D 재공정 관련 프로시저, 하나 이상의 S-D 산화 관련 프로시저, 하나 이상의 S-D 질화 관련 프로시저, 하나 이상의 S-D 외부 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
도 3은 본 발명의 실시예들에 따른 웨이퍼 맵의 간략도이다. 예시하는 실시예에서, 웨이퍼 맵은 125개의 칩/다이를 갖는 것으로 도시되지만, 이것이 본 발명 에 필수적인 것은 아니다. 대안으로, 상이한 개수의 칩/다이가 표시될 수도 있다. 또한, 도시하는 원형의 형상은 예시적인 것이며 본 발명에 필수적인 것이 아니다. 예컨대, 원형의 웨이퍼는 비원형 웨이퍼로 대체될 수 있고, 칩/다이는 비원형 형상일 수 있다.
예시하는 도면은 하나 이상의 칩/다이(310)를 포함하는 웨이퍼(300) 상의 웨이퍼 맵(320)을 나타낸다. 예시를 위해 0부터 12까지의 숫자가 붙여진 행과 열이 표시된다. 또한, (1a-12a)의 도면 부호가 붙여진 12개의 사이트(330)는 도시된 웨이퍼 맵(320)과 연관된 S-D 프로시저에 대한 사이트의 위치를 정하는데 이용될 수 있다. 또한, 2개의 원형 선(301 및 302)이 표시되는데, 이들 선은 웨이퍼(300) 상에 외측 구역(305), 중간 구역(306), 내측 구역(307)을 확립하는데 이용될 수 있다. 대안으로, 웨이퍼 맵(320)에는 상이한 형상을 갖는 상이한 개수의 영역이 확립될 수도 있고, 웨이퍼 상에는 S-D 및/또는 N-S-D 프로시저에 대한 상이한 개수의 사이트가 상이한 위치에 확립될 수 있다. 웨이퍼에 대해 S-D 측정, 검사 및/또는 평가 계획이 작성될 경우, 하나 이상의 측정, 검사 및/또는 평가 사이트가 하나 이상의 웨이퍼 영역에 확립될 수 있다. 예컨대, S-D 전략, 계획, 및/또는 레시피가 작성될 경우, 측정, 검사 및/또는 평가 프로시저는 도 3에 도시하는 사이트(300)의 모두를 포함 및/또는 이용할 필요는 없다.
S-D 프로시저는 이력 데이터베이스에 저장된 데이터에 기초하여 반도체 제조업자에 의해 특정될 수 있다. 예컨대, 반도체 제조업자는 SEM 측정을 수행할 경우 이력에 따라 웨이퍼 상에 다수의 위치를 선택할 수도 있고, 하나의 툴로부터의 측 정 데이터, 검사 데이터 및/또는 평가 데이터를, SEM 툴, TEM 툴, 및/또는 FIB 툴을 이용하여 측정된 데이터와 상관시키기를 원한다.
또한, S-D 및/또는 N-S-D 프로시저에 이용된 사이트의 개수는, 프로세스가 고품질의 생산품 및/또는 디바이스를 계속해서 생산하고 있고 계속 그럴 것이라고 제조업자가 점점 신뢰할 때 줄어들 수 있다.
신규 및/또는 추가 측정 데이터, 검사 데이터 및/또는 평가 데이터가 필요할 경우, 추가 S-D 데이터는 웨이퍼 상의 하나 이상의 사이트로부터 획득될 수 있다. 예컨대, 웨이퍼 상의 주기적인 게이팅, 주기적인 어레이 및/또는 기타 주기적인 구조물 등의 측정 특징부는 도 3에 도시한 하나 이상의 사이트에서 측정될 수 있다.
S-D 측정, 검사, 및/또는 평가 프로시저는 시간 소모적일 수 있으며, 처리 시스템의 처리량에 영향을 미칠 수 있다. 프로세스 실행 중에, 제조업자는 웨이퍼를 측정, 검사, 검증 및/또는 평가하는데 사용된 시간량을 최소화하기를 원할 수 있다. S-D 프로시저는 시간 종속적일 수 있으므로, 상이한 S-D 프로시저들이 그 실행 시간에 기초하여 선택될 수 있다. 실행 시간이 매우 길 경우 적은 수의 사이트들을 이용할 수 있다.
반도체 프로세스의 개발 부분 시에, 추후 사용을 위해 하나 이상의 S-D 기준 맵이 작성 및 저장될 수 있다. S-D 기준 측정 맵은 도 3에 도시한 것과 상이한 측정 사이트에서의 피측정 데이터를 포함할 수 있다. S-D 기준 검사 맵은 도 3에 도시한 것과 상이한 사이트에서의 검사 데이터를 포함할 수 있다. S-D 기준 검증 맵은 도 3에 도시한 것과 상이한 사이트에서의 검증 데이터를 포함할 수 있다. S-D 기준 평가 맵은 도 3에 도시한 것과 상이한 사이트에서의 평가 데이터를 포함할 수 있다. 대안으로, 기준 맵은 동일한 사이트 세트를 이용할 수도 있고 또는 하나 이상의 기준 맵이 필요하지 않을 수도 있다.
또한, S-D 프로시저 시에, 하나 이상의 S-D 예측 맵이 작성 및/또는 수정될 수 있고, S-D 예측 맵은 예측된 피측정 데이터, 예측된 검사 데이터, 예측된 검증 데이터, 및/또는 예측된 평가 데이터, 및/또는 예측된 프로세스 데이터를 포함할 수 있다. 예컨대, 예측된 데이터는 S-D 모델을 이용하여 획득될 수 있다.
더욱이, 하나 이상의 S-D 및/또는 N-S-D 신뢰도 맵이 작성 및/또는 수정될 수 있으며, 그 신뢰도 맵은 피측정 데이터, 검사 데이터, 검증 데이터, 평가 데이터, 피예측 데이터 및/또는 프로세스 데이터에 대한 신뢰도 값을 포함할 수 있다.
웨이퍼 맵은 하나 이상의 적합도(GOF) 맵, 하나 이상의 게이팅 두께 맵, 하나 이상의 비아 관련 맵, 하나 이상의 임계 치수(CD) 맵, 하나 이상의 CD 프로파일 맵, 하나 이상의 재료 관련 맵, 하나 이상의 트렌치 관련 맵, 하나 이상의 측벽각맵, 하나 이상의 차동 폭 맵, 또는 이들의 임의의 조합을 포함할 수 있다. 또한 데이터는 기타 중에서 사이트 결과 데이터, 사이트 수 데이터, CD 측정 플래그 데이터, 측정 사이트 수 데이터, 좌표 X 데이터, 및 좌표 Y 데이터를 포함할 수 있다.
일부 실시예들에서, S-D 프로시저에 포함되지 않는 웨이퍼 상의 사이트에 대한 데이터를 계산하기 위해 곡선 맞춤(curve-fitting)이 수행될 수 있다. 대안으로, 웨이퍼 맵은 표면 추정, 표면 맞춤 기법, 또는 기타 수학적 기법을 이용하여 결정될 수 있다. 맵이 웨이퍼에 대해 작성될 경우, 측정 사이트는, 예상, 예측 및/ 또는 실제 정확도 값 및/또는 요건에 기초하여 선택될 수 있다.
맵핑 애플리케이션에 의해 발생하는 일부 에러는 FDC 시스템에 보내질 수 있으며, FDC 시스템은 처리 시스템이 에러에 어떻게 응답해야 하는지를 결정할 수 있다. 다른 에러는 맵핑 애플리케이션에 의해 해결될 수 있다.
웨이퍼 맵이 작성 및/또는 수정될 경우는, 전체 웨이퍼에 대해 값이 계산될 수 없거나 및/또는 필요하지 않을 수 있으며, 웨이퍼 맵은 하나 이상의 사이트, 하나 이상의 칩/다이, 하나 이상의 상이한 영역, 및/또는 하나 이상의 상이한 형상의 영역에 대한 데이터를 포함할 수 있다. 예컨대, 처리 챔버는 웨이퍼의 소정의 영역에서의 처리 결과의 품질에 영향을 미칠 수 있는 고유 특성을 가질 수 있다. 또한, 제조업자는 웨이퍼의 하나 이상의 영역에서의 칩/다이에 대해 프로세스 및/또는 평가 데이터를 덜 정확하게 하여 수율을 최대화할 수 있다. 맵핑 애플리케이션 및/또는 FDC 시스템은 신뢰도, 리스크, 균일성 및/또는 정확도 한계를 결정하기 위해 비지니스 규칙을 이용할 수 있다.
맵 내의 값이 한계에 가까울 경우, 신뢰도 값은 그 맵 내의 값이 한계에 가깝지 않을 경우보다 낮을 수 있다. 또한, 정확도 값은 웨이퍼의 상이한 칩/다이 및/또는 상이한 영역에 대해 가중될 수 있다. 예컨대, 더 높은 신뢰도 가중치가 정확도 계산에 및/또는 이전에 이용된 하나 이상의 평가 사이트와 연관된 정확도 데이터에 지정될 수 있다.
또한, 하나 이상의 프로세스와 연관된 프로세스 결과, 측정, 검사, 검증, 평가 및/또는 예측 맵이 웨이퍼에 대한 신뢰도 맵을 계산하는데 이용될 수 있다. 예 컨대, 또 다른 맵으로부터의 값이 가중 인자로서 이용될 수 있다.
도 4는 본 발명의 실시예들에 따른 예시적인 서브시스템의 간략 블록도이다. 도시하는 실시예에서, 예시적인 S-D 서브시스템(400)은, 5개의 S-D 요소(410, 420, 430, 440 및 450), 제1 S-D 이송 서브시스템(460), 및 제2 S-D 이송 서브시스템(470)을 포함하는 것으로 도시된다. 제1 S-D 이송 서브시스템(460)은 제1 N-S-D 이송 서브시스템(401)에 그리고 제2 N-S-D 서브시스템(402)에 연결될 수 있다. 제2 S-D 이송 서브시스템(470)은 제1 N-S-D 이송 서브시스템(401)에 그리고 제2 N-S-D 서브시스템(402)에 연결될 수 있다. 제1 N-S-D 이송 서브시스템(401)과 제2 N-S-D 이송 서브시스템(402)은 이송 서브시스템들(도 1의 도면 부호 101, 102, 103)에 및/또는 이들의 부분에 연결될 수 있다. 대안으로, 상이한 개수의 서브시스템들이 이용될 수 있고, 상이한 개수의 이송 서브시스템들이 이용될 수 있으며, 서브시스템이 상이하게 구성될 수도 있다. 또한, N-S-D 서브시스템이 이용될 수도 있다.
예시적인 S-D 서브시스템(400)은 제1 S-D 이송 서브시스템(460)에 그리고 제2 S-D 이송 서브시스템(470)에 연결될 수 있는 5개의 S-D 로드락 요소(415, 425, 435, 445, 및 455)를 포함할 수 있다. 대안으로, 상이한 개수의 로드락 요소가 이용될 수 있고 상이하게 구성될 수 있다. 다른 실시예에서는 로드락 요소가 필요하지 않을 수도 있다. S-D 로드락 요소(415)는 하나 이상의 S-D 처리 요소(410)에 연결될 수 있고, S-D 로드락 요소(425)는 하나 이상의 S-D 처리 요소(420)에 연결될 수 있으며, S-D 로드락 요소(435)는 하나 이상의 S-D 처리 요소(430)에 연결될 수 있고, S-D 로드락 요소(445)는 하나 이상의 S-D 처리 요소(440)에 연결될 수 있으 며, S-D 로드락 요소(455)는 하나 이상의 S-D 처리 요소(450)에 연결될 수 있다. 다양한 실시예에서, S-D 로드락 요소(415, 425, 435, 445, 및 455)는 실질적으로 동시에 하나 이상의 웨이퍼를 이송, 지연, 보관, 정렬 및/또는 검사하기 위한 S-D 내부 이송 디바이스(각각 417, 427, 437, 447 및 457)를 포함할 수 있다.
제1 S-D 이송 서브시스템(460)은 제1 개수의 제1 S-D 이송 요소(461, 462, 463, 464 및 465)에 연결될 수 있는 제1 S-D 전달 요소(467)를 포함할 수 있다. 일부 실시예에서, 제1 S-D 이송 요소는 제1 S-D 전달 요소(467)에 동적으로 연결 또는 연결 해제될 수 있고 하나 이상의 방향(469)으로 이동할 수 있다. 또한, 연결 및/또는 연결 해제는 S-D일 수 있고, 제1 S-D 전달 요소(467), 제1 S-D 이송 요소, 웨이퍼 데이터, 시스템 데이터, 처리 시퀀스 데이터, 이송 시퀀스 데이터, 또는 이들의 임의의 조합을 이용하여 결정될 수 있다. 제1 S-D 전달 요소(467)는 하나 이상의 레벨(도시 생략)을 포함할 수 있으며, 한가지 이상의 속도로 동작할 수 있다. 대안으로, 다른 웨이퍼 이송 기술이 이용될 수도 있다.
더욱이, 제1 S-D 이송 서브시스템(460)과 제2 S-D 이송 서브시스템(470)은 처리 시퀀스, 이송 시퀀스, 작동 상태, 웨이퍼 및/또는 처리 상태, 처리 시간, 현재 시간, 웨이퍼 데이터, 웨이퍼 상의 사이트 개수, 웨이퍼 상의 사이트 타입, 필수 사이트의 개수, 완료된 사이트의 개수, 남아있는 사이트의 개수, 신뢰도 데이터, 또는 이들의 임의의 조합에 기초하여 웨이퍼를 로드, 캐리 및/또는 언로드할 수 있다.
예시적인 실시예에서는 5개의 제1 S-D 이송 요소(461, 462, 463, 464 및 465)가 도시되지만 이것은 본 발명에 필수적이지 않다. 다른 실시예들에서는 상이한 개수의 제1 S-D 이송 요소를 이용할 수 있다. 또한, 예시하는 제1 S-D 이송 요소(461, 462, 463, 464 및 465)가 도 4의 제1 이송 포인트에 도시되어 있지만, 이것이 본 발명에 필수적이지 않다. 제1 S-D 이송 요소가 제1 이송 포인트에 배치될 경우, 하나 이상의 웨이퍼(도시 생략)가 제1 S-D 이송 요소와 S-D 로드락 요소 사이에 이송될 수 있다.
제2 S-D 이송 서브시스템(470)은 제2 개수의 제2 S-D 이송 요소(471, 472, 473, 474 및 475)에 연결될 수 있는 제2 S-D 전달 요소(477)를 포함할 수 있다. 일부 실시예에서는 제2 S-D 이송 요소가 제2 S-D 전달 요소(477)에 동적으로 연결 또는 연결 해제될 수 있고 하나 이상의 방향(479)으로 이동할 수 있다. 또한, 연결 및/또는 연결 해제는 S-D일 수 있고, 제2 S-D 전달 요소(477), 제2 S-D 이송 요소, 웨이퍼 데이터, 시스템 데이터, 처리 시퀀스 데이터, 이송 시퀀스 데이터, 또는 이들의 임의의 조합을 이용하여 결정될 수 있다. 제2 S-D 전달 요소(477)는 하나 이상의 레벨(도시 생략)을 포함할 수 있으며, 한가지 이상의 속도로 동작할 수 있다. 대안으로, 다른 웨이퍼 이송 기술이 이용될 수도 있다.
예시적인 실시예에서는 5개의 제2 S-D 이송 요소(471, 472, 473, 474 및 475)가 도시되지만 이것은 본 발명에 필수적이지 않다. 다른 실시예들에서는 상이한 개수의 제2 S-D 이송 요소를 이용할 수 있다. 또한, 예시하는 제2 S-D 이송 요소(471, 472, 473, 474 및 475)가 도 4의 제2 이송 포인트에 도시되어 있지만, 이것은 본 발명에 필수적이지 않다. 제2 S-D 이송 요소가 제2 이송 포인트에 배치될 경우, 하나 이상의 웨이퍼(도시 생략)가 제2 S-D 이송 요소와 S-D 로드락 요소 사이에 이송될 수 있다.
예컨대, 웨이퍼를 이송하기 위해 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)이 S-D 처리 시퀀스 및/또는 S-D 이송 시퀀스를 이용할 수 있다.
예시적인 S-D 서브시스템(400)은 5개의 컨트롤러(414, 424, 434, 444 및 454)를 포함할 수 있다. 제1 컨트롤러(414)는 하나 이상의 제1 S-D 처리 요소(410)에 연결될 수 있고, 하나 이상의 제1 S-D 처리 요소(410)와 제1 S-D 로드락 요소(415)를 제어하는데 이용될 수 있다. 또한, 제1 컨트롤러(414)는 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(411)될 수 있다. 제2 컨트롤러(424)는 하나 이상의 제1 S-D 처리 요소(420)에 연결될 수 있고, 하나 이상의 제2 S-D 처리 요소(420)와 제2 S-D 로드락 요소(425)를 제어하는데 이용될 수 있다. 또한, 제2 컨트롤러(424)는 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(421)될 수 있다. 제3 컨트롤러(434)는 하나 이상의 제3 S-D 처리 요소(430)에 연결될 수 있고, 하나 이상의 제3 S-D 처리 요소(430)와 제3 S-D 로드락 요소(435)를 제어하는데 이용될 수 있다. 또한, 제3 컨트롤러(434)는 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(431)될 수 있다. 제4 컨트롤러(444)는 하나 이상의 제4 S-D 처리 요소(440)에 연결될 수 있고, 하나 이상의 제4 S-D 처리 요소(440)와 제4 S-D 로드락 요소(445)를 제어하는데 이용될 수 있다. 또한, 제4 컨트롤러(444)는 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(441)될 수 있다. 제5 컨트롤 러(454)는 하나 이상의 제5 S-D 처리 요소(450)에 연결될 수 있고, 하나 이상의 제5 S-D 처리 요소(450)와 제5 S-D 로드락 요소(455)를 제어하는데 이용될 수 있다. 또한, 제5 컨트롤러(454)는 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(451)될 수 있다. 대안으로, 상이한 개수의 컨트롤러들이 이용될 수 있고, 상이한 개수의 처리 요소들이 이용될 수 있으며, 데이터 전송 서브시스템이 상이하게 구성될 수도 있다.
하나 이상의 컨트롤러(414, 424, 434, 444 및 454)는 하나 이상의 메시지를 실시간으로 작성, 처리, 수정, 송신 및/또는 수신할 수 있다. 제1 S-D 이송 서브시스템(460)은 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(466)될 수 있고, 하나 이상의 메시지를 실시간으로 작성, 처리, 수정, 송신 및/또는 수신할 수 있다. 제2 S-D 이송 서브시스템(470)은 데이터 전송 서브시스템(도 1의 도면 부호 106)에 연결(476)될 수 있고, 하나 이상의 메시지를 실시간으로 작성, 처리, 수정, 송신 및/또는 수신할 수 있다. 또한, 데이터 전송 서브시스템(106)은 하나 이상의 메시지를 실시간으로 작성, 처리, 수정, 송신 및/또는 수신하는데 이용될 수 있다. 메시지는 S-D 메시지 및/또는 N-S-D 메시지를 포함할 수 있고, 메시지는 실시간 데이터 및/또는 이력 데이터를 포함할 수 있다.
일부 실시예들에서, 하나 이상의 웨이퍼는 제1 S-D 이송 서브시스템(460) 및 /또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 처리 시퀀스는 시스템(400)에 의해 웨이퍼에 대해 확립될 수 있다. 예컨대, 웨이퍼 및/또는 프로세스 상태 데이터는 웨이퍼가 수납되어 처리 시퀀스가 확립되기 전 및/또는 확립될 때에 이용될 수 있다. 대안으로 웨이퍼는 처리 요소에 의해 수납될 수도 있다.
하나 이상의 메시지가 하나 이상의 컨트롤러(414, 424, 434, 444 및 454)에 의해 실시간으로 처리될 수 있다. 하나 이상의 웨이퍼는 하나 이상의 서브시스템(410, 420, 430, 440 및 450)에 의해 실질적으로 동시에 처리될 수 있다. 하나 이상의 메시지는 각 웨이퍼마다 처리 시퀀스를 결정하는데 이용될 수 있다. 예컨대, 제1 로드락 요소(415)를 이용하여 제1 웨이퍼가 제1 처리 요소(410)에 이송될 수 있고, 제2 로드락 요소(425)를 이용하여 제2 웨이퍼가 제2 처리 요소(420)에 이송될 수 있으며, 제3 로드락 요소(435)를 이용하여 제3 웨이퍼가 제3 처리 요소(430)에 이송될 수 있고, 제4 로드락 요소(445)를 이용하여 제4 웨이퍼가 제4 처리 요소(440)에 이송될 수 있으며, 제5 로드락 요소(455)를 이용하여 제5 웨이퍼가 제5 처리 요소(450)에 이송될 수 있다. 또한, 하나 이상의 메시지는 웨이퍼 데이터, 레시피 데이터, 프로파일 데이터, 모델링 데이터, 툴 데이터 및/또는 처리 데이터를 포함할 수 있다.
하나 이상의 컨트롤러(414, 424, 434, 444 및 454)는 하나 이상의 S-D 처리 요소(410, 420, 430, 440 및 450)를 이용하여 하나 이상의 웨이퍼를 처리할 시기와 방법을 결정하는데 이용될 수 있다. 컨트롤러는 S-D 서브시스템 내의 S-D 처리 요소를 이용할 수 있을 때 및/또는 S-D 서브시스템 내의 S-D 처리 요소를 이용할 수 없을 때를 결정하는데 이용될 수 있다. 예컨대, S-D 메시지 및/또는 데이터는 타이밍 문제 때문에 이용 불가능할 수 있으며, 컨트롤러는 S-D 메시지 및/또는 데이터를 이용할 수 있을 때까지 대기할 수 있다. 또한, 신규(업데이트된) S-D 데이터를 이용할 수 없을 경우, 웨이퍼는 업데이트되지 않은 S-D 데이터를 이용하여 처리될 수 있다.
일부 실시예들에서는 제1 처리 시퀀스를 이용하여 처리될 제1 개수의 웨이퍼 들이 확립될 수 있다. S-D 서브시스템 내의 하나 이상의 처리 요소에 질의함으로써 S-D 서브시스템 내의 제2 개수의 가용 처리 요소들이 식별될 수 있다. 예컨대, 작동 상태는 각 처리 요소마다 결정될 수 있고, 제1 작동 상태는 처리 요소가 이용 가능할 경우 제1 값일 수 있고, 처리 요소가 제2 개수의 가용 처리 요소에 대해 이용 불가능할 경우 제2 값일 수 있다.
제2 개수가 제1 개수와 같거나 더 많을 경우, 제1 개수의 웨이퍼는 S-D 서브시스템 내의 제2 개수의 가용 처리 요소로 이송될 수 있다. 제2 개수가 제1 개수보다 적을 경우, 하나 이상의 보정 조치가 수행될 수 있다.
보정 조치는, 1) 가능한 한 많은 웨이퍼를 처리하고 남아있는 웨이퍼는 보관하는 단계, 2) 가능한 한 많은 웨이퍼를 처리하고, 처리 요소가 이용 가능하게 되는 곧 남아있는 웨이퍼를 처리하는 단계, 3) 가능한 한 많은 웨이퍼를 처리하고, 처리 요소가 다른 서브시스템에서 이용 가능하게 되는 곧 남아있는 하나 이상의 웨이퍼를 또다른 서브시스템에 이송하는 단계를 포함할 수 있다.
일부 실시예들에서, 제1 S-D 마스크 프로시저가 수행될 수 있다. 예컨대, 마스크 증착 프로시저는 제1 S-D 요소(410)를 이용하여 수행될 수 있고, 노광 프로시저는 제2 S-D 요소(420)를 이용하여 수행될 수 있으며, 건조 및/또는 검사 프로시저는 제3 S-D 요소(430)를 이용하여 수행될 수 있고, 재공정 프로시저는 제4 S-D 요소(440)를 이용하여 수행될 수 있으며, 현상 프로시저는 제5 S-D 요소(450)를 이용하여 수행될 수 있다. 다른 예들에서는, 다른 서브시스템이 대체될 수 있고, 및/또는 추가 서브시스템이 이용될 수 있다. 이용할 서브시스템의 개수 및/또는 타입 및 그들을 이용할 시기를 결정하는데 다른 S-D 처리 시퀀스가 이용될 수 있다.
추가 실시예들에서는 S-D 측정 프로시저가 수행될 수 있다. 일부 웨이퍼에 대해 웨이퍼 데이터를 이용하여 S-D 처리 시퀀스 및/또는 S-D 이송 시퀀스가 확립될 수 있고, 그 시퀀스들은 S-D 측정 프로시저를 포함할 수 있다. S-D 처리 시퀀스 및/또는 S-D 이송 시퀀스는 S-D 처리 요소(410, 420, 430, 440 및 450) 및 이송 서브시스템(401, 460 및 470)을 이용하여 수행될 수 있다. 예컨대, 제1 N-S-D 이송 서브시스템(401) 및/또는 제2 N-S-D 이송 서브시스템(402)은 S-D 및/또는 N-S-D 웨이퍼를 포함할 수 있는 다수의 웨이퍼를 수납할 수 있다. 제1 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다.
각각의 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있고, 웨이퍼 데이터는 S-D 데이터 및/또는 N-S-D 데이터를 포함할 수 있다. 하나 이상의 웨이퍼는 그 위에 하나 이상의 평가 구조물을 갖는다. 웨이퍼, 서브시스템, 처리 요소, 프로시저, 프로세스 결과 데이터, 또는 이들의 임의의 조합에 대해 S-D 및/또는 N-S-D 신뢰도 데이터가 결정될 수 있다.
제1 S-D 측정 웨이퍼 세트가 확립될 수 있고, 제1 S-D 측정 웨이퍼 세트 내의 각 웨이퍼는 그 위에 하나 이상의 평가 구조물을 가질 수 있다. 제1 S-D 측정 웨이퍼 세트는 S-D 데이터 및/또는 N-S-D 데이터를 이용하여 확립될 수 있고, 제1 S-D 측정 웨이퍼 세트는 하나 이상의 S-D 처리 요소(410, 420, 430, 440 및 450) 에 이송될 수 있다. 예컨대, 신뢰도 데이터, 웨이퍼 상태 데이터, 처리 시퀀스 데이터 또는 이력 데이터가 이용될 수 있다.
제1 S-D 측정 프로시저가 제1 S-D 측정 웨이퍼 세트에 대해 결정될 수 있고, 제1 S-D 측정 웨이퍼 세트는 제1 S-D 측정 프로시저를 이용하여 제1 S-D 평가 요소(410)에서 측정될 수 있다. 예컨대, 신뢰도 데이터, 웨이퍼 상태 데이터, 처리 시퀀스 데이터 또는 이력 데이터가 제1 S-D 측정 프로시저를 확립하는데 이용될 수 있다.
제1 S-D 측정 웨이퍼 세트는 하나 이상의 S-D 이송 서브시스템(460, 470)을 이용하여 제1 S-D 서브시스템(400) 내의 하나 이상의 제1 S-D 측정 관련 요소(410)에 이송될 수 있다. 제1 S-D 이송 시퀀스, 제1 S-D 처리 시퀀스, 제1 S-D 측정 프로시저, 또는 이들의 임의의 조합이 하나 이상의 제1 S-D 측정 관련 요소(410)를 결정하는데 이용될 수 있다. 하나 이상의 제1 S-D 측정 관련 요소(410)는 제1 S-D 측정 프로시저를 수행할 수 있다.
일부 실시예들에서는, 제1 S-D 측정 웨이퍼 세트로부터 제1 측정 웨이퍼가 선택될 수 있고, 제1 측정 웨이퍼는 그 위에 제1 평가 특징부를 구비할 수 있다. 제1 S-D 특징부로부터 제1 S-D 피측정 신호 데이터를 포함하는 제1 측정 데이터가 획득될 수 있다. S-D 측정 신호 및 연관된 구조물의 라이브러리부터 제1 S-D 최적 추정 신호 데이터 및 연관된 제1 S-D 최적 추정 구조물이 선택될 수 있다. 예컨대, 그 신호는 회절 신호 및/또는 스펙트럼, 굴절 신호 및/또는 스펙트럼, 반사 신호 및/또는 스펙트럼, 투과 신호 및/스펙트럼, 또는 이들의 임의의 조합을 포함할 수 있다.
또한, S-D 평가 특징부는 마스크 구조물, 에칭된 구조물, 도핑된 구조물, 충전된 구조물, 반(半)충전된 구조물, 손상된 구조물, 유전체 구조물, 게이트 구조물, 게이트 전극 구조물, 게이트 적층 구조물, 트랜지스터 구조물, FinFET 구조물, CMOS 구조물, 포토레지스트 구조물, 주기적 구조물, 정렬 구조물, 트렌치 구조물, 비아 구조물, 어레이 구조물, 그레이팅(grating) 구조물, 또는 이들의 임의의 조합을 포함할 수 있다.
제1 S-D 피측정 신호 데이터와 제1 S-D 최적 추정 신호 데이터 간에 제1 S-D 차이가 계산될 수 있고, 제1 S-D 신뢰도 데이터는 제1 S-D 차이를 이용하여 제1 측정 웨이퍼에 대해 확립될 수 있다. 제1 S-D 신뢰도 데이터는 제1 S-D 생산품 요건과 비교될 수 있고, 하나 이상의 제1 S-D 생산품 요건이 충족되면 제1 측정 웨이퍼는 제1 고신뢰도 웨이퍼로서 식별될 수 있고 처리가 계속될 수 있거나, 하나 이상의 제1 S-D 생산품 요건이 충족되지 않으면 제1 보정 조치가 적용될 수 있다.
S-D 피측정 신호 데이터는 S-D 평가 구조물로부터, 다른 구조물로부터, 또는 이들의 임의의 조합으로부터 획득될 수 있다.
제1 S-D 평가 특징부는 하나 이상의 제1 S-D 생산품 요건이 충족될 경우 제1 S-D 최적 추정 구조물 및 연관된 제1 S-D 최적 추정 신호 데이터를 이용하여 식별될 수 있다.
일부 실시예들에서, 제1 보정 조치는, S-D 회절 신호 및 연관된 구조물의 라이브러리로부터 신규 S-D 최적 추정 신호 데이터 및 연관된 신규 S-D 최적 추정 구조물을 선택하는 단계와, 제1 S-D 피측정 신호 데이터와 신규 S-D 최적 추정 신호 데이터 간의 신규 S-D 차이를 계산하는 단계와, 신규 S-D 차이를 이용하여 제1 측정 웨이퍼에 대한 신규 S-D 신뢰도 데이터를 확립하는 단계와, 신규 S-D 신뢰도 데이터를 신규 S-D 생산품 요건에 비교하는 단계와, 하나 이상의 신규 S-D 생산품 요건이 충족되면 제1 측정 웨이퍼를 신규 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 하나 이상의 신규 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 확립, 비교 및 식별을 정지하는 단계를 포함할 수 있다. 제1 S-D 프로파일 라이브러리 작성 기준이 충족되면 제1 S-D 평가 특징부는 신규 S-D 최적 추정 구조물 및 연관된 신규 S-D 최적 추정 신호 데이터를 이용하여 식별될 수 있다. 대안으로, 다른 최적 추정 데이터가 이용될 수 있고, 다른 비교가 이루어질 수 있다.
다른 실시예들에서, 제1 보정 조치는, 제1 S-D 측정 웨이퍼 세트로부터, 제1 S-D 평가 특징부가 위에 구비되어 있는 제2 측정 웨이퍼를 선택하는 단계와, 제1 S-D 특징부로부터 제2 S-D 피측정 신호 데이터를 포함한 제2 측정 데이터를 획득하는 단계와, S-D 측정 데이터[회절 신호] 및 연관된 구조물의 라이브러리로부터 제2 S-D 최적 추정 신호 데이터 및 연관된 제2 S-D 최적 추정 구조물을 선택하는 단계와, 제2 S-D 피측정 신호 데이터와 제2 S-D 최적 추정 신호 데이터 간의 제2 S-D 차이를 계산하는 단계와, 제2 S-D 차이를 이용하여 제2 측정 웨이퍼에 대한 제2 S-D 신뢰도 데이터를 확립하는 단계와, 제2 S-D 신뢰도 데이터를 제2 S-D 생산품 요 건에 비교하는 단계와, 하나 이상의 제2 S-D 생산품 요건이 충족되면 제2 측정 웨이퍼를 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계를 포함할 수 있다.
또 다른 실시예들에서, 제1 보정 조치는, 측정 웨이퍼 상에서 제2 S-D 평가 특징부를 선택하는 단계와, 제2 S-D 특징부로부터 제2 S-D 피측정 신호 데이터를 포함한 제2 측정 데이터를 획득하는 단계와, S-D 측정 데이터[회절 신호] 및 연관된 구조물의 라이브러리로부터 제2 S-D 최적 추정 신호 데이터 및 연관된 제2 S-D 최적 추정 구조물을 선택하는 단계와, 제2 S-D 피측정 신호 데이터와 제2 S-D 최적 추정 신호 데이터 간의 제2 S-D 차이를 계산하는 단계와, 제2 S-D 차이를 이용하여 제1 측정 웨이퍼에 대한 제2 S-D 신뢰도 데이터를 확립하는 단계와, 제2 S-D 신뢰도 데이터를 제2 S-D 생산품 요건에 비교하는 단계와, 하나 이상의 제2 S-D 생산품 요건이 충족되면 제1 측정 웨이퍼를 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계를 포함할 수 있다.
일부 실시예들에서, 추가 보정 조치는, 하나 이상의 측정 웨이퍼 상에서 추가 S-D 평가 특징부를 선택하는 단계와, 추가 S-D 특징부로부터 추가 S-D 피측정 신호 데이터를 포함한 추가 측정 데이터를 획득하는 단계와, S-D 측정 데이터[회절 신호] 및 연관된 구조물의 라이브러리로부터 추가 S-D 최적 추정 신호 데이터 및 연관된 추가 S-D 최적 추정 구조물을 선택하는 단계와, 추가 S-D 피측정 신호 데이 터와 추가 S-D 최적 추정 신호 데이터 간의 추가 S-D 차이를 계산하는 단계와, 추가 S-D 차이를 이용하여 하나 이상의 측정 웨이퍼에 대한 추가 S-D 신뢰도 데이터를 확립하는 단계와, 추가 S-D 신뢰도 데이터를 추가 S-D 생산품 요건에 비교하는 단계와, 하나 이상의 추가 S-D 생산품 요건이 충족되면 하나 이상의 측정 웨이퍼를 추가 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 하나 이상의 추가 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 확립, 비교 및 식별을 정지하는 단계를 포함할 수 있다.
새로운 사이트가 선택될 경우, 라이브러리 작성 규칙을 이용할 수 있다.
다른 실시예들에서는 하나 이상의 S-D 프로시저를 이용하여 2중 패터닝 처리 시퀀스가 수행될 수 있다. 제1 웨이퍼 세트가 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제1 웨이퍼 세트는 하나 이상의 제1 S-D 요소(410)에 이송될 수 있다. 제1 마스킹 층이 제1 S-D 마스크 증착 프로시저를 이용하여 각 웨이퍼에 증착될 수 있고, 제1 S-D 평가 프로시저를 이용하여 제1 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제1 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제1 고신뢰도 웨이퍼 세트는 하나 이상의 제2 S-D 요소(420)에 이송될 수 있다. 각 웨이퍼 상의 마스킹 층은 제1 S-D 노광 프로시저를 이용하여 제1 패터닝된 방사선에 노광될 수 있으며, 제2 S-D 평가 프로시저를 이용하여 제2 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제2 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제2 고 신뢰도 웨이퍼 세트는 하나 이상의 제3 S-D 요소(430)에 이송될 수 있다. 노광된 층은 S-D 현상 프로시저를 이용하여 현상될 수 있고, 제3 S-D 평가 프로시저를 이용하여 제3 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제3 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제3 고신뢰도 웨이퍼 세트는 하나 이상의 제4 S-D 요소(440)에 이송될 수 있다. 현상된 웨이퍼는 S-D 에칭 프로시저를 이용하여 에칭될 수 있고, 제1 피에칭 구조물 세트가 각 웨이퍼 상의 하나 이상의 층에 작성될 수 있으며, 제4 S-D 평가 프로시저를 이용하여 제4 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제4 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제4 고신뢰도 웨이퍼 세트는 하나 이상의 제5 S-D 요소(450)에 이송될 수 있다. 하나 이상의 제1 재료는 S-D 증착 프로시저를 이용하여, 에칭된 웨이퍼 상에 증착될 수 있고, 제1 충전된 구조물 세트가 각 웨이퍼 상의 하나 이상의 층에 작성될 수 있으며, 제5 S-D 평가 프로시저를 이용하여 제5 고신뢰도 웨이퍼 세트가 확립될 수 있다.
제5 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제5 고신뢰도 웨이퍼 세트는 하나 이상의 제1 S-D 요소(410)에 이송될 수 있다. 제2 마스킹 층은 제2 S-D 마스크 증착 프로시저를 이용하여 각 웨이퍼 상에 증착될 수 있고, 제6 S-D 평가 프로시저를 이용하여 제6 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제6 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제6 고신뢰도 웨이퍼 세트는 하나 이상의 제2 S-D 요소(420)에 이송될 수 있다. 각 웨이퍼 상의 제2 마스킹 층은 제2 S-D 노광 프로시저를 이용하여 제2 패터닝된 방사선에 노광될 수 있으며, 제7 S-D 평가 프로시저를 이용하여 제7 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제7 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제7 고신뢰도 웨이퍼 세트는 하나 이상의 제3 S-D 요소들(430)에 이송될 수 있다. 제2 노광된 층은 제2 S-D 현상 프로시저를 이용하여 현상될 수 있고, 제8 S-D 평가 프로시저를 이용하여 제8 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제8 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제8 고신뢰도 웨이퍼 세트는 하나 이상의 제4 S-D 요소(440)에 이송될 수 있다. 현상된 웨이퍼는 제2 S-D 에칭 프로시저를 이용하여 에칭될 수 있고, 제2 에칭된 구조물 세트는 각 웨이퍼 상의 하나 이상의 층에 작성될 수 있으며, 제9 S-D 평가 프로시저를 이용하여 제9 고신뢰도 웨이퍼 세트가 확립될 수 있다. 제9 고신뢰도 웨이퍼 세트는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)에 의해 수납될 수 있다. 제9 고신뢰도 웨이퍼 세트는 하나 이상의 제5 S-D 요소(450)에 이송될 수 있다. 하나 이상의 제2 재료는 제2 S-D 증착 프로시저를 이용하여, 에칭된 웨이퍼 상에 증착될 수 있고, 제2 충전된 구조물 세트는 각 웨이퍼 상의 하나 이상의 층에 작성될 수 있으며, 제10 S-D 평가 프로시저를 이용하여 제10 고신뢰도 웨이퍼 세트가 확립될 수 있다.
제1 고신뢰도 웨이퍼 세트는, 1a) 제1 S-D 마스크 작성 프로시저 시에 하나 이상의 마스크 작성 평가 사이트로부터 S-D 신뢰도 데이터를 획득하는 단계와, 2a) 제1 웨이퍼 세트 내의 각 웨이퍼에 대한 S-D 신뢰도 데이터를, 하나 이상의 마스크 작성 평가 사이트에 대해 확립된 하나 이상의 신뢰도 요건에 비교하는 단계, 및 3a) 제1 마스크 작성 신뢰도 요건이 충족되면 제1 웨이퍼 세트 내의 웨이퍼를 제1 고신뢰도 웨이퍼 세트의 멤버로서 식별하는 단계에 의해 확립될 수 있다.
제2 고신뢰도 웨이퍼 세트는, 1b) S-D 노광 프로시저 시에 하나 이상의 노광 종속적 사이트로부터 S-D 신뢰도 (맵핑) 데이터를 획득하는 단계와, 2b) 제1 고신뢰도 웨이퍼 세트 내의 각 웨이퍼에 대한 S-D 신뢰도 (맵핑) 데이터를, 하나 이상의 노광 종속적 사이트에 대해 확립된 하나 이상의 신뢰도 (맵핑) 요건에 비교하는 단계, 및 3b) 제1 노광 관련 신뢰도 (맵핑) 요건이 충족되면 제1 고신뢰도 웨이퍼 세트 내의 웨이퍼를 제2 고신뢰도 웨이퍼 세트의 멤버로서 식별하는 단계에 의해 확립될 수 있다.
제3 고신뢰도 웨이퍼 세트는, 1c) S-D 현상 프로시저 시에 하나 이상의 현상 종속적 사이트로부터 S-D 신뢰도 (맵핑) 데이터를 획득하는 단계와, 2c) 제2 고신뢰도 웨이퍼 세트의 각 웨이퍼에 대한 S-D 신뢰도 (맵핑) 데이터를, 하나 이상의 현상 종속적 사이트에 대해 확립된 하나 이상의 신뢰도 (맵핑) 요건에 비교하는 단계, 및 3c) 제1 현상 관련 신뢰도 (맵핑) 요건이 충족하면 제2 고신뢰도 웨이퍼 세트 내의 웨이퍼를 제3 고신뢰도 웨이퍼 세트 내의 멤버로서 식별하는 단계에 의해 확립될 수 있다.
제4 고신뢰도 웨이퍼 세트는, 1d) S-D 에칭 프로시저 시에 하나 이상의 에칭 종속적 사이트로부터 S-D 신뢰도 (맵핑) 데이터를 획득하는 단계와, 2d) 제3 고신뢰도 웨이퍼 세트 내의 각 웨이퍼에 대한 S-D 신뢰도 (맵핑) 데이터를, 하나 이상의 에칭 종속적 사이트에 대해 확립된 하나 이상의 신뢰도 (맵핑) 요건에 비교하는 단계, 및 3d) 제1 에칭 관련 신뢰도 (맵핑) 요건이 충족하면 제3 고신뢰도 웨이퍼 세트 내의 웨이퍼를 제4 고신뢰도 웨이퍼 세트의 멤버로서 식별하는 단계에 의해 확립될 수 있다.
제5 고신뢰도 웨이퍼 세트는, 1e) S-D 증착 프로시저 시에 하나 이상의 증착 종속적 사이트로부터 S-D 신뢰도 (맵핑) 데이터를 획득하는 단계와, 2e) 제4 고신뢰도 웨이퍼 세트 내의 각 웨이퍼에 대한 S-D 신뢰도 (맵핑) 데이터를, 하나 이상의 증착 종속적 사이트에 대해 확립된 하나 이상의 신뢰도 (맵핑) 요건에 비교하는 단계, 및 3e) 제1 증착 관련 신뢰도 (맵핑) 요건이 충족되면 제4 고신뢰도 웨이퍼 세트 내의 웨이퍼를 제5 고신뢰도 웨이퍼 세트의 멤버로서 식별하는 단계에 의해 확립될 수 있다.
추가 고신뢰도 웨이퍼 세트도 유사한 프로시저를 이용해 확립될 수 있다.
평가 사이트는, 프로세스 종속적 사이트, 측정 종속적 사이트, 검사 종속적 사이트, 층 종속적 사이트, 웨이퍼 종속적 사이트를 포함할 수 있고, S-D 신뢰도 데이터는 정확도 데이터, S-D 처리 데이터, S-D 측정 데이터, S-D 검사 데이터, S-D 시뮬레이션 데이터, S-D 예측 데이터, S-D 이력 데이터, 또는 이들의 임의의 조합을 포함한 S-D (마스크 작성)데이터에 대한 신뢰도 값을 포함할 수 있으며, 제1 마스크 작성 신뢰도 요건은, 정확도 한계, 처리 데이터 한계, 측정 데이터 한계, 검사 데이터 한계, 시뮬레이션 데이터 한계, 예측 데이터 한계, 및/또는 이력 데이터 한계를 포함한 마스크 작성 데이터에 대한 신뢰도 데이터 한계를 포함할 수 있다.
일부 추가 실시예들에서, 제1 N-S-D 이송 서브시스템(401) 및/또는 제2 N-S-D 이송 서브시스템(402)은 포함될 수 있는 S-D 및/또는 N-S-D 웨이퍼를 수납할 수 있다. S-D 웨이퍼는 제1 S-D 이송 서브시스템(460) 및/또는 제2 S-D 이송 서브시스템(470)으로 이송될 수 있다. 웨이퍼와 연관된 데이터는 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 포함할 수 있다.
제1 S-D 웨이퍼 세트는 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 확립될 수 있고, 제1 S-D 처리 시퀀스는 제1 S-D 웨이퍼 세트에 대해 결정될 수 있다. 제1 S-D 웨이퍼 세트는 제1 S-D 처리 시퀀스를 이용하여 하나 이상의 S-D 요소(410, 420, 430, 440 및 450)에서 처리될 수 있고, 웨이퍼 상태 데이터는 제1 S-D 처리 시퀀스를 확립하는데 이용될 수 있다. 제1 S-D 웨이퍼 세트는 하나 이상의 S-D 요소(410, 420, 430, 440 및 450)에 이송될 수 있고, 제1 S-D 처리 시퀀스는 하나 이상의 제1 처리 요소를 결정하는데 이용될 수 있다.
또한, 제1 S-D 서브시스템 처리 데이터는, 제1 S-D 웨이퍼 세트를 이용하여 제1 S-D 처리 시퀀스가 수행되기 전에, 도중에, 및/또는 후에 수집될 수 있고, 제1 S-D 신뢰도 데이터는 웨이퍼 데이터 및/또는 제1 S-D 서브시스템 처리 데이터를 이용하여 제1 S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대해 확립될 수 있다. 일부 예들에서, 제1 S-D 신뢰도 값은 제1 S-D 서브시스템 처리 데이터를 이용하여 제 1 S-D 웨이퍼 세트 내의 제1 S-D 웨이퍼에 대해 확립될 수 있다. 제1 S-D 웨이퍼에 대한 제1 S-D 신뢰도 값은 제1 S-D 신뢰도 한계에 비교될 수 있다. 제1 S-D 신뢰도 한계가 충족되면 제1 S-D 웨이퍼 세트의 처리가 계속될 수 있거나, 제1 S-D 신뢰도 한계가 충족되지 않으면 제1 S-D 보정 조치가 적용될 수 있다. 제1 S-D 보정 조치는, 제1 S-D 서브시스템 처리 데이터를 이용하여 제1 S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 S-D 신뢰도 값을 확립하는 단계와, 하나 이상의 추가 웨이퍼에 대한 S-D 신뢰도 값을 추가의 제1 S-D 신뢰도 한계에 비교하는 단계와, 하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되면 제1 S-D 웨이퍼 세트를 계속 처리하거나, 또는 하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되지 않으면 상기 확립 단계와 비교 단계를 정지하는 단계를 포함할 수 있다.
S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 다른 S-D 웨이퍼 세트가 확립될 수도 있고, 다른 S-D 웨이퍼 세트에 대해 다른 S-D 처리 시퀀스가 결정될 수 있다. 다른 S-D 웨이퍼 세트는 다른 S-D 처리 시퀀스를 이용하여 다른 S-D 서브시스템에서 처리될 수 있고, 웨이퍼 상태 데이터는 다른 S-D 처리 시퀀스를 확립하는데 이용될 수 있다. 다른 S-D 웨이퍼 세트는 다른 S-D 서브시스템 내의 하나 이상의 다른 처리 요소로 이송될 수 있고, 다른 S-D 처리 시퀀스는 하나 이상의 다른 S-D 처리 요소를 결정하는데 이용될 수 있다. 예컨대, 다른 S-D 웨이퍼 세트는 하나 이상의 다른 S-D 서브시스템 내의 하나 이상의 처리 요소로 이송될 수 있다.
일부 웨이퍼 처리 시에, 제1 N-S-D 웨이퍼 세트는 S-D 신뢰도 데이터 및/또 는 N-S-D 신뢰도 데이터를 이용하여 확립될 수 있고, 제1 N-S-D 처리 시퀀스는 제1 N-S-D 웨이퍼 세트에 대해 결정될 수 있다. 일부의 경우에, 제1 N-S-D 세트는 제1 N-S-D 처리 시퀀스를 이용하여 N-S-D 서브시스템에서 처리될 수 있고, 웨이퍼 상태 데이터는 제1 N-S-D 처리 시퀀스를 확립하는데 이용될 수 있다. 제1 N-S-D 웨이퍼 세트는 N-S-D 서브시스템 내의 하나 이상의 N-S-D 처리 요소로 이송될 수 있고, 제1 N-S-D 처리 시퀀스는 하나 이상의 제1 N-S-D 처리 요소를 결정하는데 이용될 수 있다. 예컨대, 제1 N-S-D 웨이퍼 세트는 하나 이상의 다른 서브시스템 내의 하나 이상의 N-S-D 처리 요소로 이송될 수 있다.
다양한 실시예들에서, N-S-D 웨이퍼는 N-S-D 처리 시퀀스를 이용하여 N-S-D 서브시스템에서 처리될 수 있거나, N-S-D 웨이퍼는 N-S-D 처리 시퀀스를 이용하여 S-D 서브시스템에서 처리될 수 있거나, N-S-D 웨이퍼는 N-S-D 처리 시퀀스를 이용하여 N-S-D 서브시스템에서 처리될 수 있고, 웨이퍼 상태 데이터는 처리 시퀀스를 확립하는데 이용될 수 있다. 또한, N-S-D 웨이퍼는 N-S-D 이송 시퀀스 및/또는 S-D 이송 시퀀스를 이용하여 이송될 수 있다. 처리 시퀀스는 이송 시퀀스를 결정하는데 이용될 수 있다.
또한, 제1 N-S-D 서브시스템 처리 데이터는, 제1 N-S-D 처리 시퀀스가 제1 N-S-D 웨이퍼 세트를 이용하여 수행되기 전에, 도중에, 및/또는 후에 수집될 수 있고, 제1 N-S-D 신뢰도 데이터는 웨이퍼 데이터 및/또는 제1 N-S-D 서브시스템 처리 데이터를 이용하여 제1 N-S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대해 확립될 수 있다. 다른 예들에서, 제1 N-S-D 신뢰도 값은 제1 N-S-D 서브시스템 처리 데이 터를 이용하여 제1 N-S-D 웨이퍼 세트 내의 제1 N-S-D 웨이퍼에 대해 확립될 수 있다. 제1 N-S-D 웨이퍼에 대한 제1 N-S-D 신뢰도 값은 제1 N-S-D 신뢰도 한계에 비교될 수 있다. 제1 N-S-D 신뢰도 한계가 충족되면 제1 N-S-D 웨이퍼 세트의 처리가 계속될 수 있거나, 제1 N-S-D 신뢰도 한계가 충족되지 않으면 제1 N-S-D 보정 조치가 적용될 수 있다. 제1 N-S-D 보정 조치는, 제1 N-S-D 서브시스템 처리 데이터를 이용하여 제1 N-S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 N-S-D 신뢰도 값을 확립하는 단계와, 하나 이상의 추가 웨이퍼에 대한 N-S-D 신뢰도 값을 추가의 제1 N-S-D 신뢰도 한계에 비교하는 단계와, 하나 이상의 추가의 제1 N-S-D 신뢰도 한계가 충족되면 N-S-D 웨이퍼를 계속 처리하거나, 또는 하나 이상의 추가의 제1 N-S-D 신뢰도 한계가 충족되지 않으면 상기 확립 단계와 비교 단계를 정지하는 단계를 포함할 수 있다.
또한, S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 다른 N-S-D 웨이퍼 세트가 확립될 수도 있고, 다른 N-S-D 웨이퍼 세트에 대해 다른 N-S-D 처리 시퀀스가 결정될 수 있다. 다른 N-S-D 웨이퍼 세트는 다른 N-S-D 처리 시퀀스를 이용하여 다른 N-S-D 서브시스템에서 처리될 수 있고, 웨이퍼 상태 데이터는 다른 N-S-D 처리 시퀀스를 확립하는데 이용될 수 있다. 다른 N-S-D 웨이퍼 세트는 다른 N-S-D 서브시스템 내의 하나 이상의 다른 처리 요소로 이송될 수 있고, 다른 N-S-D 처리 시퀀스는 하나 이상의 다른 N-S-D 처리 요소를 결정하는데 이용될 수 있다. 예컨대, 다른 N-S-D 웨이퍼 세트는 하나 이상의 다른 S-D 서브시스템 내의 하나 이상의 처리 요소로 이송될 수 있다.
S-D 처리 시퀀스 및/또는 N-S-D 처리 시퀀스는 하나 이상의 코팅 프로시저, 하나 이상의 에칭 프로시저, 하나 이상의 열처리 프로시저, 하나 이상의 노광 프로시저, 하나 이상의 산화 프로시저, 하나 이상의 질화 프로시저, 하나 이상의 현상 프로시저, 하나 이상의 리소그래피 프로시저, 하나 이상의 스캐너 관련 프로시저, 하나 이상의 측정 프로시저, 하나 이상의 검사 프로시저, 하나 이상의 평가 프로시저, 하나 이상의 시뮬레이션 프로시저, 하나 이상의 예측 프로시저, 하나 이상의 재공정 프로시저, 하나 이상의 보관 프로시저, 하나 이상의 이송 프로시저, 하나 이상의 로드락 프로시저, 하나 이상의 세정 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
S-D 서브시스템 및/또는 N-S-D 서브시스템은 하나 이상의 코팅 서브시스템, 하나 이상의 에칭 서브시스템, 하나 이상의 열처리 서브시스템, 하나 이상의 노광 서브시스템, 하나 이상의 산화 서브시스템, 하나 이상의 질화 서브시스템, 하나 이상의 현상 서브시스템, 하나 이상의 리소그래피 서브시스템, 하나 이상의 스캐너 관련 서브시스템, 하나 이상의 측정 서브시스템, 하나 이상의 검사 서브시스템, 하나 이상의 평가 서브시스템, 하나 이상의 시뮬레이션 서브시스템, 하나 이상의 예측 서브시스템, 하나 이상의 재공정 서브시스템, 하나 이상의 보관 서브시스템, 하나 이상의 이송 프로시저, 하나 이상의 로드락 프로시저, 하나 이상의 세정 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
S-D 처리 요소 및/또는 N-S-D 처리 요소는 하나 이상의 코팅 처리 요소, 하나 이상의 에칭 처리 요소, 하나 이상의 열처리 요소, 하나 이상의 노광 처리 요 소, 하나 이상의 산화 처리 요소, 하나 이상의 질화 처리 요소, 하나 이상의 현상 처리 요소, 하나 이상의 리소그래피 처리 요소, 하나 이상의 스캐너 관련 처리 요소, 하나 이상의 측정 처리 요소, 하나 이상의 검사 처리 요소, 하나 이상의 평가 처리 요소, 하나 이상의 시뮬레이션 처리 요소, 하나 이상의 예측 처리 요소, 하나 이상의 재공정 처리 요소, 하나 이상의 보관 처리 요소, 하나 이상의 이송 처리 요소, 하나 이상의 로드락 처리 요소, 하나 이상의 세정 처리 요소, 또는 이들의 임의의 조합을 포함할 수 있다.
도 5는 본 발명의 실시예들에 따라 S-D 특징부, S-D 웨이퍼 및/또는 S-D 프로시저를 검증하기 위한 방법의 예시적인 흐름도이다.
도면 부호 510에서, 제1 S-D 웨이퍼 세트가 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 의해 수납될 수 있고, 하나 이상의 처리 요소는 하나 이상의 S-D 이송 서브시스템에 연결될 수 있으며, 하나 이상의 웨이퍼에 대한 웨이퍼 데이터가 수신될 수 있다. 대안으로, 웨이퍼는 하나 이상의 S-D 이송 서브시스템에 의해 수납될 수 있다. 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 웨이퍼 상태 데이터는 하나 이상의 웨이퍼에 대해 확립될 수 있고, 웨이퍼 상태 데이터는 S-D 데이터, 칩 종속적 데이터 및/또는 다이 종속적 데이터를 포함할 수 있다.
도면 부호 515에서, S-D 처리 시퀀스가 S-D 웨이퍼에 대해 결정될 수 있다. 일부의 경우, S-D 웨이퍼들의 일부에 대해 상이한 S-D 처리 시퀀스가 결정될 수 있다. 대안으로, N-S-D 처리 시퀀스가 확립될 수도 있다.
도면 부호 520에서, 하나 이상의 S-D 웨이퍼가 처리될 수 있다. 일부 실시예들에서, 하나 이상의 S-D 처리 요소를 이용하여 제1 S-D 작성 프로시저를 수행함으로써 제1 미검증 S-D 웨이퍼 세트가 작성될 수 있고, 하나 이상의 미검증 평가 특징부는 미검증 S-D 웨이퍼 각각의 위에서 제1 개수의 평가 사이트들에 작성될 수 있다. S-D 웨이퍼 상태 데이터는 각각의 미검증 S-D 웨이퍼마다 확립될 수 있고, S-D 웨이퍼 상태 데이터는 각각의 미검증 S-D 웨이퍼마다 필수 작성 사이트의 개수와 필수 평가 사이트의 개수를 포함할 수 있다.
도면 부호 525에서는 하나 이상의 S-D 작성 프로시저가 제대로 수행되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 작성 프로시저가 제대로 수행된 경우, 프로시저(500)는 단계 530으로 이행되고, 하나 이상의 S-D 작성 프로시저가 제대로 수행되지 않은 경우, 프로시저(500)는 단계 580으로 이행된다. 예컨대, 툴 데이터, 챔버 데이터, 입자 데이터, 이미지 데이터, 및/또는 결함(fault) 데이터가 이용될 수 있다.
도면 부호 580에서, 웨이퍼를 재측정, 재평가, 재공정 및/또는 처리 시퀀스로부터 제거하는 것을 포함할 수 있는 하나 이상의 추가 프로시저를 이용하여 웨이퍼가 후처리될 수 있다.
도면 부호 545에서, 선택된 사이트를 이용하여 S-D 웨이퍼가 평가될 수 있다. 일부의 경우, 제1 웨이퍼 검증 데이터가 제1 S-D 평가 웨이퍼 상의 제1 사이트로부터 획득될 수 있다. 제1 웨이퍼 검증 데이터는 S-D 측정 요소에서 수행된 S-D 측정 프로시저 및/또는 S-D 검사 요소에서 수행된 제1 S-D 검사 프로시저를 이용하 여 획득될 수 있는 제1 S-D 측정 데이터 및/또는 제1 S-D 검사 데이터를 포함할 수 있다. 다음으로, 제1 피검증 데이터가 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대해 확립될 수 있고, 그 제1 피검증 데이터는 이력 데이터베이스 및/또는 실시간 데이터베이스로부터 획득될 수 있는 제1 피검증 측정 데이터 및/또는 검사 데이터를 포함할 수 있다. 그리고, 제1 신뢰도 값이 제1 웨이퍼 검증 차이를 이용하여 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대해 확립될 수 있고, 제1 웨이퍼 검증 차이는 제1 웨이퍼 검증 데이터 및 제1 피검증 데이터를 이용하여 계산될 수 있다.
제1 리스크 인자는 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 제1 사이트에 대해 확립될 수 있고, 제1 합계 리스크 인자는 제1 리스크 인자, 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼에 대해 확립될 수 있다.
도면 부호 550에서는, 하나 이상의 S-D 평가 웨이퍼가 검증되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 평가 웨이퍼가 검증되었는 경우, 프로시저(500)는 단계 570으로 이행되고, 하나 이상의 S-D 평가 웨이퍼가 검증되지 않았는 경우, 프로시저(500)는 단계 555로 이행된다.
제1 합계 리스크 인자가 제1 웨이퍼 검증 한계 이하이면, 제1 S-D 평가 웨이퍼는 그와 연관된 제1 합계 리스크 인자를 갖는 제1 피검증 S-D 웨이퍼로서 식별될 수 있고, 남아있는 사이트의 개수는 1씩 줄어들 수 있으며, 시찰한 사이트의 개수는 1씩 늘어날 수 있고, 제1 S-D 평가 웨이퍼와 연관된 제1 S-D 작성 프로시저는 제1 피검증 S-D 프로시저로서 식별될 수 있다.
제1 합계 리스크 인자가 제1 웨이퍼 검증 한계보다 클 경우, 제1 사이트는 그와 연관된 제1 합계 리스크 인자를 갖는 제1 미검증 사이트로서 식별될 수 있고, 남아있는 사이트의 개수는 1씩 줄어들 수 있으며, 시찰한 사이트의 개수는 1씩 늘어날 수 있다. 제1 피검증 S-D 평가 웨이퍼는 그와 연관된 피검증 웨이퍼 데이터를 가질 수 있다.
도면 부호 555에서는 추가 사이트가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 사이트가 필요할 경우, 프로시저(500)는 다시 단계 540으로 이행되고, 추가 사이트가 필요하지 않을 경우, 프로시저(500)는 단계 560으로 이행된다.
현재 웨이퍼에 추가 사이트가 필요할 경우, 수행될 수 있는 단계는, a) 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 신규 사이트를 선택하는 단계로서, 이 신규 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 신규 미검증 평가 특징부를 갖는 것인 선택 단계와, b) 제1 S-D 평가 웨이퍼 상의 신규 사이트로부터 신규 웨이퍼 검증 데이터를 획득하는 단계로서, 이 신규 웨이퍼 검증 데이터는 신규 S-D 측정 및/또는 신규 S-D 검사 데이터를 포함하는 것인 취득 단계와, c) 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 피검증 데이터를 확립하는 단계로서, 이 신규 피검증 데이터는 신규 피검증 추정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, d) 신규 웨이퍼 검증 데이터와 신규 피검증 데이터를 이용하여 계산된 신규 웨이퍼 검증 차이를 이용하여 제1 S-D 평가 웨이퍼 상의 신 규 사이트에 대한 신규 신뢰도 값을 확립하는 단계와, e) 신규 신뢰도 값, 신규 웨이퍼 검증 차이, 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼 상의 신규 사이트에 대한 신규 리스크 인자를 확립하는 단계와, f) 신규 리스크 인자, 신규 신뢰도 값, 신규 웨이퍼 검증 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 S-D 평가 웨이퍼에 대한 신규 합계 리스크 인자를 확립하는 단계와, g) 신규의 합계 리스크 인자가 신규 웨이퍼 검증 한계 이하일 경우, 제1 S-D 평가 웨이퍼를 그와 연관된 신규의 합계 리스크 인자를 갖는 제1 피검증 S-D 웨이퍼로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리며, 제1 S-D 평가 웨이퍼와 연관된 제1 S-D 작성 프로시저를 신규 피검증 S-D 프로시저로서 식별하는 단계와, h) 신규의 합계 리스크 인자가 신규 웨이퍼 검증 한계보다 클 경우, 신규 사이트를 그와 연관된 신규의 제1 리스크 인자를 갖는 신규 미검증 사이트로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 제1 피검증 웨이퍼는 그와 연관된 신규 피검증 웨이퍼 데이터를 갖는 것인 단계와, i) 필수 사이트의 개수가 0보다 많을 경우 단계 a) 내지 h)를 반복하는 단계와, j) 필수 사이트의 개수가 0일 때 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함한다.
대안으로, 다른 프로시저가 이용될 수도 있다.
도면 부호 560에서, 추가의 평가 웨이퍼가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가의 평가 웨이퍼가 필요할 경우, 프로시저(500)는 다시 단계 535로 이행될 수 있고, 추가의 평가 웨이퍼가 필요하지 않을 경우, 프로시저(500)는 단계 565로 이행될 수 있다.
추가의 평가 웨이퍼가 필요할 경우, 수행될 수 있는 단계는, a1) 추가 S-D 평가 웨이퍼를 선택하는 단계와, b1) 추가 S-D 평가 웨이퍼에 대한 제1 개수의 필수 사이트를 결정하는 단계와, c1) 추가 S-D 평가 웨이퍼 상의 제1 개수의 필수 사이트로부터 추가 사이트를 선택하는 단계로서, 이 추가 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 추가 미검증 평가 특징부를 갖는 것인 선택 단계와, d1) 추가 S-D 평가 웨이퍼 상의 추가 사이트로부터 추가 웨이퍼 검증 데이터를 획득하는 단계로서, 이 추가 웨이퍼 검증 데이터는 추가 S-D 측정 및/또는 S-D 검사 데이터를 갖는 것인 취득 단계와, e1) 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 피검증 데이터를 확립하는 단계로서, 이 추가 피검증 데이터는 추가 피검증 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, f1) 추가 웨이퍼 검증 데이터와 추가 피검증 데이터를 이용하여 계산된 추가 웨이퍼 검증 차이를 이용해 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 신뢰도 값을 확립하는 단계와, g1) 추가 신뢰도 값, 추가 웨이퍼 검증 차이, 신규 신뢰도 값, 신규 웨이퍼 검증 차이, 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 추가 S-D 평가 웨이퍼 상의 추가 사이트에 대한 추가 리스크 인자를 확립하는 단계와, h1) 추가 리스크 인자, 추가 신뢰도 값, 추가 웨이퍼 검증 차이, 신규 리스크 인자, 신규 신뢰도 값, 신규 웨이퍼 검증 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 웨이퍼 검증 차이, 웨이퍼 데이터, 또는 이 들의 임의의 조합을 이용하여 추가 S-D 평가 웨이퍼에 대한 추가 합계 리스크 인자를 확립하는 단계와, i1) 추가 합계 리스크 인자가 추가 웨이퍼 검증 한계 이하일 경우, 추가 S-D 평가 웨이퍼를 그와 연관된 추가 합계 리스크 인자를 갖는 추가 피검증 S-D 웨이퍼로서 식별하고, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리며, 추가 사이트와 연관된 데이터를 피검증 데이터로서 평가 라이브러리에 저장하는 단계와, j1) 추가 합계 리스크 인자가 추가 웨이퍼 검증 한계보다 클 경우, 추가 S-D 평가 웨이퍼를 그와 연관된 추가의 제1 리스크 인자를 갖는 추가 미검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 이 추가 피검증 웨이퍼는 그와 연관된 추가 피검증 웨이퍼 데이터를 갖는 것인 단계와, k1) 추가 S-D 평가 웨이퍼를 이용할 수 있고 그 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0보다 많을 경우 단계 a1) 내지 j1)를 반복하는 단계와, l1) 추가 S-D 평가 웨이퍼를 이용할 수 없거나 그 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0일 때 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함한다.
도면 부호 565에서는 추가 작성 웨이퍼가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 작성 웨이퍼가 필요할 경우, 프로시저(500)는 다시 단계 515로 이행될 수 있고, 도 5에 도시하는 바와 같이, 처리가 진행될 수 있다. 추가 작성 웨이퍼가 필요하지 않을 경우, 프로시저(500)는 단계 570으로 이행될 수 있다. 프로시저(500)는 도면 부호 570에서 끝날 수 있다.
예시적인 제1 보정 조치는, S-D 평가 웨이퍼의 제1 개수와 가용 평가 요소의 제1 개수 간의 차이를 이용하여 제1 개수의 지연된 S-D 웨이퍼를 결정하는 단계와, S-D 이송 서브시스템 내의 하나 이상의 이송 요소를 이용하여 제1 개수의 지연된 S-D 웨이퍼를 제1 시간 동안 보관 및/또는 지연시키는 단계를 포함하고, 이송 요소는 2개 이상의 웨이퍼를 지지하기 위한 수단을 포함한다.
추가 보정 조치는, S-D 평가 웨이퍼의 제1 개수와 가용 평가 요소의 제1 개수 간의 차이를 이용하여 제1 개수의 지연된 S-D 웨이퍼를 결정하는 단계와, 제1 지연된 S-D 평가 웨이퍼에 대한 업데이트된 S-D 웨이퍼 상태 데이터를 결정하는 단계와, 제1 평가 서브시스템 내의 하나 이상의 S-D 평가 요소에 대한 업데이트된 작동 상태 데이터를 결정하는 단계와, 제1 지연된 S-D 평가 웨이퍼에 대해 제1 업데이트된 이송 시퀀스를 결정하는 단계와, 업데이트된 작동 상태 데이터를 이용하여 하나 이상의 신규 가용 S-D 평가 요소를 식별하는 단계와, 제1 신규 가용 S-D 평가 요소를 이용할 수 있을 경우 제1 업데이트된 이송 시퀀스를 이용하여, 제1 지연된 S-D 평가 웨이퍼를 하나 이상의 평가 서브시스템 내의 제1 신규 가용 S-D 평가 요소로 이송하는 단계와, 제1 신규 가용 S-D 평가 요소를 이용할 수 없을 경우 제2 보정 조치를 적용하는 단계를 포함할 수 있다.
다른 보정 조치는, 처리를 정지하는 단계와, 처리를 일시정지하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 재평가하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 재측정하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 재검사하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 재공정하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 보관하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 세정하는 단계와, 하나 이상의 S-D 평가 웨이퍼를 지연시키는 단계와, 하나 이상의 S-D 평가 웨이퍼를 폐기하는 단계, 또는 이들의 임의의 조합을 포함할 수 있다.
또한, S-D 신뢰도 맵 및/또는 S-D 리스크 평가 맵을 웨이퍼를 검증하는데 이용할 수 있다.
도 6은 본 발명의 실시예들에 따라 S-D 평가 라이브러리를 작성하기 위한 방법의 예시적인 흐름도이다. 제1 S-D 웨이퍼 세트가 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 의해 수납될 수 있고, 하나 이상의 S-D 처리 요소는 하나 이상의 S-D 이송 서브시스템에 연결될 수 있다. 각 웨이퍼는 그와 연관된 웨이퍼 데이터를 가질 수 있고, 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 대안으로, 웨이퍼는 상이한 서브시스템에 의해 수납될 수도 있다. 하나 이상의 웨이퍼에 대해 웨이퍼 상태 데이터가 확립될 수 있고, 그 웨이퍼 상태 데이터는 S-D 데이터, 칩 종속적 데이터 및/또는 다이 종속적 데이터를 포함할 수 있다. 또한, 하나 이상의 S-D 처리 시퀀스가 웨이퍼에 대해 확립될 수 있고, 그 S-D 처리 시퀀스는 S-D 웨이퍼 상태 데이터, 칩 종속적 웨이퍼 상태 데이터, 및/또는 다이 종속적 웨이퍼 상태 데이터를 이용하여 확립될 수 있다.
웨이퍼 상태 데이터는 각각의 S-D 웨이퍼마다 확립될 수 있으며, 그 웨이퍼 상태 데이터는 각각의 S-D 웨이퍼마다 필수 작성 사이트의 개수 및 필수 평가 사이트의 개수를 포함한다.
도면 부호 610에서, S-D 평가 데이터의 라이브러리를 작성하기 위한 라이브러리 작성 처리 시퀀스가 확립될 수 있으며, 그 라이브러리 작성 처리 시퀀스는 웨 이퍼 상태 데이터를 이용하여 작성될 수 있다. 라이브러리 작성 처리 시퀀스는 S-D 이송 프로시저, S-D 작성 프로시저, S-D 평가 프로시저, 또는 이들의 임의의 조합을 포함할 수 있다.
도면 부호 620에서, 처리될 S-D 프로세스 웨이퍼의 제1 개수는 제1 라이브러리 작성 처리 시퀀스를 이용하여 결정될 수 있고, 제1 S-D 작성 프로시저 및 제1 S-D 평가 프로시저는 제1 라이브러리 작성 처리 시퀀스를 이용하여 결정될 수 있다.
하나 이상의 처리 서브시스템 내의 복수의 S-D 처리 요소에 대해 제1 작동 상태가 확립될 수 있다. 가용 처리 요소의 제1 개수는 하나 이상의 S-D 처리 요소에 대한 제1 작동 상태를 이용하여 결정될 수 있다.
제1 S-D 이송 시퀀스는 웨이퍼 데이터, 웨이퍼 상태 데이터, S-D 프로세스 웨이퍼의 제1 개수, 가용 처리 요소의 제1 개수, 또는 이들의 임의의 조합을 이용하여 확립될 수 있다.
도면 부호 625에서, S-D 프로세스 웨이퍼의 제1 개수가 가용 처리 요소의 제1 개수 이하인 경우, 제1 개수의 S-D 프로세스 웨이퍼들은 제1 S-D 이송 시퀀스를 이용하여 하나 이상의 처리 서브시스템 내의 제1 개수의 가용 처리 요소들에 이송될 수 있다. S-D 프로세스 웨이퍼의 제1 개수가 가용 처리 요소의 제1 개수보다 많을 경우, 제1 보정 조치가 적용될 수 있다.
도면 부호 630에서, 제1 S-D 작성 프로시저가 수행될 수 있고, 하나 이상의 라이브러리 관련 기준 특징부가 각각의 S-D 프로세스 웨이퍼 상의 제1 개수의 평가 사이트에 작성될 수 있다. 업데이트된 웨이퍼 데이터 및/또는 업데이트된 웨이퍼 상태 데이터는 제1 S-D 작성 프로시저를 이용하여 작성되고, 업데이트된 웨이퍼 상태 데이터는 각각의 S-D 프로세스 웨이퍼마다 다수의 필수 평가 사이트를 포함할 수 있다.
도면 부호 635에서는 하나 이상의 S-D 작성 프로시저가 제대로 수행되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 작성 프로시저가 제대로 수행되었다면, 프로시저(600)는 단계 640으로 이행될 수 있고, 하나 이상의 S-D 작성 프로시저가 제대로 수행되지 않았다면, 프로시저(600)는 단계 690으로 이행될 수 있다. 예컨대, 툴 데이터, 챔버 데이터, 입자 데이터, 이미지 데이터 및/또는 결함 데이터를 이용할 수 있다.
도면 부호 640에서, 제1 S-D 평가 프로시저를 이용하여, 평가될 S-D 평가 웨이퍼의 제1 개수가 결정될 수 있다. 필수 평가 사이트의 개수는 업데이트된 웨이퍼 데이터, 업데이트된 웨이퍼 상태 데이터, 웨이퍼 데이터, 웨이퍼 상태 데이터, 또는 이들의 임의의 조합을 이용하여 각각의 S-D 평가 웨이퍼마다 결정될 수 있다.
제1 작동 상태는 하나 이상의 평가 서브시스템 내의 복수의 S-D 평가 요소에 대해 결정될 수 있으며, S-D 이송 서브시스템은 하나 이상의 S-D 평가 요소에 연결된다.
가용 평가 요소의 제1 개수는 하나 이상의 S-D 평가 요소에 대한 제1 작동 상태를 이용하여 결정될 수 있다. 제2 S-D 이송 시퀀스는 업데이트된 웨이퍼 데이터, 업데이트된 웨이퍼 상태 데이터, 웨이퍼 데이터, 웨이퍼 상태 데이터, S-D 평 가 웨이퍼의 제1 개수, 가용 평가 요소의 제1 개수, 또는 이들의 임의의 조합을 이용하여 확립될 수 있다.
도면 부호 645에서, S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수 이하인 경우, 제1 개수의 S-D 평가 웨이퍼들은 제2 S-D 이송 시퀀스를 이용하여 하나 이상의 평가 서브시스템 내의 제1 개수의 가용 평가 요소들에 이송될 수 있다. S-D 평가 웨이퍼의 제1 개수가 가용 평가 요소의 제1 개수보다 많을 경우, 제2 보정 조치가 적용될 수 있다.
도면 부호 650에서, 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 제1 사이트가 선택될 수 있고, 제1 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 제1 라이브러리 관련 기준(평가) 특징부를 구비할 수 있다.
도면 부호 655에서는 평가 프로시저가 수행될 수 있다. 제1 라이브러리 관련 평가 데이터가 제1 S-D 웨이퍼 상의 제1 사이트로부터 획득될 수 있고, 제1 사이트는 그와 연관된 제1 라이브러리 관련 측정 및/또는 검사 데이터를 가질 수 있다. 제1 피예측 데이터가 제1 S-D 웨이퍼 상의 제1 사이트에 대해 확립될 수 있고, 제1 피예측 데이터는 예측된 측정 및/또는 검사 데이터를 포함할 수 있다. 제1 신뢰도 값은 제1 라이브러리 관련 평가 데이터 및 제1 피예측 데이터를 이용하여 계산된 제1 라이브러리 관련 차이를 이용하여 제1 사이트에 대해 확립될 수 있다. 제1 리스크 인자는 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 제1 사이트에 대해 확립될 수 있다. 제1 합계 리스크 인자는 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이 터, 또는 이들의 임의의 조합을 이용하여 제1 사이트에 대해 확립될 수 있다.
도면 부호 660에서, 제1 합계 리스크 인자가 제1 라이브러리 관련 작성 한계 이하인 경우, 제1 피검증 사이트로서 제1 사이트는 그와 연관된 제1 합계 리스크 인자를 가질 수 있고, 남아있는 사이트의 수는 1씩 줄어질 수 있으며, 시찰한 사이트의 수는 1씩 늘어날 수 있고, 제1 사이트와 연관된 데이터는 평가 라이브러리에 피검증 데이터로서 저장될 수 있다. 제1 합계 리스크 인자가 제1 라이브러리 관련 작성 한계보다 클 경우, 제1 사이트는 그와 연관된 제2 리스크 인자를 갖는 제1 미검증 사이트로서 식별될 수 있고, 남아있는 사이트의 수는 1씩 줄어질 수 있으며, 시찰한 사이트의 수는 1씩 늘어날 수 있다. 제1 피검증 사이트는 그와 연관된 피검증 라이브러리 관련 데이터를 가질 수 있다.
도면 부호 665에서는 추가 사이트가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 사이트가 필요할 경우, 프로시저(600)는 다시 단계 650으로 이행될 수 있고, 추가 사이트가 필요하지 않을 경우, 프로시저(600)는 단계 670으로 이행될 수 있다.
제1 웨이퍼에 대해 신규 사이트가 필요할 경우, 하나 이상의 컨트롤러가 이용할 수 있는 단계는, a) 제1 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 신규 사이트를 선택하는 단계로서, 이 신규 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 신규 라이브러리 관련 기준 특징부를 갖는 것인 선택 단계와, b) 제1 S-D 웨이퍼 상의 신규 사이트로부터 신규 라이브러리 관련 평가 데이터를 획득하는 단계로서, 이 신규 사이트는 그와 연관된 신규 라이브러리 관련 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, c) 제1 S-D 웨이퍼 상의 신규 사이트에 대한 신규 피예측 데이터를 확립하는 단계로서, 이 신규 피예측 데이터는 신규 피예측 측정 및/또는 검사 데이터를 갖는 것인 확립 단계와, d) 신규 라이브러리 관련 평가 데이터와 신규 피예측 데이터를 이용하여 계산된 신규 라이브러리 관련 차이를 이용하여 신규 사이트에 대한 신규 신뢰도 값을 확립하는 단계와, e) 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 신규 사이트에 대한 신규 리스크 인자를 확립하는 단계와, f) 신규 리스크 인자, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 신규 사이트에 대한 신규 합계 리스크 인자를 확립하는 단계와, g) 신규 합계 리스크 인자가 신규 라이브러리 관련 한계 이하일 경우, 신규 사이트를 그와 연관된 신규 합계 리스크 인자를 갖는 신규 피검증 사이트로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리며, 신규 사이트와 연관된 데이터를 피검증 데이터로서 평가 라이브러리에 저장하는 단계와, h) 신규 합계 리스크 인자가 신규 라이브러리 관련 작성 한계보다 클 경우, 신규 사이트를 그와 연관된 신규의 제2 리스크 인자를 갖는 신규 미검증 사이트로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 신규 피검증 사이트는 그와 연관된 신규 피검증 라이브러리 관련 데이터를 갖는 것인 단계와, i) 필수 사이트의 개수가 0보다 많을 경우 단계 a) 내지 h)를 반복하는 단계와, j) 필수 사이트의 개수가 0 일 때 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함할 수 있다.
도면 부호 670에서는 하나 이상의 S-D 평가 웨이퍼가 필요한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 평가 웨이퍼가 필요할 경우, 프로시저(600)는 다시 단계 645로 이행되고, 하나 이상의 S-D 평가 웨이퍼가 필요하지 않을 경우, 프로시저(600)는 단계 675로 이행된다.
추가 웨이퍼가 이용될 경우, 하나 이상의 컨트롤러가 이용할 수 있는 단계는, a1) 추가 S-D 평가 웨이퍼 상의 필수 사이트의 수로부터 추가 사이트를 선택하는 단계로서, 이 추가 사이트는 제1 S-D 작성 프로시저를 이용하여 작성되었던 그와 연관된 추가 라이브러리 관련 기준(평가) 특징부를 갖는 것인 선택 단계와, b1) 추가 S-D 웨이퍼 상의 추가 사이트로부터 추가 라이브러리 관련 평가 데이터를 획득하는 단계로서, 이 추가 사이트는 그와 연관된 추가 라이브러리 관련 측정 및/또는 검사 데이터를 갖는 것인 획득 단계와, c1) 추가 S-D 웨이퍼 상의 추가 사이트에 대해 추가 피예측 데이터를 확립하는 단계로서, 이 추가 피예측 데이터는 추가 피예측 측정 및/또는 검사 데이터를 포함하는 것인 확립 단계와, d1) 추가 라이브러리 관련 평가 데이터와 추가 피예측 데이터를 이용하여 계산된 추가 라이브러리 관련 차이를 이용하여 추가 사이트에 대한 추가 신뢰도 값을 확립하는 단계와, e1) 추가 신뢰도 값, 추가 라이브러리 관련 차이, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 추가 사이트에 대한 추가 리스크 인자를 확립하는 단계와, f1) 추가 리스크 인자, 추가 신뢰도 값, 추가 라이브러리 관련 차이, 신규 리스크 인자, 신규 신뢰도 값, 신규 라이브러리 관련 차이, 제1 리스크 인자, 제1 신뢰도 값, 제1 라이브러리 관련 차이, 웨이퍼 데이터, 또는 이들의 임의의 조합을 이용하여 추가 사이트에 대한 추가 합계 리스크 인자를 확립하는 단계와, g1) 추가 합계 리스크 인자가 추가 라이브러리 관련 한계 이하일 경우, 추가 사이트를 그와 연관된 추가 합계 리스크 인자를 갖는 추가 피검증 사이트로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리고, 추가 사이트와 연관된 데이터를 피검증 데이터로서 평가 라이브러리에 저장하는 단계와, h1) 추가 합계 리스크 인자가 추가 라이브러리 관련 작성 한계보다 클 경우, 추가 사이트를 그와 연관된 추가의 제2 리스크 인자를 갖는 추가 미검증 사이트로서 식별하며, 필수 사이트의 개수를 1씩 줄이고, 시찰한 사이트의 개수를 1씩 늘리는 단계로서, 추가 피검증 사이트는 그와 연관된 추가 피검증 라이브러리 관련 데이터를 갖는 것인 단계와, i1) 추가 S-D 평가 웨이퍼를 이용할 수 있고 그 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0보다 많을 경우 단계 a1) 내지 h1)를 반복하는 단계와, j1) 추가 S-D 평가 웨이퍼를 이용할 수 없거나 그 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0일 때 S-D 라이브러리 작성 프로세스를 정지하는 단계를 포함할 수 있다.
또한, 지연된 S-D 평가 웨이퍼가 상이한 시간에 처리 및/또는 평가될 수 있다. 지연된 웨이퍼로부터의 데이터는 그것이 이용 가능하자마자 이용될 수 있다. 예컨대, 지연된 웨이퍼로부터의 데이터는 다른 프로시저에 이용되도록 피드포워드 및/또는 피드백될 수 있다.
도면 부호 675에서는 추가 작성 웨이퍼가 필요하는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 작성 웨이퍼가 필요할 경우, 프로시저(600)는 다시 단계 615로 이행될 수 있고, 도 6에 도시하는 바와 같이, 처리가 진행될 수 있다. 추가 작성 웨이퍼가 필요하지 않을 경우, 프로시저(600)는 단계 680으로 이행될 수 있다. 프로시저(600)는 도면 부호 680에서 끝난다.
도 7은 S-D 프로시저를 이용하여 웨이퍼 상에 2중 다마신 구조물을 작성하기 위한 방법의 예시적인 흐름도이다.
도면 부호 710에서, 하나 이상의 웨이퍼가 S-D 이송 서브시스템에 의해 수납될 수 있고, 하나 이상의 웨이퍼에 대한 웨이퍼 데이터가 수신될 수 있다. 대안으로, 웨이퍼는 상이한 서브시스템에 의해 수납될 수 있다. 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 웨이퍼 상태 데이터는 하나 이상의 웨이퍼에 대해 확립될 수 있고, 웨이퍼 상태 데이터는 S-D 데이터, 칩 종속적 데이터, 및/또는 다이 종속적 데이터를 포함할 수 있다. 또한, 하나 이상의 S-D 처리 시퀀스가 웨이퍼에 대해 확립될 수 있고, S-D 처리 시퀀스는 S-D 웨이퍼 상태 데이터, 칩 종속적 웨이퍼 상태 데이터, 및/또는 다이 종속적 웨이퍼 상태 데이터를 이용하여 확립될 수 있다.
제1 예시적인 실시예에 있어서, 다시 도 1을 참조하면, S-D 웨이퍼는 제1 리소그래피 서브시스템(110)에 연결될 수 있는 하나 이상의 S-D 이송 서브시스템(101, 102)에 의해 수납될 수 있다. 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)는 데이터를 수신할 수 있다. 일부 실시예들 에서는 웨이퍼가 수납될 경우, 웨이퍼 및/또는 로트와 연관된 데이터가 수신될 수 있고, 그 데이터는 S-D 및/또는 N-S-D 데이터, 및/또는 메시지를 포함할 수 있다. 예컨대, 데이터는 신뢰도 맵, 프로세스 맵, 리스크 평가 맵, 손상 평가 맵, 기준 맵, 측정 맵, 평가 맵, 신뢰도 맵, 촬상 맵, 라이브러리 관련 맵, 입고 S-D 웨이퍼 및/또는 입고 로트에 대한 기타 웨이퍼 관련 맵 등의 S-D 맵을 포함할 수 있다. 그 데이터는 처리 시스템과 연관된 하나 이상의 서브시스템, 호스트 시스템, 및/또는 다른 처리 시스템으로부터의 데이터 및/또는 메시지를 포함할 수 있다. 예컨대, S-D 메시지 및/또는 데이터는 처리 시퀀스 및/또는 이송 시퀀스를 결정 및/또는 제어하는데 이용될 수 있다.
데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있는 웨이퍼 데이터를 획득하도록 처리될 수 있다. 또한, S-D 웨이퍼 데이터는 각 웨이퍼마다 결정될 수 있고, S-D 웨이퍼 데이터는 S-D 웨이퍼 상태 데이터 및 S-D 신뢰도 데이터를 포함할 수 있다.
추가 S-D 웨이퍼에 처리가 필요할 경우, 제1 S-D 처리 요소를 이용할 수 있다면, 추가 S-D 웨이퍼는 하나 이상의 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 하나 이상의 처리 서브시스템 내의 추가 S-D 처리 요소로 이송될 수 있고, 제1 S-D 처리 요소를 이용할 수 없다면, 추가 S-D 웨이퍼는 하나 이상의 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 지연될 수 있다. S-D 이송 서브시스템 내의 이송 요소들은 소정의 시간 동안 웨이퍼를 보관 및/또는 지연시키는데 이용될 수 있다.
도면 부호 715에서, 웨이퍼 데이터를 이용하여 각 S-D 웨이퍼마다 하나 이상의 S-D 처리 시퀀스가 확립될 수 있다. 웨이퍼 데이터 및/또는 S-D 웨이퍼 상태 데이터는, 웨이퍼를 수납하여 각 S-D 웨이퍼마다 S-D 처리 시퀀스를 확립하기 전에 및/또는 확립할 때에 이용될 수 있다. 또한, 제1 처리 서브시스템은 제1 S-D 처리 시퀀스 및/또는 S-D 웨이퍼 데이터를 이용하여 각 웨이퍼마다 식별될 수 있다. 일례로, 웨이퍼 상의 하나 이상의 층에 다수의 에칭된 특징부를 작성하기 위한 제1 처리 시퀀스가 확립될 수 있다.
제1 예시적인 실시예에서, S-D 2중 다마신(DD) 처리 시퀀스가 확립될 수 있고, S-D DD 처리 시퀀스는 제1 다마신 작성 프로시저, 제1 다마신 평가 프로시저, 제2 다마신 작성 프로시저, 및 제2 다마신 평가 프로시저를 포함할 수 있다. 제1 S-D 처리 웨이퍼 세트가 확립될 수 있고, S-D 웨이퍼 데이터는 제1 S-D 처리 웨이퍼 세트를 확립하는데 이용될 수 있다. 제1 S-D 처리 웨이퍼 세트는 제1 다마신 작성 프로시저를 이용하여 처리될 수 있다.
도면 부호 720에서는 미처리 S-D 웨이퍼를 이송하거나 및/또는 지연시키거나 할 수 있다. 제1 S-D 프로시저가 제1 미처리 S-D 웨이퍼에 대해 결정될 수 있고, 제1 S-D 프로시저는 하나 이상의 프로세스 관련 프로시저를 포함할 수 있다. 제1 S-D 처리 요소를 이용할 수 있다면, 제1 미처리 S-D 웨이퍼는 제1 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 제1 처리 서브시스템 내의 제1 S-D 처리 요소로 이송될 수 있다. 제1 S-D 처리 요소를 이용할 수 없다면, 제1 미처리 S-D 웨이퍼는 제1 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 지연될 수 있다.
제1 예시적인 실시예에서, S-D 이송 시퀀스가 제1 S-D 처리 웨이퍼 세트에 대해 확립될 수 있다. 실시간 작동 상태가 제1 리소그래피 서브시스템(110) 내의 하나 이상의 제1 S-D 처리 요소(112)에 대해 확립될 수 있다. 작동 상태는 웨이퍼가 S-D 처리 요소에 대해 이송될 때 변경될 수 있다. 리소그래피 관련 서브시스템 내의 제1 S-D 처리 요소(110)에 대해 웨이퍼를 이송하도록 실시간 이송 시퀀스가 확립되어 이용될 수 있다. 또한, 내부 이송 디바이스(113)도 이용될 수 있다. S-D 이송 시퀀스가 제1 S-D 처리 웨이퍼 세트에 대해 확립될 수 있다. 실시간 작동 상태가 제1 리소그래피 서브시스템(110) 내의 하나 이상의 제1 S-D 처리 요소(112)에 대해 확립될 수 있다. 작동 상태는 웨이퍼가 S-D 처리 요소에 대해 이송될 때에 변경될 수 있다. 실시간 이송 시퀀스가 확립될 수 있고 시간에 따라 변경될 수 있다. 제1 개수의 제1 S-D 처리 요소를 이용할 수 있다면, 제1 개수의 제1 S-D 처리 웨이퍼 세트가 S-D 이송 서브시스템을 이용하여 제1 리소그래피 서브시스템(110) 내의 제1 개수의 제1 S-D 처리 요소(112)로 이송될 수 있다. 제1 S-D 처리 요소가 제1 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼들에 이용될 수 없을 경우, 제1 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼들은 S-D 이송 서브시스템을 이용하여 제1 시간 동안 지연될 수 있다. 제1 S-D 처리 웨이퍼 세트가 이송될 경우, 제1 S-D 이송 시퀀스를 이용할 수 있다. 예컨대 제1 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼는 S-D 이송 서브시스템 내의 하나 이상의 이송 요소를 이용하여 제1 시간 동안 지연될 수 있다. 이송 요소는 2개 이상의 웨이퍼를 지지하도록 구성될 수 있다. 제1 S-D 처리 웨이퍼 세트 내의 다른 S-D 웨이퍼는 제1 시간 후에 처리될 수 있다. S-D 웨이퍼가 지연될 경우, 신규 S-D 이송 시퀀스가 확립될 수 있다.
지연된 미처리 S-D 웨이퍼가 식별되었다면, 그 지연된 미처리 S-D 웨이퍼에대해 업데이트된 웨이퍼 상태 데이터가 결정될 수 있다. 제1 지연 기간 후에, 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에 대해 업데이트된 작동 상태 데이터가 결정될 수 있고, 하나 이상의 신규 가용 S-D 처리 요소가 업데이트된 작동 상태 데이터를 이용하여 식별될 수 있다. 신규 가용 S-D 처리 요소를 이용할 수 있다면, 지연된 미처리 S-D 웨이퍼는 하나 이상의 처리 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 하나 이상의 처리 서브시스템 내의 제1 신규 가용 S-D 처리 요소로 이송될 수 있다. 제1 S-D 처리 요소를 이용할 수 없다면, 제1 지연된 미처리 S-D 웨이퍼는 처리 서브시스템에 연결된 하나 이상의 S-D 처리 서브시스템을 이용하여 제2 시간 동안 지연될 수 있다. 지연된 미처리 S-D 웨이퍼는 제2 시간 동안 지연된 후에 후처리될 수 있으며, 후처리는 처리 정지, 처리 일시정지, 하나 이상의 웨이퍼를 재평가, 하나 이상의 웨이퍼를 재측정, 하나 이상의 웨이퍼를 재검사, 하나 이상의 웨이퍼를 재공정, 하나 이상의 웨이퍼를 보관, 하나 이상의 웨이퍼를 세정, 하나 이상의 웨이퍼를 폐기하는 것, 또는 이들의 임의의 조합을 포함할 수 있다.
하나 이상의 S-D 웨이퍼는 그 웨이퍼에 대한 S-D 처리 시퀀스에 의해 식별된 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소로 이송될 수 있다. 또한, 하나 이상의 S-D 웨이퍼는 S-D 이송 시퀀스를 이용하여 이송될 수 있다.
도면 부호 725에서, 하나 이상의 S-D 웨이퍼는 하나 이상의 처리 서브시스템 내의 하나 이상의 S-D 처리 요소에서 처리될 수 있다. 제1 S-D 프로시저는 제1 미처리 S-D 웨이퍼를 처리하는데 이용될 수 있고, 제1 S-D 프로시저는 하나 이상의 프로세스 관련 프로시저를 포함할 수 있다. 대안적 실시예들에서는 하나 이상의 웨이퍼가 N-S-D 서브시스템에서 처리될 수 있다. 예컨대, S-D 처리 시퀀스에서의 제1 프로시저는 제1 처리 서브시스템에서 수행될 수 있고, S-D 처리 시퀀스에서의 추가 프로시저는 추가 서브시스템에서 수행될 수 있다.
제1 S-D 검증 프로시저가 수행될 경우, 제1 미검증된 S-D 검증 특징부 세트가 제1 검증 웨이퍼 상에 작성될 수 있고, 제1 미검증 S-D 검증 특징부 세트는 제1 검증 웨이퍼 상의 제1 사이트에서 제1 미검증된 검증 특징부를 포함할 수 있다.
추가 미처리 S-D 웨이퍼가 식별되었다면, 그 웨이퍼는 S-D 프로시저를 이용하여 처리될 수 있다. 추가의 제1 미검증된 S-D 검증 특징부 세트가 추가 검증 웨이퍼 상에 작성될 수 있고, 추가의 제1 미검증된 S-D 검증 특징부 세트는 각각의 추가 검증 웨이퍼 상의 제1 사이트에서 제1 미검증된 검증 특징부를 포함할 수 있다.
지연된 미처리 S-D 웨이퍼가 식별되었다면, 그 웨이퍼는 나중에 제1 S-D 프로시저를 이용하여 처리될 수 있다. 추가의 제1 미검증된 S-D 검증 특징부 세트가 지연된 검증 웨이퍼 상에 작성될 수 있고, 추가의 제1 미검증된 S-D 검증 특징부 세트는 각각의 지연된 검증 웨이퍼 상의 제1 사이트에서 제1 미검증된 검증 특징부를 포함할 수 있다. 대안으로, 추가 미처리 웨이퍼를 이용하여 또 다른 미검증 S-D 프로시저가 수행될 수 있다.
제1 예시적인 실시예에 대해 계속 설명하면, 제1 작성 프로시저는 제1 다마신층이 생성되는 중에 수행될 수 있고, 제2 작성 프로시저는 제2 다마신층이 생성되는 중에 수행될 수 있다. 제1 작성 프로시저 시에, 제1 개수의 제1 S-D 처리 웨이퍼 세트는 제1 다마신 작성 프로시저를 이용하여 처리될 수 있고, 제1 피처리 웨이퍼 세트가 확립될 수 있다. 제1 다마신 작성 프로시저는 제1 개수의 제1 S-D 웨이퍼 세트 상에 제1 S-D 다마신 특징부 세트를 작성하는데 이용될 수 있고, 제1 S-D 다마신 특징부 세트는 제1 세트의 S-D 처리 웨이퍼의 각각에 있는 하나 이상의 사이트에 하나 이상의 검증 특징부를 포함할 수 있다. 제2 작성 프로시저 시에, 제1 개수의 제2 S-D 처리 웨이퍼 세트는 제2 다마신 작성 프로시저를 이용하여 처리될 수 있고, 제2 피처리 웨이퍼 세트가 확립될 수 있다. 제2 다마신 작성 프로시저는 제1 개수의 제2 S-D 웨이퍼 세트 상에 제2 S-D 다마신 특징부 세트를 작성하는데 이용될 수 있고, 제2 S-D 다마신 특징부 세트는 제2 세트의 S-D 처리 웨이퍼의 각각에 있는 하나 이상의 사이트에 하나 이상의 제2 검증 특징부를 포함할 수 있다. 제1 작성 프로시저 중에 및/또는 후에, 제1 S-D 평가 웨이퍼 세트가 확립될 수 있고, 제1 세트의 S-D 평가 웨이퍼들은 제1 세트의 피처리 웨이퍼의 하나 이상을 포함할 수 있다. 또한, 제1 작성 프로시저 중에 및/또는 후에, 제1 S-D 평가 웨이퍼 세트가 확립될 수 있고, 제1 S-D 평가 웨이퍼 세트는 제1 세트의 피처리 웨이퍼의 하나 이상을 포함할 수 있다.
도면 부호 730에서, 하나 이상의 피처리 S-D 웨이퍼를 이송하거나 및/또는 지연시키거나 할 수 있다. 다양한 실시예들에서, 피처리 S-D 웨이퍼는 사이트 검증, 프로시저 검증, 웨이퍼 검증, 특징부 검증, 이미지 검증, 라이브러리 검증, 프로세스 검증 웨이퍼, 또는 이들의 임의의 조합 웨이퍼일 수 있다. 피처리 S-D 웨이퍼는 S-D 평가 요소를 이용할 수 있을 경우에 하나 이상의 평가 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 하나 이상의 평가 서브시스템 내의 S-D 평가 요소로 이송될 수 있고, 피처리 S-D 웨이퍼는 S-D 평가 요소를 이용할 수 없는 경우에, 하나 이상의 평가 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 지연될 수 있다.
지연된 S-D 피처리 웨이퍼가 식별되었다면, 업데이트된 웨이퍼 데이터가 지연된 피처리 웨이퍼에 대해 결정될 수 있다. 제1 지연 기간 후에, 제1 평가 서브시스템 내의 하나 이상의 S-D 평가 요소에 대해 업데이트된 작동 상태 데이터가 결정될 수 있고, 하나 이상의 신규 가용 S-D 평가 요소가 업데이트된 작동 상태 데이터를 이용하여 식별될 수 있다. 신규 가용 S-D 평가 요소를 이용할 수 있다면, 지연된 피처리 S-D 웨이퍼는 하나 이상의 평가 서브시스템에 연결된 S-D 이송 서브시스템을 이용하여 하나 이상의 평가 서브시스템 내의 제1 신규 가용 S-D 평가 요소로 이송될 수 있다. 제1 S-D 평가 요소를 이용할 수 없다면, 제1 지연된 피처리 S-D 웨이퍼는 제1 처리 서브시스템에 연결된 하나 이상의 S-D 이송 서브시스템을 이용하여 제2 시간 동안 지연될 수 있다. 제2 시간 동안 지연된 후에 지연된 피처리 S-D 웨이퍼는 후처리될 수 있고, 후처리는 처리 정지, 처리 일시정지, 하나 이상의 웨이퍼를 재평가, 하나 이상의 웨이퍼를 재측정, 하나 이상의 웨이퍼를 재검사, 하 나 이상의 웨이퍼를 재공정, 하나 이상의 웨이퍼를 보관, 하나 이상의 웨이퍼를 세정, 하나 이상의 웨이퍼를 폐기하는 것, 또는 이들의 임의의 조합을 포함할 수 있다. 하나 이상의 웨이퍼는 S-D 이송 서브시스템 내의 이송 요소를 이용하여 소정의 시간 동안 지연될 수 있고, 이송 요소는 2개 이상의 웨이퍼를 지지하기 위한 수단을 포함할 수 있다.
계속해서 제1 예시적인 실시예에 대해 더 설명하면, 제2 이송 시퀀스가 제1 평가 웨이퍼 세트 내의 S-D 웨이퍼 각각마다 확립될 수 있다. 실시간 작동 상태는 평가 서브시스템(150) 내의 하나 이상의 제1 S-D 평가 요소(152)에 대해 확립될 수 있다. 작동 상태는 웨이퍼가 S-D 평가 요소(152)에 대해 이송될 때에 변경될 수 있다. 평가 서브시스템(150) 내의 제1 S-D 평가 요소들(152)에 대해 웨이퍼를 이송하기 위해 실시간 이송 시퀀스가 확립되어 이용될 수 있다. 대안으로, 검사 서브시스템(135) 내의 S-D 평가 요소(137)가 이용될 수 있다. 제1 개수의 제1 S-D 평가 요소를 이용할 수 있다면, 제1 개수의 제1 S-D 평가 웨이퍼 세트는 S-D 이송 서브시스템(101, 102)을 이용하여 평가 서브시스템(150) 내의 제1 개수의 제1 S-D 평가 요소(152)에 이송될 수 있다. 제1 S-D 평가 웨이퍼 세트 내의 다른 S-D 웨이퍼에 제1 S-D 평가 요소를 이용할 수 없다면, 제1 S-D 평가 웨이퍼 세트 내의 다른 S-D 웨이퍼는 S-D 이송 서브시스템(101, 102)을 이용하여 제2 시간 동안 지연될 수 있다. 예컨대, 제1 S-D 평가 웨이퍼 세트 내의 다른 S-D 웨이퍼는 S-D 이송 서브시스템(101, 102) 내의 하나 이상의 이송 요소(104)를 이용하여 제2 시간 동안 지연될 수 있다. 이송 요소(104)는 2개 이상의 웨이퍼를 지지하도록 구성될 수 있다. 제1 S-D 평가 웨이퍼 세트 내의 다른 S-D 웨이퍼는 제2 시간 후에 평가될 수 있다. 제2 다마신층을 위한 S-D 웨이퍼를 이송할 필요가 있을 경우 유사한 단계 세트를 이용할 수 있다. 예컨대, 제3 및 제4 이송 시퀀스를 이용할 수 있다.
도면 부호 735에서는 웨이퍼를 평가할 필요가 있는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 웨이퍼에 평가가 필요할 경우, 프로시저(700)는 도면 부호 740으로 이행될 수 있고, 웨이퍼에 평가가 필요하지 않을 경우 프로시저(700)는 도면 부호 745로 이행될 수 있다.
도면 부호 740에서는 하나 이상의 S-D 웨이퍼에서 하나 이상의 사이트가 선택될 수 있다. 다양한 실시예들에서는 그 사이트가, 사이트 검증 프로시저, 특징부 검증 프로시저, 이미지 검증 프로시저, 라이브러리 검증 프로시저, 프로세스 검증 프로시저, 또는 이들의 임의의 조합을 포함할 수 있는 S-D 프로시저에 이용될 수 있다. 사이트는 S-D 웨이퍼 상의 남아있는 사이트의 수로부터 선택될 수 있고, 그 사이트는 그와 연관된 미검증 또는 피검증 특징부를 가질 수 있다.
도면 부호 745에서, 하나 이상의 피처리 S-D 웨이퍼가 하나 이상의 선택된 사이트로부터의 데이터를 이용하여 평가될 수 있다. 예컨대, 제1 사이트는 가장 중요한 사이트일 수 있고, 일부 검증 결정은 그 제1 사이트만 이용하여 이루어질 수 있다. 신뢰도 데이터 및/또는 리스크 평가 데이터가 평가 프로시저에 이용될 수 있다. 예컨대, 미검증 데이터와 검증 데이터 간의 차이를 이용하여 그 선택된 사이트에 대해 하나 이상의 신뢰도 값이 확립될 수 있고, 하나 이상의 업데이트된 리스크 인자가 S-D 프로시저에 대해 확립될 수 있다.
또한, 하나 이상의 웨이퍼에 있는 추가 사이트로부터의 추가 신뢰도 데이터를 이용하여 업데이트된 신뢰도 값이 확립될 수 있고, 하나 이상의 웨이퍼에 있는 추가 사이트로부터의 추가 신뢰도 데이터를 이용하여 합계 리스크 인자가 확립되어 업데이트될 수 있다. 다른 리스크 평가 데이터도 이용될 수 있다. 다른 경우에, 하나 이상의 웨이퍼 상의 하나 이상의 사이트로부터의 신뢰도 값 및/또는 리스크 인자를 이용하여 검증 결정이 이루어질 수 있다. 신뢰도 값은 미처리 웨이퍼, 피처리 웨이퍼, 지연된 웨이퍼, 또는 이들의 임의의 조합에 대해 결정될 수 있다.
계속 제1 예시적인 실시예에 대해 더 설명하면, 제1 평가 프로시저는 제1 다마신층이 평가되는 중에 수행될 수 있고, 제2 평가 프로시저는 제2 다마신층이 평가되는 중에 수행될 수 있다. 제1 평가 프로시저 시에, 하나 이상의 제1 S-D 평가 프로시저가 수행될 수 있다. 제1 개수의 제1 S-D 평가 웨이퍼 세트는 제1 다마신 평가 프로시저를 이용하여 평가될 수 있고, 제1 세트의 피검증 웨이퍼가 확립될 수 있다. 제1 다마신 평가 프로시저는 제1 S-D 평가 웨이퍼 세트에 작성된 제1 S-D 다마신 특징부 세트를 평가하는데 이용될 수 있고, 제1 S-D 다마신 특징부 세트는 제1 S-D 평가 웨이퍼 세트의 각 웨이퍼에 있는 하나 이상의 사이트에 하나 이상의 검증 특징부를 포함할 수 있다. 제2 평가 프로시저 시에, 하나 이상의 S-D 제2 평가 프로시저가 수행될 수 있다. 제1 개수의 제2 S-D 평가 웨이퍼 세트는 제2 다마신 평가 프로시저를 이용하여 평가될 수 있고, 제2 피검증 웨이퍼 세트가 확립될 수 있다. 제2 다마신 평가 프로시저는 제2 세트의 S-D 평가 웨이퍼에 작성된 제2 S-D 다마신 특징부 세트를 평가하는데 이용될 수 있고, 제2 S-D 다마신 특징부 세트는 제2 S-D 평가 웨이퍼 세트의 각 웨이퍼에 있는 하나 이상의 사이트에서 하나 이상의 제2 검증 특징부를 포함할 수 있다.
제1 평가 프로시저 중에 및/또는 후에, 제2 S-D 처리 웨이퍼 세트가 확립될 수 있고, 제2 S-D 처리 웨이퍼 세트는 제1 세트의 피검증 웨이퍼의 하나 이상을 포함할 수 있다.
도면 부호 745에서, 추가 S-D 평가 웨이퍼가 필요할 시기를 결정하기 위한 질의가 수행될 수 있다. 추가 S-D 평가 웨이퍼에 처리가 필요할 경우, 프로시저(700)는 다시 도면 부호 740으로 이행될 수 있고, 추가 S-D 평가 웨이퍼에 처리가 필요하지 않을 경우, 프로시저(700)는 도면 부호 750으로 이행될 수 있다.
도면 부호 750에서는 추가 S-D 작성 웨이퍼가 필요할 시기를 결정하기 위한 질의가 수행될 수 있다. 추가 S-D 작성 웨이퍼에 처리가 필요할 경우, 프로시저(700)는 다시 도면 부호 720으로 이행될 수 있고, 추가 작성 웨이퍼에 처리가 필요하지 않을 경우, 프로시저(700)는 도면 부호 755로 이행될 수 있다. 또한, 추가 검증 데이터는 하나 이상의 추가 S-D 웨이퍼 상의 하나 이상의 사이트로부터 획득될 수 있다. 추가 신뢰도 값이 추가 S-D 웨이퍼 상의 추가 사이트에 대해 확립될 수 있다. 또한, 추가 리스크 인자도 추가 신뢰도 데이터를 이용하여 확립될 수 있다. 또한, S-D 프로시저를 검증할 경우, 나중에 처리되었던 지연된 S-D 웨이퍼로부터의 데이터가 평가될 수 있다.
도면 부호 755에서는 추가 S-D 및/또는 N-S-D 프로시저가 필요할 시기를 결정하기 위한 질의가 수행될 수 있다. 추가 S-D 및/또는 N-S-D 프로시저가 필요할 경우, 프로시저(700)는 다시 도면 부호 715로 이행될 수 있고, 추가 S-D 및/또는 N-S-D 프로시저를 필요로 하지 않을 경우, 프로시저(700)는 도면 부호 760으로 이행될 수 있다. 프로시저(700)는 도면 부호 760에서 끝난다.
일부 다단계 예에서는, 리소그래피 관련 및/또는 스캐너 관련 처리 요소가, S-D 및/또는 N-S-D일 수 있는 마스크층 증착 프로시저, 마스크층 노광 프로시저, 및/또는 현상 프로시저를 수행할 수 있고, S-D 평가 요소는 S-D 및/또는 N-S-D일 수 있는 마스크층 증착 프로시저, 마스크층 노광 프로시저, 및/또는 현상 프로시저를 검증하는데 이용될 수 있다. 또한, 하나 이상의 층은 에칭 관련 처리 요소를 이용하여 에칭될 수 있고, 에칭된 특징부는 하나 이상의 S-D 평가 요소를 이용하여 평가될 수 있다.
다른 다단계 예에서는, 하나 이상의 웨이퍼 상에 2중 다마신 프로시저가 수행될 수 있다. 2중 다마신 프로시저 시에, 제1 다마신 프로세스에 이어 제2 다마신 프로세스가 수행될 수 있다. 일부 실시예들에서는 비아 선(先) 트렌치 후(後)(VFTL : Via First Trench Last) 프로시저가 수행될 수 있다. 다른 실시예들에서는 트렌치 선 비아 후(TFVL) 프로시저가 수행될 수 있다. S-D 측정, 검사, 검증, 및/또는 평가 프로시저는 다마신 프로세스 전, 도중 및/또는 후에 수행될 수 있다. 대안으로, 하나 이상의 N-S-D 프로시저가 필요할 수도 있다. 예컨대, 제1 패터닝된 다마신층 상의 에칭된 특징부는 "비아 선" 또는 "트렌치 선" 에칭 프로시저가 수행된 후에 측정될 수 있다. 하나 이상의 S-D 데이터 수집(DC) 계획 및/또는 S-D 맵핑 애플리케이션이 이용될 수 있다. 대안으로, 상이한 프로시저가 이용될 수도 있다.
S-D 웨이퍼 두께 데이터 및/또는 웨이퍼 온도 데이터는, S-D 마스크(포토레지스트) 데이터를 작성하고, S-D 마스크 침지후 세정 및/또는 건조 데이터를 작성하며, S-D 마스크 현상 및/또는 베이킹 데이터를 작성하기 위해 리소그래피 프로시저 시에 이용될 수 있다. 또한, S-D 웨이퍼 두께 데이터 및/또는 웨이퍼 온도 데이터는 에칭 서브시스템(140)에 의해 S-D 에칭 및/또는 애싱 데이터를 작성하는데 이용될 수 있다. 예컨대, 그 데이터는 에칭 화학반응 데이터, 에칭 시간 데이터, 처리 가스 비율 데이터, 예상 종단점 시간, 히터 전력 데이터, 및/또는 RF 전력 데이터를 포함할 수 있다. 또한, S-D 웨이퍼 두께 데이터 및/또는 웨이퍼 온도 데이터는 열처리 서브시스템(130)에 의해 S-D 가열 및/또는 냉각 데이터를 작성하는데 이용될 수 있다. S-D 웨이퍼 두께 데이터 및/또는 웨이퍼 온도 데이터는 검사 서브시스템(135)에 의해 S-D 검사, 검증 및/또는 조사 데이터를 작성하는데 이용될 수 있다. 다른 예들에서, S-D 웨이퍼 두께 데이터 및/또는 웨이퍼 온도 데이터는 재공정 서브시스템(155)에 의해 S-D 재공정 프로시저를 작성하는데 이용될 수 있다.
도 8은 S-D 평가 라이브러리를 작성하기 위한 또 다른 예시적인 흐름도이다. 도시하는 프로시저(800)에는 다수의 단계들이 있다. 대안으로, 상이한 개수의 단계 및 상이한 시퀀스를 이용할 수도 있다.
도면 부호 810에서, 하나 이상의 S-D 웨이퍼가 하나 이상의 S-D 이송 시스템을 이용하여 수납될 수 있다. 대안으로, 하나 이상의 N-S-D 웨이퍼도 수납될 수 있다. 또한, 하나 이상의 웨이퍼에 대한 웨이퍼 데이터가 수신될 수 있다. 웨이퍼 데이터는 이력 데이터 및/또는 실시간 데이터를 포함할 수 있다. 대안으로, 웨이퍼는 상이한 서브시스템들에 의해 수납될 수도 있다.
도면 부호 815에서, 하나 이상의 S-D 이송 시스템을 이용하여 수납될 수 있는 하나 이상의 웨이퍼에 대해 S-D 웨이퍼 데이터 및/또는 N-S-D 웨이퍼 데이터가 결정될 수 있다. 웨이퍼 데이터는 S-D 및 N-S-D 웨이퍼의 세트를 확립하는데 이용될 수 있다. 다양한 예들에서, S-D 웨이퍼와 연관된 S-D 웨이퍼 데이터는 S-D, 칩 종속적, 생산품 종속적, 로케이션 종속적, 층 종속적, 웨이퍼 종속적, 다이 종속적, 또는 이들의 조합일 수 있다. 또한, 하나 이상의 S-D 처리 시퀀스가 웨이퍼에 대해 확립될 수 있고, S-D 처리 시퀀스는 S-D 웨이퍼 상태 데이터, 칩 종속적 웨이퍼 상태 데이터 및/또는 다이 종속적 웨이퍼 상태 데이터를 이용하여 확립될 수 있다.
도면 부호 820에서, 하나 이상의 S-D 웨이퍼가 S-D 이송 시스템을 이용하여 하나 이상의 S-D 처리 요소로 이송될 수 있다.
도면 부호 825에서, 하나 이상의 피처리 S-D 웨이퍼가 작성될 수 있다. 피처리 S-D 웨이퍼는 하나 이상의 S-D 작성 프로시저를 이용하여 하나 이상의 사이트에서 작성되었던 하나 이상의 S-D 라이브러리 관련 특징부를 그 위에 구비할 수 있다.
도면 부호 830에서는, 하나 이상의 S-D 작성 프로시저가 제대로 수행되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 작성 프로시저가 제대로 수행되었다면, 프로시저(800)는 단계 835로 이행될 수 있고, 하나 이상의 S-D 작성 프로시저가 제대로 수행되지 않았다면, 프로시저(800)는 단계 880으 로 이행될 수 있다. 예컨대, 툴 데이터, 챔버 데이터 및/또는 결함 데이터가 이용될 수 있다.
하나 이상의 S-D 평가 웨이퍼 세트가 하나 이상의 피처리 S-D 웨이퍼 세트를 이용하여 확립될 수 있다.
도면 부호 835에서는 하나 이상의 S-D 평가 웨이퍼 세트가 S-D 이송 시스템을 이용하여 하나 이상의 S-D 평가 요소로 이송될 수 있다. 또한, 하나 이상의 다른 S-D 평가 웨이퍼 세트는 S-D 이송 시스템을 이용하여 지연 및/또는 보관될 수 있다.
도면 부호 840에서, 하나 이상의 S-D 평가 프로시저는 하나 이상의 S-D 평가 요소에 이송되었던 하나 이상의 S-D 평가 웨이퍼를 이용하여 수행될 수 있다. 또한, 하나 이상의 S-D 평가 프로시저는, 지연되었다가 하나 이상의 S-D 평가 요소들이 이용 가능해질 때 그 요소들에 이송된 하나 이상의 S-D 평가 웨이퍼를 이용하여 수행될 수 있다.
일부 평가 프로시저 시에, 제1 S-D 평가 웨이퍼 상의 제1 사이트에서 S-D 라이브러리 관련 특징부를 평가함으로써 제1 S-D 평가 웨이퍼에 대해 제1 신뢰도 데이터가 확립될 수 있다. 제1 S-D 평가 웨이퍼에 대한 제1 신뢰도 데이터는 하나 이상의 제1 신뢰도 한계에 비교될 수 있고, 상이한 레벨의 신뢰도는 상이한 신뢰도 한계와 연관될 수 있다.
제1 신뢰도 한계가 충족될 경우, 제1 라이브러리 관련 기준 특징부는 그와 연관된 제1 레벨의 신뢰도를 갖는 고신뢰도 특징부로서 식별될 수 있고, 제1 S-D 평가 웨이퍼는 그와 연관된 제1 레벨의 신뢰도를 갖는 고신뢰도 웨이퍼로서 식별될 수 있으며, 고신뢰도 특징부 및 제1 S-D 평가 웨이퍼와 연관된 제1 라이브러리 관련 평가 데이터는 S-D 평가 라이브러리에 저장될 수 있다. 고신뢰도 특징부 및 S-D 평가 웨이퍼는 그와 연관된 하나 이상의 신뢰도 레벨을 가질 수 있다.
도면 부호 845에서는 하나 이상의 S-D 평가 프로시저가 제대로 수행되었는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 하나 이상의 S-D 평가 프로시저가 제대로 수행될 경우, 프로시저(800)는 단계 850으로 이행될 수 있고, 하나 이상의 S-D 평가 프로시저가 제대로 수행되지 않았다면, 프로시저(800)는 단계 880으로 이행될 수 있다. 예컨대, 툴 데이터, 챔버 데이터 및/또는 결함 데이터가 이용될 수 있다.
도면 부호 850에서는 하나 이상의 신뢰도 한계가 충족되지 않을 경우 하나 이상의 보정 조치가 수행될 수 있다.
도면 부호 855에서는 추가의 평가 웨이퍼에 평가가 필요하는지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가의 평가 웨이퍼에 평가가 필요할 경우, 프로시저(800)는 다시 단계 835로 이행될 수 있고, 추가의 평가 웨이퍼에 평가가 필요하지 않을 경우 프로시저(800)는 단계 860으로 이행될 수 있다.
도면 부호 860에서는 추가 작성 웨이퍼가 추가 처리에 이용 가능한지의 여부를 결정하기 위한 질의가 수행될 수 있다. 추가 작성 웨이퍼가 이용 가능할 경우, 프로시저(800)는 다시 단계 810으로 이행될 수 있고, 추가 작성 웨이퍼가 이용 불가능할 경우 프로시저(800)는 단계 870으로 이행될 수 있다. 프로시저(800)는 도면 부호 870에서 끝날 수 있다.
일부 예들에서는, 보정 조치를 적용하는 것은, a) 제1 S-D 평가 웨이퍼 상에 최대 개수의 평가 사이트를 결정하는 단계와, b) 제1 S-D 평가 웨이퍼 상에 최소 개수의 평가 사이트를 결정하는 단계와, c) 제1 S-D 평가 웨이퍼에 대한 제1 신뢰도 맵을 작성하는 단계와, d) 제1 S-D 평가 웨이퍼 상에 필수 평가 사이트의 개수를 결정하는 단계와, e) 제1 S-D 평가 웨이퍼 상에 신규 사이트를 선택하는 단계와, f) 신규 S-D 평가 프로시저를 이용하여 제1 S-D 평가 웨이퍼에 대해 신규 신뢰도 데이터를 확립하는 단계로서, 제1 S-D 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부가 평가되는 것인 확립 단계와, g) 제1 S-D 평가 웨이퍼에 대한 제1 신뢰도 맵에 신규 사이트를 추가하는 단계와, h) 신규 신뢰도 데이터를 제1 S-D 평가 웨이퍼에 대한 신규 제1 신뢰도 한계에 비교하는 단계와, i) 신규 제1 신뢰도 한계가 충족될 경우, 제1 S-D 평가 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부를 그와 연관된 신규 제1 레벨의 신뢰도를 갖는 신규 고신뢰도 특징부로서 식별하고, 제1 S-D 평가 웨이퍼를 그와 연관된 신규 제1 레벨의 신뢰도를 갖는 고신뢰도 웨이퍼로서 식별하며, 신규 고신뢰도 특징부 및 제1 S-D 평가 웨이퍼와 연관된 제1 라이브러리 관련 평가 데이터를 S-D 평가 라이브러리에 저장하는 단계와, j) 신규 제1 신뢰도 한계가 충족되지 않을 경우, 제1 S-D 평가 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부를 그와 연관된 신규 신뢰도 데이터를 갖는 신규 미검증 특징부로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 단계와, k) 제1 S-D 평가 웨이퍼 상의 필 수 사이트의 개수가 0보다 많을 경우 단계 e)-j)를 반복하는 단계와, l) 제1 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0일 때 제1 S-D 평가 웨이퍼의 평가를 정지하는 단계를 포함할 수 있다.
다른 예들에서, 보정 조치를 적용하는 것은, a1) S-D 이송 시스템을 이용하여 추가 S-D 웨이퍼를 수납하는 단계와, b1) S-D 이송 시스템을 이용하여 추가 S-D 웨이퍼를 추가의 제1 S-D 처리 요소로 이송하는 단계와, c1) 하나 이상의 추가 피처리 S-D 웨이퍼를 작성하는 단계로서, 하나 이상의 S-D 라이브러리 관련 특징부가 제1 S-D 작성 프로시저를 이용하여 각각의 추가 S-D 피처리 웨이퍼 상의 하나 이상의 사이트에 작성되는 것인 작성 단계와, d1) 추가 피처리 S-D 웨이퍼를 이용하여 추가 S-D 평가 웨이퍼를 결정하는 단계와, e1) S-D 이송 시스템을 이용하여 추가 S-D 평가 웨이퍼를 추가의 제1 S-D 평가 요소로 이송하는 단계와, f1) 추가의 제1 S-D 평가 프로시저를 이용하여 추가 S-D 평가 웨이퍼에 대해 추가의 제1 신뢰도 데이터를 확립하는 단계로서, 추가 S-D 평가 웨이퍼 상의 제1 사이트에 있는 S-D 라이브러리 관련 특징부가 평가되는 것인 확립 단계와, g1) 추가의 제1 신뢰도 데이터를 추가 S-D 웨이퍼에 대한 추가의 제1 신뢰도에 비교하는 단계와, h1) 추가의 제1 신뢰도 한계가 충족될 경우, 추가 S-D 평가 웨이퍼 상의 제1 사이트에 있는 S-D 라이브러리 관련 특징부를 그와 연관된 추가의 제1 레벨의 신뢰도를 갖는 추가 고신뢰도 특징부로서 식별하고, 추가 S-D 평가 웨이퍼를 그와 연관된 신규 제1 레벨의 신뢰도를 갖는 고신뢰도 웨이퍼로서 식별하며, 추가 고신뢰도 특징부 및 추가 S-D 평가 웨이퍼와 연관된 추가 라이브러리 관련 평가 데이터를 S-D 평가 라이브러 리에 저장하는 단계와, i1) 추가의 제1 신뢰도 한계가 충족되지 않을 경우, 제2 보정 조치를 적용하는 단계를 포함할 수 있다.
또한, 제2 보정 조치를 적용하는 것은, a2) 추가 S-D 평가 웨이퍼 상에 최대 개수의 평가 사이트를 결정하는 단계와, b2) 추가 S-D 평가 웨이퍼 상에 최소 개수의 평가 사이트를 결정하는 단계와, c2) 추가 S-D 평가 웨이퍼에 대한 제1 신뢰도 맵을 작성하는 단계와, d2) 추가 S-D 평가 웨이퍼 상에 필수 평가 사이트의 개수를 결정하는 단계와, e2) 추가 S-D 평가 웨이퍼 상에 신규 사이트를 선택하는 단계와, f2) 추가의 신규 S-D 평가 프로시저를 이용하여 추가 S-D 평가 웨이퍼에 대해 신규의 추가 신뢰도 데이터를 확립하는 단계로서, 추가 S-D 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부가 평가되는 것인 확립 단계와, g2) 추가 S-D 평가 웨이퍼에 대한 제1 신뢰도 맵에 신규 사이트를 추가하는 단계와, h2) 신규의 추가 신뢰도 데이터를 추가 S-D 평가 웨이퍼에 대한 신규 제1 신뢰도에 비교하는 단계와, i2) 추가의 신규 제1 신뢰도 한계가 충족될 경우, 추가의 S-D 평가 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부를 그와 연관된 추가의 신규 제1 레벨의 신뢰도를 갖는 추가의 신규 고신뢰도 특징부로서 식별하고, 제1 S-D 평가 웨이퍼를 그와 연관된 추가의 신규 제1 레벨의 신뢰도를 갖는 고신뢰도 웨이퍼로서 식별하며, 추가의 신규 고신뢰도 특징부 및 추가 S-D 평가 웨이퍼와 연관된 신규의 추가 라이브러리 관련 평가 데이터를 S-D 평가 라이브러리에 저장하는 단계와, j2) 추가의 신규 제1 신뢰도 한계가 충족되지 않을 경우, 추가 S-D 평가 웨이퍼 상의 신규 사이트에 있는 S-D 라이브러리 관련 특징부를 그와 연관된 신규 신뢰 도 데이터를 갖는 추가의 신규 미검증 특징부로서 식별하고, 필수 사이트의 개수를 1씩 줄이며, 시찰한 사이트의 개수를 1씩 늘리는 식별 단계와, k2) 추가 S-D 평가 웨이퍼 상의 필수 사이트의 개수가 0보다 많을 경우 단계 e2)-j2)를 반복하는 단계와, l2) 필수 사이트의 개수가 0일 때 추가 S-D 평가 웨이퍼의 평가를 정지하는 단계를 포함할 수 있다.
일부 예들에서, 제1 사이트는 가장 중요한 사이트들 중 하나일 수 있고, 하나 이상의 S-D 웨이퍼에서의 제1 사이트 데이터로부터의 결과에 기초하여 결정이 이루어질 수 있다.
S-D 및/또는 N-S-D 프로시저로부터의 데이터는, 측정, 검사, 검증 및/또는 평가 프로세스를 변경하고, 새로운 측정, 검사, 검증 및/또는 평가 사이트를 확립해야 할 시기를 결정하는데 이용될 수 있다. 또한, 웨이퍼의 하나 이상의 영역에서 신뢰도 값이 낮을 경우, 또는 에러가 발생하였을 경우, 하나 이상의 신규 사이트가 확립될 수 있다. 게다가, 신뢰도 맵 상의 값이 특정 프로세스에 대해 일정하게 높은 경우 및/또는 정확도 값이 특정 프로세스에 대해 허용 가능한 한도 내에서 일정할 경우, 적은 개수의 사이트를 이용하고 각 웨이퍼마다 처리량 시간을 저감할 수 있는 새로운 측정, 검사, 검증 및/또는 평가 계획이 확립될 수 있다.
일부의 경우, 전체 웨이퍼에 대한 데이터는 S-D 프로시저 시에 계산될 수 있다. 대안으로, 데이터는 웨이퍼의 일부에 대해 계산 및/또는 예측될 수 있다. 예컨대, 그 일부는 하나 이상의 반경 영역 및/또는 사분면을 포함할 수 있다. 에러 상태는 하나 이상의 피측정 값 및/또는 피계산/피예측 값이 웨이퍼에 대해 확립된 정 확도 한계 외부에 있는 경우에 선언될 수 있다. 일부 에러는 S-D 정확도 향상 프로시저를 이용하여 제거될 수 있다. 다른 에러는 서브시스템 및/또는 컨트롤러에 의해 해결될 수 있다.
웨이퍼의 몇몇 부분은 상이한 신뢰도 값을 갖는 생산품을 구비할 수 있으며, S-D 처리는 생산품 개발 사이클 내의 다수의 상이한 단계에서 S-D 웨이퍼로부터 최대개의 생산품을 획득하는데 이용될 수 있다.
허용 값 및/또는 한계는 프로세스 결과에 연관될 수 있고 및/또는 하나 이상의 프로세스에서 허용 가능한 변동을 식별하기 위해 다른 맵을 이용할 수 있다. 또한, 프로세스 결과 및/또는 다른 맵은 프로세스 시퀀스에서의 하나 이상의 프로세스에 대한 신뢰도 데이터 및/또는 리스크 인자를 확립하는데 이용될 수 있다. 예컨대, 프로세스 결과 및/또는 다른 맵은 챔버 세정 프로시저에 응답하여 변할 수 있고, S-D 프로시저는 챔버 세정 후에 발생할 수 있는 "첫번째 웨이퍼" 문제를 개선 및/또는 해소하는데 이용될 수 있다.
일부 실시예에서, S-D 데이터는 층 제조 정보를 포함할 수 있고, 그 층 제조 정보는 상이한 층마다 상이할 수 있다. 신규 S-D 층 데이터는 S-D 프로시저 시에 취득될 수 있고, 프로세스 레시피를 업데이트 및/또는 최적화하는데 이용될 수 있으며, 프로세스 모델을 업데이트 및/또는 최적화하는데 이용될 수 있고, 프로파일 데이터를 업데이트 및/또는 최적화하는데 이용될 수 있다. 또한, S-D 프로시저는 신규 S-D 층 데이터를 공장 시스템 및/또는 다른 서브시스템 내의 컨트롤러에 송신할 수 있다. 예컨대, 신규 S-D 데이터는 신규 웨이퍼 두께 데이터 및/또는 균일성 데이터를 포함할 수 있다.
S-D 프로시저는 사이트 ID, 칩 ID, 다이 ID, 생산품 ID, 서브시스템 ID, 시간, 웨이퍼 ID, 슬롯 ID, 로트 ID, 레시피, 및/또는 패터닝된 구조물 ID 등의 콘텍스트 정보를, 웨이퍼 데이터를 구성하고 인덱싱하기 위한 수단으로서 이용할 수 있다.
또한, S-D 모델링 프로시저는 웨이퍼 모델, 정확도 모델, 레시피 모델, 광학 특성 모델, 구조물 모델, FDC 모델, 예측 모델, 신뢰도 모델, 측정 모델, 에칭 모델, 증착 모델, 첫번째 웨이퍼 결과 모델, 챔버 모델, 툴 모델, 드리프트 모델, 지연 시간 모델, 전기적 성능 모델, 디바이스 모델, 또는 이들의 임의의 조합을 작성, 개선 및/또는 이용할 수 있다.
S-D 프로시저는 또한, 이력 데이터, 웨이퍼 데이터, 정확도 데이터, 프로세스 데이터, 광학 특성 데이터, 구조물 데이터, FDC 데이터, 예측 데이터, 신뢰도 데이터, 측정 데이터, 에칭 데이터, 챔버 데이터, 툴 데이터, 드리프트 데이터, 전기적 성능 데이터, 디바이스 데이터, 또는 이들의 임의의 조합을 이용할 수 있다.
S-D 파라미터는 S-D 층 정보를 포함할 수 있다. S-D 두께 데이터는 리소그래피 프로세스 후에 제공될 수 있고, S-D 프로시저는 이 정보를 스캐너 서브시스템에 전달하는데 이용될 수 있다. 또한, 두께 데이터는 증착 프로세스 후에 제공될 수 있고, S-D 프로시저는 이 정보를 다른 서브시스템에 전달하는데 이용될 수 있다. S-D 웨이퍼 데이터를 실시간으로 측정 및/또는 처리 서브시스템에 피드포워드함으로써, 향상된 웨이퍼 처리가 제공될 수 있다. 층 두께에 영향을 미치는 재료 변동 및/또는 프로세스 변동은 사이트마다, 웨이퍼마다 그리고 로트마다 변할 수 있다. 두께 변동은 웨이퍼 전체에 걸쳐 균일하지 않은 증착 프로세스 때문일 수 있고, 이것은 시간에 따른 처리에서 챔버 간 편차 및 챔버 드리프트를 포함할 수 있다. 두께 변동은 광학 특성 변동 및/또는 온도 변동을 일으킬 수 있다. S-D 프로시저는 이들 변동을 감소 및/또는 해소하는데 이용될 수 있다.
시스템 및/또는 서브시스템 데이터는 셋업 데이터, 구성 데이터, 이력 데이터, 입력 데이터, 출력 데이터, 우선순위 데이터, 지연 데이터, 결함 데이터, 응답 데이터, 에러 데이터, 피드포워드 데이터, 피드백 데이터, 통과 데이터, 내부 데이터, 외부 데이터, 최적화 데이터, 상태 데이터, 타이밍 데이터, 프로세스 결과 데이터 및/또는 피측정 데이터를 포함할 수 있는 N-S-D 및/또는 S-D 데이터를 포함할 수 있다.
일부 실시예에서는, S-D 웨이퍼 데이터 및/또는 웨이퍼 데이터가 바닥부 CD 데이터, 중간부 CD 데이터, 정상부 CD 데이터, 각도 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 예컨대, 서브시스템은 에칭 툴을 포함할 수 있고, 에칭 툴은 S-D 신규 웨이퍼 및/또는 프로세스 상태 데이터를 이용하여, 웨이퍼 상에 딥 트렌치를 에칭할 때 이용할 에칭 시간을 결정하고, 웨이퍼 상에 2중 다마신 구조물을 에칭할 때 이용할 에칭 시간을 결정하며, 웨이퍼 상에 게이트 구조물을 에칭할 때 이용할 에칭 시간을 결정할 수 있다. 또한, 실시간 처리 데이터는 계산된 CD, 계산된 깊이 및/또는 계산된 측벽각을 포함할 수 있다.
S-D 제어 애플리케이션은 처리 요소가 웨이퍼를 수납할 준비가 될 때까지 웨이퍼가 처리 요소에 이송되는 것을 막는데 이용될 수 있다. 또한, S-D 제어 애플리케이션은 수신 수단(recipient)이 S-D 메시지 및/또는 데이터를 이용할 준비가 될 때까지 S-D 메시지 및/또는 데이터가 송신되는 것을 금지하는데 이용될 수 있다. S-D 제어 애플리케이션은 웨이퍼, 계산, 프로세스 및/또는 측정을 지연시키기 위해 지연 시간 변수를 이용할 수 있다. 예컨대, S-D 데이터를 웨이퍼에 대한 계산, 처리 및/또는 측정에 이용하기 전에 그 S-D 데이터가 도달하는 것을 막기 위해 지연 시간이 이용될 수 있다. 지연 시간은, 웨이퍼 데이터, 시퀀싱 데이터, 제어 데이터 및/또는 이력 데이터를 이용함으로써 결정될 수 있다. 지연 시간 변수는 하나 이상의 컨트롤러(114, 119, 124, 129, 134, 139, 144, 149, 154, 159 및 195)에 의해 이용될 수 있다.
또한, 판정 및/또는 개입 규칙이 S-D 프로시저와 연관되어 있는 경우, 이 규칙들이 실행될 수 있다. 개입 및/또는 판정 규칙 실행 프로시저 및/또는 한계는 이력 프로시저에, 고객의 경험에, 또는 프로세스 지식에 기초하여 수행될 수 있거나, 호스트 컴퓨터로부터 취득될 수 있다. 규칙이 S-D FDC 프로시저에 이용되어 경보 상태, 에러 상태, 결함 상태, 및/또는 경고 상태에 응답하는 방법을 결정할 수 있다. FDC S-D 프로시저는 결함을 우선순위화 및/또는 분류, 시스템 성능을 예측, 예방 점검 스케쥴을 예측, 점검 고장시간을 저감, 및 시스템 내의 소모성 부품의 서비스 수명을 연장할 수 있다.
서브시스템은 경보/결함의 성질에 따라, 경보/결함에 응답하여 다양한 조치를 취할 수 있다. 경보/결함에 대해 취해진 조치는 콘텍스트 기반일 수 있으며, 그 콘텍스트는 S-D일 수 있고, 규칙, 시스템/프로세스 레시피, 챔버 타입, 식별 번호, 로드 포트 번호, 카세트 번호, 로트 번호, 제어 잡(job) ID, 프로세스 잡 ID, 슬롯 번호 및/또는 데이터의 타입에 의해 지정될 수 있다.
하나 이상의 S-D 시뮬레이션 애플리케이션은 입력 상태, 프로세스 특징, 및 프로세스 모델에 기초하여 웨이퍼에 대한 피예측 데이터를 계산하는데 이용될 수 있다. S-D 계측 모델은 65 nm 이하의 설계 노드와 연관된 소형의 구조물 및/또는 특징부를 예측 및/또는 계산하는데 이용될 수 있다. 예컨대, 예측 모델은 프로세스 화학반응 모델, 챔버 모델, EM 모델, SPC 차트, PLS 모델, PCA 모델, FDC 모델, 및 다변수 분석(MVA) 모델을 포함할 수 있다.
구조물의 물리적 치수가 저하됨에 따라, 보다 정확한 데이터를 얻기 위하여 웨이퍼의 많은 비율에 대해 실시간 S-D 처리가 요구될 수 있다. 또한, 일부 웨이퍼들은 신규 S-D 프로세스를 검증하고 및/또는 기존의 S-D 프로세스를 평가하는데 이용될 수 있다. 신규 S-D 프로세스가 개발 및/또는 검증중일 경우, 프로세스 결과가 변할 수 있고, 평가 또는 검증 프로시저가 웨이퍼의 더 많은 비율에 대해 수행될 수 있다. 평가 또는 검증 프로시저가 수행될 경우, S-D 프로시저를 이용할 수 있다.
평가 사이트를 이용할 시기 및 방법을 확립하기 위해 S-D 처리 시퀀스가 실행되어 이용될 수 있다. S-D 처리 시퀀스는 이력 데이터베이스에 저장된 데이터에 기초하여 반도체 제조업자에 의해 지정될 수 있다. 예컨대, 반도체 제조업자는 SEM 측정을 수행할 경우에 웨이퍼 상의 수개의 사이트를 이력에 따라 선택할 수 있고, 평가 데이터를, SEM 툴, TEM 툴 및/또는 FIB 툴을 이용하여 측정된 데이터와 상관시키기를 원한다. 또한, 이용된 평가 사이트의 개수는 그 프로세스가 고품질 생산품 및/또는 디바이스를 계속 생산하고 그럴 것이라고 제조업자가 점점 신뢰하게 될 때 줄어들 수 있다.
평가/검사/측정 프로시저는 시간 소모적일 수 있으며 처리 시스템의 처리량에 영향을 미칠 수 있다. 프로세스 실행 시에, 제조업자는 웨이퍼를 작성하여 평가하는데 사용된 시간을 최소화하기를 원할 수 있다. S-D 프로시저는 콘텍스트 기반형일 수 있고, 상이한 S-D 프로시저가 웨이퍼의 콘텍스트에 기초하여 수행될 수 있다. 예컨대, 하나 이상의 웨이퍼가 측정 및/또는 검사될 수 없고, S-D 프로시저는 평가 계획에 포함된 평가 사이트의 서브세트를 이용하여 수행될 수 있다.
반도체 프로세스의 개발 부분 동안, S-D 및/또는 N-S-D 이력 데이터는 나중 이용을 위해 작성 및 저장될 수 있다. S-D 이력 데이터는 수개의 사이트에서의 데이터를 포함할 수 있다.
프로시저가 수행되기 전, 도중, 및/또는 후에, 시뮬레이션 및/또는 예측 데이터가 작성 및/또는 수정될 수 있다. 시뮬레이션 및/또는 예측 데이터는 S-D 데이터 및/또는 N-S-D 데이터를 포함할 수 있다. 신규 시뮬레이션 및/또는 예측 데이터는 계산, 모델 및/또는 결과를 업데이트하기 위해 실시간으로 이용될 수 있다. 또한, 프로시저가 수행되기 전, 도중, 및/또는 후에, 신뢰도 데이터는 시뮬레이션 및/또는 예측 데이터에 대해 작성 및/또는 수정될 수 있다.
S-D 이력 데이터는 GOF 데이터, 온도 데이터, 두께 데이터, 비아 관련 데이 터, CD 데이터, CD 프로파일 데이터, 재료 관련 데이터, 트렌치 관련 데이터, 측벽각 데이터, 차동 폭, 또는 이들의 임의의 조합을 포함할 수 있다. 또한, 데이터는 기타 중에서 사이트 결과 데이터, 사이트 수 데이터, CD 측정 플래그 데이터, 측정 사이트 수 데이터, 좌표 X 데이터, 좌표 Y 데이터를 포함할 수 있다.
S-D 프로시저는 서브시스템에 의해 레시피 및/또는 모델을 실시간으로 조정하여 메모리 구조물, 2중 다마신 구조물, 트렌치, 바이 및 멀티 게이트 트랜지스터 등의 3차원 구조물을 처리하는데 이용될 수 있다. 또한, S-D 프로시저는 서브시스템에 의해 평가, 검사, 검증, 및/또는 측정 레시피 및/또는 모델을 실시간으로 조정하여 3차원 구조물을 평가, 검사, 검증, 및/또는 측정하는데 이용될 수 있다. 3차원 구조물은 두께 변동의 S-D 감도를 높일 수 있으며, 복수의 방향으로 구조물 모델링 및/또는 측정을 필요로 할 수 있다. 평가 서브시스템은 처리량 문제를 일으킬 수 있고 S-D 프로시저에서 샘플링 위치 및 구조물을 동적으로 조정함으로써 더 높은 측정 처리량을 얻을 수 있다.
S-D 반도체 처리 시스템에서는 복수의 처리 및/또는 측정 툴이 존재할 수 있으므로 툴 매칭이 중요한 문제일 수 있다. 어떤 경우에는 내부툴로부터의 데이터가 외부 및/또는 기준 툴로부터의 데이터와 매칭되어야 한다. S-D 프로시저는 툴 간의 데이터 매칭에 이용될 수 있고 서브시스템이 필요로 한 캘리브레이션 조정을 작성하는데 이용될 수 있다. 이들 조정은 R2R 계산으로서 이루어질 수 있다.
하나 이상의 S-D 프로시저는 S-D 데이터 교환 및 핸드세이킹을 위해 양방향 통신을 가능하게 하는데 이용될 수 있다. S-D 프로시저는 현재 상태 및 구성에 대 해 서브시스템, 컨트롤러 및/또는 S-D 프로시저에 질의할 수 있다. S-D 프로시저는 각 디바이스마다 고유 파라미터를 구별하고 그 정보를 각 디바이스에 분배함으로써 서브시스템 내의 복수의 디바이스와 통신하는데 이용될 수 있다. 예컨대, S-D 파라미터는 컨트롤러, 처리 툴, 계측 툴, OES 툴, RF 센서, 카메라, 광학 센서, CCD, 종단점 검출기, 온도 센서 및 깊이 센서에 송신될 수 있다.
S-D 데이터를 이용하여 웨이퍼가 서브시스템에서 처리될 경우, 피처리 웨이퍼는 웨이퍼에 대한 웨이퍼 상태 데이터를 변경함으로써 피처리 S-D 웨이퍼로서 식별될 수 있고, 그 웨이퍼와 연관된 처리 데이터는 신규 S-D 처리 데이터로서 식별 및/또는 저장될 수 있다. N-S-D 데이터를 이용하여 웨이퍼가 서브시스템에서 처리될 경우, 피처리 웨이퍼는 웨이퍼에 대한 웨이퍼 상태 데이터를 변경함으로써 피처리 N-S-D 웨이퍼로서 식별될 수 있고, 그 웨이퍼와 연관된 처리 데이터는 신규 N-S-D 처리 데이터로서 식별 및/또는 저장될 수 있다.
웨이퍼 데이터는 서브시스템에서 작성, 강화 및/또는 수정될 수 있는 피처리 웨이퍼에 대한 모델링 데이터를 포함할 수 있다. S-D 모델링 데이터가 이용될 경우, 신규 모델 및 연관된 모델 파라미터는 S-D 모델 및 데이터로서 식별 및 저장될 수 있다. N-S-D 데이터가 이용될 경우, 모델 및 연관된 모델 파라미터는 N-S-D 모델 및 데이터로서 식별 및 저장될 수 있다. 예컨대, S-D 모델 및 데이터는 S-D 라이브러리 및/또는 데이터베이스에 저장될 수 있고, N-S-D 모델 및 데이터는 N-S-D 라이브러리 및/또는 데이터베이스에 저장될 수 있다. S-D 또는 N-S-D 데이터를 이용하여 시뮬레이션이 수행될 경우, 시뮬레이션 모델 및/또는 시뮬레이션 데이터가 식별 및/또는 저장될 수 있다.
S-D 프로시저는 웨이퍼 프로파일 데이터를 작성, 이용, 변경 및/또는 검증할 수 있다. 예컨대 치수가 점점 작아짐에 따라, 정렬, 측정 및/또는 처리 시에 S-D 웨이퍼 프로파일 데이터는 더 많은 임팩트를 가질 수 있고, 웨이퍼 프로파일 데이터는 반경 데이터, 굴곡 데이터, 특징부 데이터, 온도 데이터 및/또는 두께 데이터를 포함할 수 있다.
일부 서브시스템에서는, S-D 및/또는 N-S-D 웨이퍼 데이터가 오염 레벨, 오염 가능성, 및/또는 기체 방출 속도(out-gassing rate)를 결정하는데 이용될 수 있다. 다른 서브시스템에서는, 증착 프로시저 시의 노즐 위치, 정렬 및/또는 측정 프로시저 시의 프로브 위치가 결정될 수 있다. 챔버에서 웨이퍼에 의해 방사된 에너지량이 결정될 수있다. 예컨대, 이용된 광학 소자, 노즐 및/또는 프로브는 포지션 민감형, 로케이션 민감형, 사이트 민감형 및/또는 온도 민감형일 수 있다. 또한, 웨이퍼에 대한 광학 특성 및/또는 그 광학 특성에 대한 칼리브레이션 인자가 결정될 수 있다. 예컨대, 피처리 마스킹 및/또는 재료층의 특징이 결정될 수 있다.
시스템 데이터는 웨이퍼 상태 정보, 위치 정보, 측정 정보, 벤더(vendor) 정보, 설계 정보, 칩 레이아웃 정보, 라이브러리 정보, 툴 정보, 검색 정보, 또는 이들의 임의의 조합을 포함할 수 있다.
일부 실시예들에서, 하나 이상의 서브시스템은 하나 이상의 웨이퍼를 수납할 수 있고, 연관된 웨이퍼 데이터를 수신할 수 있다. 서브시스템은 실질적으로 동시에 하나 이상의 웨이퍼를 처리하기 위해 다수의 처리 요소를 포함할 수 있다. 예컨 대, 검사 서브시스템은 실질적으로 동시에 하나 이상의 웨이퍼를 검사하기 위한 2개 이상의 검사 요소/모듈을 포함할 수 있다. 서브시스템과 연관된 컨트롤러는 S-D 프로시저 시퀀스를 이용하여 어떤 웨이퍼가 각각의 처리 요소에 의해 처리될 것인지를 결정할 수 있다. 서브시스템에 대해 내부 및/또는 외부에 있는 이송 요소는 웨이퍼를 이동 및/또는 보관하는데 이용될 수 있다. 또한, 하나 이상의 서브시스템 내의 하나 이상의 처리 요소는 하나 이상의 웨이퍼를 비실시간으로 처리하는데 이용될 수 있다. 현재의 웨이퍼는 각 처리 요소마다 식별될 수 있고, 웨이퍼 데이터는 각 웨이퍼마다 확립될 수 있으며, 웨이퍼 데이터는 실시간 웨이퍼 데이터 및/또는 이력 웨이퍼 데이터를 포함할 수 있다. 처리 시퀀스는 웨이퍼가 외부 측정 및/또는 처리 툴에 이송될 수 있는 내부 및/또는 외부 프로시저를 포함할 수 있다. 웨이퍼 로트 내의 다른 웨이퍼는 다른 서브시스템 또는 다른 IM 툴에 이송될 수 있다.
본 발명의 또 다른 실시예들은 S-D 이미지 라이브러리를 작성하는 방법을 제공하며, 이 방법은, 패터닝된 마스크층 내의 및/또는 상의 제1 S-D 특징부로부터 제1 S-D 검사 이미지를 획득하는 단계로서, 이 제1 S-D 특징부는 웨이퍼 상의 제1 미리 결정된 사이트에서 형성되고, S-D 검사 서브시스템은 제1 S-D 검사 이미지를 생성하는 것인 획득 단계와, 제1 S-D 특징부의 가설 이미지에 대응하는 제1 S-D 피시뮬레이션 이미지를 계산하는 단계와, S-D 검사 이미지와 제1 S-D 피시뮬레이션 이미지 간의 제1 차이를 계산하는 단계와, 그 제1 차이를 제1 S-D 이미지 작성 기준에 비교하는 단계와, 제1 S-D 이미지 작성 기준이 충족되면, 가설 이미지를 이용 해서 제1 S-D 특징부를 식별하고 제1 S-D 검사 이미지 및 연관된 사이트 데이터를 S-D 검사 이미지 라이브러리에 저장하거나, 또는 제1 S-D 이미지 작성 기준이 충족되지 않으면, 제1 보정 조치를 적용하는 단계를 포함할 수 있다.
또한, 하나 이상의 추가 프로시저가 수행될 수 있다. 추가 프로시저가 수행될 경우, 추가 처리 데이터가 작성될 수 있다. 일부 실시예들에서는 신규 S-D 메시지 및/또는 데이터는 타이밍 문제 때문에 이용 불가능할 수 있다.
일부 실시예에서는, 하나 이상의 S-D 프로시저를 이용하여 하나 이상의 리소그래피 서브시스템에 의해 웨이퍼가 처리될 수 있고, S-D 웨이퍼 두께 데이터는 하나 이상의 리소그래피 서브시스템에 의해 실시간으로 생성될 수 있다. 그리고 웨이퍼는 에칭 서브시스템에 이송될 수 있고 리소그래피 서브시스템의 하나 이상은 S-D 메시지 및/또는 데이터를 에칭 서브시스템에 송신할 수 있다. 에칭 서브시스템은 S-D 메시지를 수신 및 처리할 수 있고 S-D 웨이퍼 두께 데이터를 추출할 수 있다. 에칭 서브시스템은 S-D 웨이퍼 두께 데이터를 이용하여, 에칭 레시피, 에칭 시간 및/또는 에칭 화학반응을 포함할 수 있는 S-D 에칭 데이터를 확립할 수 있다. 다음으로, 에칭 서브시스템은 S-D 에칭 데이터를 이용하여 웨이퍼를 에칭할 수 있다. 또한, S-D 층 두께 데이터가 에칭 툴에 제공될 경우, 계산 시간은 단축될 수 있고 정확도는 향상될 수 있다.
S-D 및/또는 N-S-D 프로시저 및/또는 결과에 대해 정확도 값이 결정될 수 있고, 그 정확도 값은 정확도 한계에 비교될 수 있으며, 정확도 값이 정확도 한계를 충족하지 못한다면 개선 프로시저가 수행될 수 있다. 대안으로, 다른 프로시저가 수행될 수 있고, 다른 사이트가 이용될 수 있거나, 다른 웨이퍼가 이용될 수 있다.
개선 프로시저가 이용될 경우, 개선 프로시저는 쌍선형(bilinear) 보정, 라그랑지(Lagrange) 보정, 3차 스플라인(Cubic Spline) 보정, 에이트켄(Aitken) 보정, 가중 평균 보정, 다변수 이차(multi-quadratic) 보정, 쌍입방(bi-cubic) 보정, 투란(Turran) 보정, 웨이브렛 보정, 베셀(Bessel) 보정, 에버릿(Everett) 보정, 유한 차분 보정, 가우스 보정, 허미트(Hermite) 보정, 뉴턴의 분할 차분(divided difference) 보정, 접촉(osculating) 보정, 시레(Thiele's) 보정 알고리즘, 또는 이들의 임의의 조합을 이용할 수 있다.
일부 실시예들에서는, 완료 시간 및/또는 실행 시간이 S-D 및/또는 N-S-D 프로시저에 대해 결정될 수 있다. 완료 시간 및/또는 실행 시간은 업데이트된 레시피를 확립하기에 충분한 시간이 있는지의 여부를 결정하기 위해 측정 및/또는 처리 개시 시작에 비교될 수 있다. 웨이퍼는, 완료 시간 및/또는 실행 시간이 처리 개시 시간보다 적다면 업데이트된 측정 레시피를 이용하여 측정 및/또는 처리될 수 있거나, 또는 완료 시간 및/또는 실행 시간이 처리 개시 시간보다 적지 않다면 업데이트되지 않은 측정 레시피를 이용하여 측정 및/또는 처리될 수 있다.
S-D 처리 시퀀스는 시간에 따라 변할 수 있다. S-D 처리 시퀀스가 개발중일 경우, 처리량은 신규 프로세스에 대해 신뢰도 값이 낮고 리스크 인자가 높기 때문에 원하는 것보다 낮을 수 있고, 신뢰도 값을 상승시키고 리스크 인자를 낮추기 위해 추가 측정 단계가 필요할 수 있다. 개별 및/또는 외부 측정 툴을 이용하여 웨이퍼가 측정될 경우, 추가 시간이 필요하다.
S-D 시스템, 서브시스템 및/또는 프로시저를 개발할 경우, 안정적인 S-D 프로시저가 먼저 개발된 다음에 안정적인 S-D 최적화될 수 있다. S-D 프로시저는 프로세스 안정화, 프로세스 강화, 및 프로세스 최적화 시에 이용될 수 있다.
안정화 시퀀스 중에, 최적화 시퀀스가 확립되기 전에 신뢰도 값을 상승시키고 및/또는 리스크 인자를 저하시키기 위해 하나 이상의 추가 S-D 측정 단계가 이용될 수 있다. 지연 시간은 프로세스 수행 전 S-D 데이터 대기에 이용될 수 있다.
패터닝된 에칭층으로부터의 S-D 데이터에 비교하는데 이용될 수 있는 패터닝된 마스크층에 대한 S-D 데이터를 얻기 위해 에칭 프로세스가 수행되기 전에, 하나 이상의 S-D 측정이 수행될 수 있다. 또한, 증착 프로세스 후에 S-D 측정이 이루어질 수 있으며, 이들 S-D 측정치는 S-D 데이터 또는 이력 데이터로서 실시간으로 피드포워드될 수 있는 S-D 두께 데이터, 균일성 데이터 및/또는 광학 특성 데이터를 제공할 수 있다. S-D 웨이퍼 데이터는 처리 툴, 측정 툴, 정렬 툴, 이송 툴, 검사 툴 및/또는 패턴 인식 툴로부터 얻어질 수 있다.
일부 제조 환경에서, S-D 프로시저는 이전에 이용 불가능하였던 S-D 데이터를 제공할 수 있고, 고속 처리를 제공할 수 있으며, 보다 철저한 프로세스의 이해를 제공할 수 있고, 유해한 방법을 대체할 수 있으며, 고신뢰도 웨이퍼를 제공할 수 있고, 고속의 이송 속도를 제공할 수 있으며, 균일성을 향상시킬 수 있고, 리스크 시 웨이퍼의 수를 줄일 수 있으며, 프로세스 및/또는 툴 일탈(excursion)에 더 짧은 반응 시간을 제공할 수 있다.
전술한 바와 같이, 집적 회로에 이용된 현재의 제조 방법론 및 공장 설계에 서는, 단독형 플랫폼으로서 배치되거나, 대개 2000 피트 이상의 거리씩 떨어진 통상 면적에서 그룹화되는 다수의 툴을 필요로 한다. 그렇기 때문에, 이들 툴을 운용하기 위한 설비 역시 공장 전역에 넓게 분포되어 있어야 한다. 이들 플랫폼이 필요로 하는 통상의 기능은 기판 코팅(접착, BARC, TARC, 레지스트, 상면 코팅), 베이크(도포 후 베이크 및 노광 후 베이크), 촬상(노광), 계측(오버레이, 임계 치수, 결함 및 막 두께), 침지 처리를 이용한 노광전과 노광후 세정, 에칭(하위층 박막에 패턴 형성) 및 에칭 후 세척(폴리머 및 다른 부산물 제거)이다. 32 nm 이하의 게이트 길이를 목표로 하는 기술은 반도체 디바이스의 단일 활성층을 완성하기 위해 이들 작업의 다수가 반복되는 것, 즉 2중 BARC, 2중 또는 3중 패터닝, 2중 또는 3중 촬상 등을 필요로 한다. 이들 제조 "섬" 사이에 집적 회로를 이동시키기 위하여, FOUP(Forward Opening Unified Pods)를 개별 플랫폼들 간에 IC를 이동시키는데 이용할 수 있다.
프로세스를 가속화하고 양품의 300 mm, 450 nm 또는 다른 직경의 웨이퍼를 제공하기 위하여, 코팅, 베이크, 노광, 현상, 모든 검사, 에칭, 에칭후 세정, 웨이퍼 폐기, 및 웨이퍼 재공정을 비롯한 전체 제조 프로세스를 단일 플랫폼에서 이상적으로 완료할 수 있는데, 이 단일 플랫폼은 그 내부의 공통 제어 소프트웨어에 의해 제어되며, 바로 제1 프로세스 단계로 연결될 수 있는 에칭 후 결과에 대한 피드포워드 및/또는 피드백워드 APC(고급 프로세스 제어)를 포함할 수 있다. APC는 데이터를 피드포워드(동일 웨이퍼에 대해 추후 프로세스를 트레이닝하기 위해) 또는 데이터를 피드백워드(현재 웨이퍼에 대해 현재 프로세스를 트레이닝하거나 추후 웨 이퍼에 대해 현재 프로세스를 트레이닝하기 위해)함으로써, 에칭 후 CD(임계 치수), 오버레이 및 결함 정보가 거의 즉시 평가 및 작용되게 할 수 있다.
또한, 피드포워드 및/또는 피드백워드 APC 시스템 및 연관된 S-D 이송 서브시스템은 사이트에 따른 기술과 함께 이용될 수 있다. 예를 들면, S-D 이송 서브시스템은 특정 처리 요소에 웨이퍼를 이송하는데 이용될 수 있고, APC 조정은 웨이퍼의 특정 사이트에 대해 이루어질 수 있다. 또한, 제조 프로세스 및 이송 시퀀스는 웨이퍼의 특정 사이트에서 수행된 프로세스로부터 수집된 사이트에 따른 정보에 기초하여 개발될 수 있다.
또한, 제조 프로세스 및 이송 시퀀스는 FAB 생산성의 대손실 없이 종래의 프로세스로 불가능한 것인 FAB(제조 플랜트) 이용에의 최소 영향으로 웨이퍼 "미리 이송"(즉, 로트 수납 전에 하나의 완성 웨이퍼를 처리 및 평가하기)을 이용하여 개발 및 완성될 수 있다. 예컨대, S-D 이송 시퀀스를 이용하여, 웨이퍼 "미리 이송"은 메인 로트가 업스트림 처리되는 동안 에칭 및 검사를 통해 처리될 수 있다. 이에, 전체 처리량에의 최소 영향으로 업스트림 제조 프로세스를 조정할 수 있다.
이에, 박막 처리(또는 다른 업스트림 프로세스)로부터의 웨이퍼는 플랫폼의 일단부에 입고될 수 있고, 양품의 완성 웨이퍼는 다른 타단부로 출고될 수 있다. 다시 말해, FOUP는 처리용 웨이퍼를 일단부에 전달할 것이고, 새로운 FOUP가 타단부에서 수납할 것이다. 전술한 제조 "섬"을 이용한 시스템과 대조적으로, 모든 웨이퍼가 포토리소그래피 시스템에 적재된 후에 중간 전달 FOUP가 더 이상 필요하지 않을 것이다.
이들 필요한 프로세스를 완료하기 위하여, 플랫폼은 웨이퍼를 처리하기 위해 접착부터 에칭후 세정 검사까지 필요한 모든 장비를 포함한 다수의 모듈을 필요로 할 수 있다. 각 모듈이 제거 가능하므로, 툴이 "재부팅"되기 위해서 대체가 필요하지 않다. 이에 계획하지 않은 모듈 레벨 툴 문제로 인한 손실 생산성 시간을 복구 및 최소화하는 것이 용이할 것이다. 또한, 모듈을 제거할 수 있는 기본 블록 설계에 의해, 장시간의 고장 시간 및 툴의 고가의 제거 및 재설치 없이, 필요하다면 전용 서브 어셈블리(모듈)에 대한 충분한 공간이 추가되거나 제거될 것이다.
웨이퍼가 모듈 간에 이동하므로, 웨이퍼는 레일 타입의 시스템 상에서 로봇에 의해 관리될 수 있다. 웨이퍼를 이동시키는데 이용된 로봇은 중심축에서 회전하는 2중 또는 3중 핀셋 평형 시스템을 포함할 수 있다. 웨이퍼를 위치마다 이동시키는 이들 로봇은 향상된 프로세스 다용성을 달성하는 프로세스 단계의 가능한 모든 구성 및 고속의 사이클 시간을 고려하여 스캐너의 한쪽 사이드 상의 레일 위에서 이동할 수 있다. "사이드 이송" 시스템은 이에 웨이퍼를 현상후 IM으로부터 다중 리소그래피(2중 패터닝 또는 리소그래피) 또는 재공정을 위한 코팅 프로세스의 시작으로 다시 용이하게 이동할 수 있어, 노광 툴의 이용을 높일 수 있다. 또한, 단일 웨이퍼를 현상후 IM으로부터 다중 리소그래피를 위한 포토리소그래피 시스템의 입력부로 다시 이동시킬 수 있는 "사이드 이송" 시스템에 의해 다중 패터닝이 가능할 수 있다. 재공정을 필요로 하는 웨이퍼는 재공정 프로세스를 포토리소그래피 시스템의 선(先)리소그래피 부분에서 이용할 수 있다면 이런 식으로 처리될 수도 있다. 그러므로, 웨이퍼는 FOUP에 재로드될 필요가 없고, 사람에 의해 또는 오버헤드 자동화에 의해 툴마다 이동할 필요가 없어 웨이퍼 수준의 결함도가 저감된다.
또한, 전술한 레일 시스템을 이용하면 시스템이 연속으로 웨이퍼를 처리하지 않아도 된다. 전체 프로세스를 구성하는 모듈은 그 모듈 세트에 대해 기능하는 하나 이상의 로봇에 의해 그룹화될 수 있다. 또한, 로트는 웨이퍼의 재공정 또는 폐기에 대해 대기할 필요가 없다. 양품 웨이퍼가 라인의 단부에서 처리될 수 있는 한편, 재공정 웨이퍼의 "차일드 로트"가 형성, 처리되어 에칭 후 메인 로트에 합류될 수 있다. 이 동일 개념은 양품 웨이퍼를 메인 로트에서 지연시키는 일 없이 주요 로트에서 폐기 웨이퍼를 가려내는데 이용될 수 있다. 비표준(non-compliant) 웨이퍼의 재공정은 즉각적이며 자동화될 수 있다. 이에, 전체 제조, 검사 및 제어 기능은 출력을 제어 및 모니터링하고 프로세스 입력을 실시간으로 조정하는 공통 소프트웨어와 단일 툴로 통합될 수 있다.
본 발명의 일 실시예에는, 웨이퍼를 처리하기 위해 접착부터 에칭후 세정 검사까지 모든 필수 장비를 포함하는 모듈이 포함되어 있다. 모듈은 도 9에 도시하는 바와 같이 순서대로 정렬될 필요는 없다.
도 9에 도시하는 바와 같이, 박막 처리(또는 기타 업스트림 프로세스)로부터의 웨이퍼는 제1 단부로 입고되고, 검증된 완성 웨이퍼는 다른 단부로 출고된다. 예를 들면, 모듈 1과 3은 레지스트 회전기, 베이크 플레이트, 침지전 세정 프로세스를 포함할 수 있다. 모듈 2는 높은 기체 방출 화학반응을 관리하여 결함도를 최소화할 수 있다. 그렇다면, 모듈 2는 웨이퍼를 오염시킬 수 있는 것인 "더러운" 베이크 프로세스를 포함하게 된다. 따라서, 본 발명은 이들 "더러운" 프로세스를 툴 의 나머지로부터 격리시켜, 결함도를 낮추고 가능한 오염을 최소화할 수 있다. 주위 결함 레벨을 모니터링하기 위해 대기중 입자 카운터가 웨이퍼 경로 및 중요한 프로세스 영역에 구축될 수 있다. 그리고 검출이 경보 상태를 규정할 수 있다. 또한, 로봇 웨이퍼 핸들러는 웨이퍼 입구로부터 모듈 4에 있는 스캐너까지 다중 레일 타입의 시스템에 탑승할 수 있다. 스캐너는 그 자체 내부의 웨이퍼 핸들러를 가질 수 있다. 그리고 웨이퍼는 노광 후에, 침지후 세정, PEB, BWEE 및 현상을 위해 다중 레일 시스템 상의 또 다른 로봇에 의해 모듈 5와 6으로 픽업되게 된다. 이어서 웨이퍼는 오버레이, 결함 및 임계 치수 체크를 위해 IM 모듈 7(촬상 모듈)로 진행할 수 있다.
이 때, 웨이퍼에 결함이 있다면 웨이퍼는 재공정될 수 있고, 재공정될 수 없다면 폐기될 수 있으며, 2중 또는 3중 패터닝을 위해 오버헤드 핸들링 또는 단일 웨이퍼 "사이드 트랙"을 통해 다시 이송될 수 있다. 또한, 포토리소그래피 시스템 PAB, PEB, 스캐너 또는 현상 프로세스에 대한 APC 조정은 이 시점에서의 계측 결과에 기초하여 이루어질 수 있다. 그러나, APC 조정 및 사이트 종속적 APC 조정은 그 처리의 임의의 시점에서 이루어질 수도 있다. 예를 들면, 본 예에서는 IM 모듈 7이 웨이퍼에 관한 웨이퍼 정보를 촬상하는 제1 모듈이며, 웨이퍼 상의 특정 사이트는 프로세스의 임의의 단계로부터 수집될 수 있다. 예컨대, 모듈 4에 있는 스캐너는 웨이퍼에서 수행된 프로세스에 관한 정보, 또는 웨이퍼의 소정 사이트에서 수행된 프로세스에 관한 정보를 제공할 수 있다. 이에, APC 조정은 웨이퍼 상의 소정의 사이트에 따라 이루어질 수 있으며, 그 프로세스의 다양한 소스로부터의 정보를 이용 하여 이루어질 수 있다.
또한, 에칭 프로세스는 그 자체의 내부 핸들러(모듈 8)에서 수행될 수 있다. 또한, 에칭후 세정기(모듈 9)와 최종 IM 툴(모듈 10)이 포함된다. 최종 IM은 필요하다면, 임계 치수, 결함 및 오버레이 특징부를 포함하게 된다. 이 시점에서 양품 및 불량품 웨이퍼가 구별된다. 정확한 완전 APC는 레지스트 포토리소그래피 시스템 PAB, PEB, 노광 툴, 또는 포토리소그래피 시스템 디벨로퍼 레시피를 구동하는 에칭후 임계 치수 데이터에 의해 구현될 수 있다.
이상, 발명의 소정의 실시예들에 대해서만 상세하게 설명하였지만, 당업자라면 본 발명의 신규한 교시 및 장점으로부터 실질적으로 벗어나지 않고 그 실시예들로부터 다수의 변형예가 가능함을 용이하게 이해할 것이다. 따라서, 그러한 모든 변형예는 본 발명의 범위 내에 포함되는 것이다.
이에, 본 설명은 본 발명을 한정하는 것이 아니며, 발명의 구성, 동작 및 작용은 본 명세서에 상세하게 개시하는 실시예들의 변형 및 변화가 가능하다는 이해로 기재되어 있다. 이에, 이상의 상세한 설명은 어떤 식으로도 본 발명을 한정하는 것으로 이해되거나 의도되지 않으며, 그보다 본 발명의 범위는 이하의 청구범위에 의해 정해지는 것이다.

Claims (47)

  1. 복수의 웨이퍼를 처리하는 방법에 있어서,
    상기 복수의 웨이퍼를 처리 시스템에 의해 수납하는 단계로서, 상기 처리 시스템은 사이트 종속(S-D : Site-Dependent) 및 사이트 비종속인(N-S-D : Non-Site-Dependent) 서브시스템을 포함하며, 각각의 웨이퍼는 그와 연관된 웨이퍼 데이터를 갖고, 상기 웨이퍼 데이터는 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 포함하는 것인 웨이퍼 수납 단계와,
    상기 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 제1 S-D 웨이퍼 세트를 확립하는 단계와,
    상기 제1 S-D 웨이퍼 세트에 대한 제1 S-D 처리 시퀀스를 결정하는 단계로서, 상기 제1 S-D 웨이퍼 세트는 상기 제1 S-D 처리 시퀀스를 이용하여 제1 S-D 서브시스템에서 처리되며, 상기 제1 S-D 처리 시퀀스를 확립하는 데에 웨이퍼 상태 데이터가 이용되는 것인 시퀀스 결정 단계와,
    상기 제1 S-D 웨이퍼 세트를 상기 제1 S-D 서브시스템 내의 하나 이상의 제1 S-D 처리 요소에 이송하는 단계로서, 상기 하나 이상의 제1 S-D 처리 요소를 결정하는 데에 상기 제1 S-D 처리 시퀀스가 이용되는 것인 이송 단계
    를 포함하는 처리 방법.
  2. 제1항에 있어서,
    상기 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 제1 N-S-D 웨이퍼 세트를 확립하는 단계와,
    상기 제1 N-S-D 웨이퍼 세트에 대한 제1 N-S-D 처리 시퀀스를 결정하는 단계로서, 상기 제1 N-S-D 웨이퍼 세트는 상기 제1 N-S-D 처리 시퀀스를 이용하여 제1 N-S-D 서브시스템에서 처리되며, 상기 제1 N-S-D 처리 시퀀스를 확립하는 데에 웨이퍼 상태 데이터가 이용되는 것인 시퀀스 결정 단계와,
    상기 제1 N-S-D 웨이퍼 세트를 상기 제1 N-S-D 서브시스템 내의 하나 이상의 제1 N-S-D 처리 요소에 이송하는 단계로서, 상기 하나 이상의 제1 N-S-D 처리 요소를 결정하는 데에 상기 제1 N-S-D 처리 시퀀스가 이용되는 것인 이송 단계
    를 더 포함하는 처리 방법.
  3. 제2항에 있어서,
    상기 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 다른 N-S-D 웨이퍼 세트를 확립하는 단계와,
    상기 다른 N-S-D 웨이퍼 세트에 대한 다른 N-S-D 처리 시퀀스를 결정하는 단계로서, 상기 다른 N-S-D 웨이퍼 세트는 상기 다른 N-S-D 처리 시퀀스를 이용하여 다른 N-S-D 서브시스템에서 처리되며, 상기 다른 N-S-D 처리 시퀀스를 확립하는 데에 웨이퍼 상태 데이터가 이용되는 것인 시퀀스 결정 단계와,
    상기 다른 N-S-D 웨이퍼 세트를 상기 다른 N-S-D 서브시스템 내의 하나 이상의 다른 N-S-D 처리 요소에 이송하는 단계로서, 상기 하나 이상의 다른 N-S-D 처리 요소를 결정하는 데에 상기 다른 N-S-D 처리 시퀀스가 이용되는 것인 이송 단계
    를 더 포함하는 처리 방법.
  4. 제1항에 있어서,
    상기 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 다른 S-D 웨이퍼 세트를 확립하는 단계와,
    상기 다른 S-D 웨이퍼 세트에 대한 다른 S-D 처리 시퀀스를 결정하는 단계로서, 상기 다른 S-D 웨이퍼 세트는 상기 다른 S-D 처리 시퀀스를 이용하여 다른 S-D 서브시스템에서 처리되며, 상기 다른 S-D 처리 시퀀스를 확립하는 데에 웨이퍼 상태 데이터가 이용되는 것인 시퀀스 결정 단계와,
    상기 다른 S-D 웨이퍼 세트를 상기 다른 S-D 서브시스템 내의 하나 이상의 다른 S-D 처리 요소에 이송하는 단계로서, 상기 하나 이상의 다른 S-D 처리 요소를 결정하는 데에 상기 다른 S-D 처리 시퀀스가 이용되는 것인 이송 단계
    를 더 포함하는 처리 방법.
  5. 제1항에 있어서,
    상기 제1 S-D 웨이퍼 세트를 이용하여 상기 제1 S-D 처리 시퀀스가 수행되기 전에, 도중에, 및/또는 후에 제1 S-D 서브시스템 처리 데이터를 수집하는 단계와,
    상기 웨이퍼 데이터 및/또는 상기 제1 S-D 서브시스템 처리 데이터를 이용하여 상기 제1 S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대한 제1 S-D 신뢰도 데 이터를 확립하는 단계와,
    상기 제1 S-D 신뢰도 데이터, 상기 S-D 신뢰도 데이터, 상기 N-S-D 신뢰도 데이터, 또는 이들의 임의의 조합을 이용하여 추가 S-D 웨이퍼 세트를 확립하는 단계와,
    하나 이상의 추가 S-D 처리 요소를 결정하는데 이용되는 추가 S-D 처리 시퀀스로, 상기 추가 S-D 웨이퍼 세트를 추가 서브시스템 내의 하나 이상의 추가 S-D 처리 요소에 이송하는 단계
    를 더 포함하는 처리 방법.
  6. 제5항에 있어서, 상기 제1 S-D 신뢰도 데이터를 확립하는 단계는,
    상기 제1 S-D 서브시스템 처리 데이터를 이용하여 상기 제1 S-D 웨이퍼 세트 내의 제1 S-D 웨이퍼에 대한 제1 S-D 신뢰도 값을 확립하는 단계와,
    상기 제1 S-D 웨이퍼에 대한 제1 S-D 신뢰도 값을 제1 S-D 신뢰도 한계에 비교하는 단계와,
    상기 제1 S-D 신뢰도 한계가 충족되면 상기 제1 S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 제1 S-D 신뢰도 한계가 충족되지 않으면 제1 S-D 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  7. 제6항에 있어서, 상기 제1 S-D 보정 조치를 적용하는 단계는,
    상기 제1 S-D 서브시스템 처리 데이터를 이용하여 상기 제1 S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 S-D 신뢰도 값을 확립하는 단계와,
    하나 이상의 추가 S-D 웨이퍼에 대한 S-D 신뢰도 값을 추가의 제1 S-D 신뢰도 한계에 비교하는 단계와,
    하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되면 상기 제1 S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되지 않으면 상기 확립 단계와 상기 비교 단계를 정지하는 단계
    를 포함하는 것인 처리 방법.
  8. 제2항에 있어서,
    상기 제1 N-S-D 웨이퍼 세트를 이용하여 상기 제1 N-S-D 처리 시퀀스가 수행되기 전에, 도중에, 및/또는 후에 제1 N-S-D 서브시스템 처리 데이터를 수집하는 단계와,
    상기 웨이퍼 데이터 및/또는 상기 제1 N-S-D 서브시스템 처리 데이터를 이용하여 상기 제1 N-S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대한 제1 N-S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제1 N-S-D 신뢰도 데이터, 상기 S-D 신뢰도 데이터, 상기 N-S-D 신뢰도 데이터, 또는 이들의 임의의 조합을 이용하여 추가 N-S-D 웨이퍼 세트를 확립하는 단계와,
    하나 이상의 추가 N-S-D 처리 요소를 결정하는데 이용되는 추가 N-S-D 처리 시퀀스로, 상기 추가 N-S-D 웨이퍼 세트를 추가 서브시스템 내의 하나 이상의 추가 N-S-D 처리 요소에 이송하는 단계
    를 더 포함하는 처리 방법.
  9. 제8항에 있어서, 상기 제1 N-S-D 신뢰도 데이터를 확립하는 단계는,
    상기 제1 N-S-D 서브시스템 처리 데이터를 이용하여 상기 제1 N-S-D 웨이퍼 세트 내의 제1 N-S-D 웨이퍼에 대한 제1 N-S-D 신뢰도 값을 확립하는 단계와,
    상기 제1 N-S-D 웨이퍼에 대한 제1 N-S-D 신뢰도 값을 제1 N-S-D 신뢰도 한계에 비교하는 단계와,
    상기 제1 N-S-D 신뢰도 한계가 충족되면 상기 제1 N-S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 제1 N-S-D 신뢰도 한계가 충족되지 않으면 제1 N-S-D 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  10. 제9항에 있어서, 상기 제1 N-S-D 보정 조치를 적용하는 단계는,
    상기 제1 N-S-D 서브시스템 처리 데이터를 이용하여 상기 제1 N-S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 N-S-D 신뢰도 값을 확립하는 단계와,
    하나 이상의 추가 N-S-D 웨이퍼에 대한 N-S-D 신뢰도 값을 추가의 제1 N-S-D 신뢰도 한계에 비교하는 단계와,
    하나 이상의 추가의 제1 N-S-D 신뢰도 한계가 충족되면 상기 제1 N-S-D 웨이 퍼 세트를 계속 처리하거나, 또는 상기 하나 이상의 추가의 제1 N-S-D 신뢰도 한계가 충족되지 않으면 상기 확립 단계와 상기 비교 단계를 정지하는 단계
    를 포함하는 것인 처리 방법.
  11. 제3항에 있어서,
    상기 다른 N-S-D 웨이퍼 세트를 이용하여 상기 다른 N-S-D 처리 시퀀스가 수행되기 전에, 도중에, 및/또는 후에 다른 N-S-D 서브시스템 처리 데이터를 수집하는 단계와,
    상기 웨이퍼 데이터 및/또는 상기 다른 N-S-D 서브시스템 처리 데이터를 이용하여 상기 다른 N-S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대한 다른 N-S-D 신뢰도 데이터를 확립하는 단계와,
    상기 다른 N-S-D 신뢰도 데이터, 상기 S-D 신뢰도 데이터, 상기 N-S-D 신뢰도 데이터, 또는 이들의 임의의 조합을 이용하여 추가 N-S-D 웨이퍼 세트를 확립하는 단계와,
    하나 이상의 추가 N-S-D 처리 요소를 결정하는데 이용되는 추가 N-S-D 처리 시퀀스로, 상기 추가 N-S-D 웨이퍼 세트를 추가 서브시스템 내의 하나 이상의 추가 N-S-D 처리 요소에 이송하는 단계
    를 더 포함하는 처리 방법.
  12. 제11항에 있어서, 상기 다른 N-S-D 신뢰도 데이터를 확립하는 단계는,
    상기 다른 N-S-D 서브시스템 처리 데이터를 이용하여 상기 다른 N-S-D 웨이퍼 세트 내의 제1 N-S-D 웨이퍼에 대한 제1 N-S-D 신뢰도 값을 확립하는 단계와,
    상기 제1 N-S-D 웨이퍼에 대한 제1 N-S-D 신뢰도 값을 제1 N-S-D 신뢰도 한계에 비교하는 단계와,
    상기 제1 N-S-D 신뢰도 한계가 충족되면 상기 다른 N-S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 제1 N-S-D 신뢰도 한계가 충족되지 않으면 제1 N-S-D 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  13. 제12항에 있어서, 상기 제1 N-S-D 보정 조치를 적용하는 단계는,
    상기 다른 N-S-D 서브시스템 처리 데이터를 이용하여 상기 다른 N-S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 N-S-D 신뢰도 값을 확립하는 단계와,
    상기 다른 N-S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 N-S-D 신뢰도 값을 추가 제1 N-S-D 신뢰도 한계에 비교하는 단계와,
    하나 이상의 상기 추가 제1 N-S-D 신뢰도 한계가 충족되면 상기 다른 N-S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 하나 이상의 추가의 제1 N-S-D 신뢰도가 충족되지 않으면 상기 확립 단계와 상기 비교 단계를 정지하는 단계
    를 포함하는 것인 처리 방법.
  14. 제4항에 있어서,
    상기 다른 S-D 웨이퍼 세트를 이용하여 상기 다른 S-D 처리 시퀀스가 수행되기 전에, 도중에, 및/또는 후에 다른 S-D 서브시스템 처리 데이터를 수집하는 단계와,
    상기 웨이퍼 데이터 및/또는 상기 다른 S-D 서브시스템 처리 데이터를 이용하여 상기 다른 S-D 웨이퍼 세트 내의 하나 이상의 웨이퍼에 대한 다른 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 다른 S-D 신뢰도 데이터, 상기 S-D 신뢰도 데이터, 상기 N-S-D 신뢰도 데이터, 또는 이들의 임의의 조합을 이용하여 추가 S-D 웨이퍼 세트를 확립하는 단계와,
    하나 이상의 추가 S-D 처리 요소를 결정하는데 이용되는 추가 S-D 처리 시퀀스로, 상기 추가 S-D 웨이퍼 세트를 추가 서브시스템 내의 하나 이상의 추가 S-D 처리 요소에 이송하는 단계
    를 더 포함하는 처리 방법.
  15. 제14항에 있어서, 상기 다른 S-D 신뢰도 데이터를 확립하는 단계는,
    상기 다른 S-D 서브시스템 처리 데이터를 이용하여 상기 다른 S-D 웨이퍼 세트 내의 제1 S-D 웨이퍼에 대한 제1 S-D 신뢰도 값을 확립하는 단계와,
    상기 제1 S-D 웨이퍼에 대한 제1 S-D 신뢰도 값을 제1 S-D 신뢰도 한계에 비교하는 단계와,
    상기 제1 S-D 신뢰도 한계가 충족되면 상기 다른 S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 제1 S-D 신뢰도 한계가 충족되지 않으면 제1 S-D 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  16. 제15항에 있어서, 상기 제1 S-D 보정 조치를 적용하는 단계는,
    상기 다른 S-D 서브시스템 처리 데이터를 이용하여 상기 다른 S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 S-D 신뢰도 값을 확립하는 단계와,
    상기 다른 S-D 웨이퍼 세트 내의 하나 이상의 추가 웨이퍼에 대한 S-D 신뢰도 값을 추가의 제1 S-D 신뢰도 한계에 비교하는 단계와,
    상기 하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되면 상기 다른 S-D 웨이퍼 세트를 계속 처리하거나, 또는 상기 하나 이상의 추가의 제1 S-D 신뢰도 한계가 충족되지 않으면 상기 확립 단계와 상기 비교 단계를 정지하는 단계
    를 포함하는 것인 처리 방법.
  17. 제1항에 있어서, 상기 제1 S-D 처리 시퀀스는, 하나 이상의 코팅 프로시저, 하나 이상의 에칭 프로시저, 하나 이상의 열처리 프로시저, 하나 이상의 노광 프로시저, 하나 이상의 산화 프로시저, 하나 이상의 질화 프로시저, 하나 이상의 현상 프로시저, 하나 이상의 리소그래피 프로시저, 하나 이상의 스캐너 관련 프로시저, 하나 이상의 측정 프로시저, 하나 이상의 검사 프로시저, 하나 이상의 평가 프로시 저, 하나 이상의 시뮬레이션 프로시저, 하나 이상의 예측 프로시저, 하나 이상의 재공정 프로시저, 하나 이상의 보관 프로시저, 하나 이상의 이송 프로시저, 하나 이상의 로드락(load lock) 프로시저, 하나 이상의 세정 프로시저, 또는 이들의 임의의 조합 중 적어도 하나를 포함하는 것인 처리 방법.
  18. 제1항에 있어서, 상기 제1 S-D 서브시스템은, 하나 이상의 코팅 서브시스템, 하나 이상의 에칭 서브시스템, 하나 이상의 열처리 서브시스템, 하나 이상의 노광 서브시스템, 하나 이상의 산화 서브시스템, 하나 이상의 질화 서브시스템, 하나 이상의 현상 서브시스템, 하나 이상의 리소그래피 서브시스템, 하나 이상의 스캐너 관련 서브시스템, 하나 이상의 측정 서브시스템, 하나 이상의 검사 서브시스템, 하나 이상의 평가 서브시스템, 하나 이상의 시뮬레이션 서브시스템, 하나 이상의 예측 서브시스템, 하나 이상의 재공정 서브시스템, 하나 이상의 보관 서브시스템, 하나 이상의 이송 서브시스템, 하나 이상의 로드락 서브시스템, 하나 이상의 세정 서브시스템, 또는 이들의 임의의 조합 중 적어도 하나를 포함하는 것인 처리 방법.
  19. 제1항에 있어서, 상기 제1 S-D 처리 요소는, 하나 이상의 코팅 처리 요소, 하나 이상의 에칭 처리 요소, 하나 이상의 열처리 요소, 하나 이상의 노광 처리 요소, 하나 이상의 산화 처리 요소, 하나 이상의 질화 처리 요소, 하나 이상의 현상 처리 요소, 하나 이상의 리소그래피 처리 요소, 하나 이상의 스캐너 관련 처리 요소, 하나 이상의 측정 처리 요소, 하나 이상의 검사 처리 요소, 하나 이상의 평가 처리 요소, 하나 이상의 시뮬레이션 처리 요소, 하나 이상의 예측 처리 요소, 하나 이상의 재공정 처리 요소, 하나 이상의 보관 처리 요소, 하나 이상의 이송 처리 요소, 하나 이상의 로드락 처리 요소, 하나 이상의 세정 처리 요소, 또는 이들의 임의의 조합 중 적어도 하나를 포함하는 것인 처리 방법.
  20. 제1항에 있어서, 상기 복수의 웨이퍼는, 반도체 재료, 탄소 재료, 유전체 재료, 유리 재료, 세라믹 재료, 금속성 재료, 산화된 재료, 마스크 재료, 평탄화 재료, 또는 이들의 임의의 조합 중 적어도 하나를 포함하는 것인 처리 방법.
  21. 복수의 웨이퍼를 처리하는 방법에 있어서,
    상기 복수의 웨이퍼를 처리 시스템 내의 사이트 비종속적 이송 서브시스템에 의해 수납하는 단계로서, 상기 처리 시스템은 사이트 종속적(S-D : Site-Dependent) 및 사이트 비종속인(N-S-D : Non-Site-Dependent) 서브시스템을 포함하며, 각각의 웨이퍼는 그와 연관된 웨이퍼 데이터를 갖고, 상기 웨이퍼 데이터는 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 가지며, 적어도 하나의 웨이퍼는 그 위에 하나 이상의 평가 구조물을 갖는 것인 웨이퍼 수납 단계와,
    상기 S-D 신뢰도 데이터 및/또는 N-S-D 신뢰도 데이터를 이용하여 제1 S-D 측정 웨이퍼 세트를 확립하는 단계로서, 상기 제1 S-D 측정 웨이퍼 세트 내의 각 웨이퍼는 그 위에 하나 이상의 평가 구조물을 갖고, 상기 제1 S-D 측정 웨이퍼 세트는 N-S-D 이송 서브시스템으로부터 S-D 이송 서브시스템으로 이송되는 것인 확립 단계와,
    상기 제1 S-D 측정 웨이퍼 세트에 대한 제1 S-D 측정 프로시저를 결정하는 단계로서, 상기 제1 S-D 측정 웨이퍼 세트는 상기 제1 S-D 측정 프로시저를 이용하여 제1 S-D 측정 서브시스템에서 처리되며, 상기 제1 S-D 측정 프로시저를 확립하는 데에 상기 웨이퍼 데이터가 이용되는 것인 프로시저 결정 단계와,
    상기 S-D 이송 서브시스템을 이용하여 상기 제1 S-D 측정 웨이퍼 세트를 상기 제1 S-D 서브시스템 내의 하나 이상의 제1 S-D 측정 관련 요소에 이송하는 단계로서, 상기 하나 이상의 제1 S-D 측정 관련 요소를 결정하는 데에 제1 S-D 이송 시퀀스, 제1 S-D 처리 시퀀스, 상기 제1 S-D 측정 프로시저, 또는 이들의 임의의 조합이 이용되는 것인 이송 단계와,
    상기 제1 S-D 측정 프로시저를 수행하는 단계
    를 포함하는 처리 방법.
  22. 제21항에 있어서, 상기 제1 S-D 측정 프로시저를 수행하는 단계는,
    제1 S-D 평가 특징부를 위에 구비한 제1 측정 웨이퍼를 상기 제1 S-D 측정 웨이퍼 세트로부터 선택하는 단계와,
    상기 제1 S-D 특징부로부터 제1 S-D 피측정 신호 데이터를 포함한 제1 측정 데이터를 획득하는 단계와,
    S-D 측정 신호 데이터 및 연관된 구조물의 라이브러리로부터 제1 S-D 최적 추정 신호 데이터 및 연관된 제1 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제1 S-D 피측정 신호 데이터와 상기 제1 S-D 최적 추정 신호 데이터 간의 제1 S-D 차이를 계산하는 단계와,
    상기 제1 S-D 차이를 이용하여 상기 제1 측정 웨이퍼에 대한 제1 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제1 S-D 신뢰도 데이터를 제1 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 제1 S-D 생산품 요건이 충족되면 상기 제1 측정 웨이퍼를 제1 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제1 S-D 생산품 요건이 충족되지 않으면 제1 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  23. 제22항에 있어서,
    상기 하나 이상의 제1 S-D 생산품 요건이 충족되면, 상기 제1 S-D 최적 추정 구조물 및 연관된 제1 S-D 최적 추정 신호 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  24. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    S-D 회절 신호 및 연관된 구조물의 라이브러리로부터 신규 S-D 최적 추정 신호 데이터 및 연관된 신규 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제1 S-D 피측정 신호 데이터와 상기 신규 S-D 최적 추정 신호 데이터 간의 신규 S-D 차이를 계산하는 단계와,
    상기 신규 S-D 차이를 이용하여 상기 제1 측정 웨이퍼에 대한 신규 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 신규 S-D 신뢰도 데이터를 신규 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 신규 S-D 생산품 요건이 충족되면 상기 제1 측정 웨이퍼를 신규 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 신규 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 확립, 비교 및 식별을 정지하는 단계
    를 포함하는 것인 처리 방법.
  25. 제24항에 있어서,
    제1 S-D 프로파일 라이브러리 작성 기준이 충족되면, 상기 신규 S-D 최적 추정 구조물 및 연관된 신규 S-D 최적 추정 신호 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  26. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    상기 제1 S-D 평가 특징부를 위에 구비한 제2 측정 웨이퍼를 상기 제1 S-D 측정 웨이퍼 세트로부터 선택하는 단계와,
    상기 제1 S-D 특징부로부터 제2 S-D 피측정 신호 데이터를 포함한 제2 측정 데이터를 획득하는 단계와,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리, 또는 S-D 회절 신호 및 연 관된 구조물의 라이브러리로부터 제2 S-D 최적 추정 신호 데이터 및 연관된 제2 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제2 S-D 피측정 신호 데이터와 상기 제2 S-D 최적 추정 신호 데이터 간의 제2 S-D 차이를 계산하는 단계와,
    상기 제2 S-D 차이를 이용하여 상기 제2 측정 웨이퍼에 대한 제2 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제2 S-D 신뢰도 데이터를 제2 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 제2 S-D 생산품 요건이 충족되면 상기 제2 측정 웨이퍼를 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  27. 제26항에 있어서,
    상기 하나 이상의 제2 S-D 생산품 요건이 충족되면, 상기 제2 S-D 최적 추정 구조물 및 연관된 제2 S-D 최적 추정 신호 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  28. 제26항에 있어서, 상기 제2 보정 조치를 적용하는 단계는,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리, 또는 S-D 회절 신호 및 연관된 구조물의 라이브러리로부터 신규의 제2 S-D 최적 추정 신호 데이터 및 연관된 신규의 제2 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제2 S-D 피측정 신호 데이터와 상기 신규의 제2 S-D 최적 추정 신호 데이터 간의 신규의 제2 S-D 차이를 계산하는 단계와,
    상기 신규의 제2 S-D 차이를 이용하여 상기 제2 측정 웨이퍼에 대한 신규의 제2 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 신규의 제2 S-D 신뢰도 데이터를 신규 제2 S-D 생산품 요건에 비교하는 단계와,
    하나 이상의 상기 신규 제2 S-D 생산품 요건이 충족되면 상기 제2 측정 웨이퍼를 신규의 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 신규 제2 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 확립, 비교 및 식별을 정지하는 단계
    를 포함하는 것인 처리 방법.
  29. 제28항에 있어서,
    상기 하나 이상의 신규 제2 생산품 요건이 충족되면, 상기 신규의 제2 S-D 최적 추정 구조물 및 연관된 신규의 제2 S-D 최적 추정 신호 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  30. 제28항에 있어서, 상기 제2 보정 조치를 적용하는 단계는, 상기 제1 측정 웨이퍼, 제2 측정 웨이퍼, 제1 S-D 측정 웨이퍼 세트, 또는 이들의 임의의 조합을 재 측정, 재검사, 재공정, 보관, 세정, 및/또는 폐기하는 것을 포함하는 것인 처리 방법.
  31. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    상기 제1 측정 웨이퍼 상에서 제2 S-D 평가 특징부를 선택하는 단계와,
    상기 제2 S-D 특징부로부터 제2 S-D 피측정 신호 데이터를 포함한 제2 측정 데이터를 획득하는 단계와,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리로부터 제2 S-D 최적 추정 신호 데이터 및 연관된 제2 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제2 S-D 피측정 신호 데이터와 상기 제2 S-D 최적 추정 신호 데이터 간의 제2 S-D 차이를 계산하는 단계와,
    상기 제2 S-D 차이를 이용하여 상기 제1 측정 웨이퍼에 대한 제2 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제2 S-D 신뢰도 데이터를 제2 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 제2 S-D 생산품 요건이 충족되면 상기 제1 측정 웨이퍼를 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  32. 제21항에 있어서, 상기 제2 보정 조치를 적용하는 단계는,
    상기 제1 측정 웨이퍼 상에서 제3 S-D 평가 특징부를 선택하는 단계와,
    상기 제3 S-D 특징부로부터 제3 S-D 피측정 신호 데이터를 포함한 제3 측정 데이터를 획득하는 단계와,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리, 또는 S-D 회절 신호 및 연관된 구조물의 라이브러리로부터 제3 S-D 최적 추정 신호 데이터 및 연관된 제3 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제3 S-D 피측정 신호 데이터와 상기 제3 S-D 최적 추정 신호 데이터 간의 제3 S-D 차이를 계산하는 단계와,
    상기 제3 S-D 차이를 이용하여 상기 제1 측정 웨이퍼에 대한 제3 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제3 S-D 신뢰도 데이터를 제3 S-D 생산품 요건에 비교하는 단계와,
    하나 이상의 상기 제3 S-D 생산품 요건이 충족되면 상기 제1 측정 웨이퍼를 제3 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제3 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 확립, 비교 및 식별을 정지하는 단계
    를 포함하는 것인 처리 방법.
  33. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    제2 S-D 평가 특징부를 위에 구비한 제2 측정 웨이퍼를 선택하는 단계와,
    상기 제2 S-D 특징부로부터 제2 S-D 피측정 신호 데이터를 포함한 제2 측정 데이터를 획득하는 단계와,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리로부터 제2 S-D 최적 추정 신호 데이터 및 연관된 제2 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제2 S-D 피측정 신호 데이터와 상기 제2 S-D 최적 추정 신호 데이터 간의 제2 S-D 차이를 계산하는 단계와,
    상기 제2 S-D 차이를 이용하여 상기 제2 측정 웨이퍼에 대한 제2 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제2 S-D 신뢰도 데이터를 제2 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 제2 S-D 생산품 요건이 충족되면 상기 제2 측정 웨이퍼를 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계
    를 포함하는 것인 처리 방법.
  34. 제23항에 있어서, 상기 제2 보정 조치를 적용하는 단계는,
    S-D 측정 데이터 및 연관된 구조물의 라이브러리로부터 신규의 제2 S-D 최적 추정 신호 데이터 및 연관된 신규의 제2 S-D 최적 추정 구조물을 선택하는 단계와,
    상기 제2 피측정 신호 데이터와 상기 신규의 제2 S-D 최적 추정 신호 데이터 간의 신규의 제2 S-D 차이를 계산하는 단계와,
    상기 신규의 제2 S-D 차이를 이용하여 상기 제2 측정 웨이퍼에 대해 신규의 제2 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 신규의 제2 S-D 신뢰도 데이터를 신규의 제2 S-D 생산품 요건에 비교하는 단계와,
    상기 하나 이상의 제2 S-D 생산품 요건이 충족되면 상기 제2 측정 웨이퍼를 신규의 제2 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제2 S-D 생산품 요건이 충족되지 않으면 상기 선택, 계산, 비교, 및 식별을 정지하는 단계
    를 포함하는 것인 처리 방법.
  35. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는, 제1 세트의 S-D 측정 웨이퍼들의 하나 이상을 재측정, 재검사, 재공정, 보관, 세정, 및/또는 폐기하는 것을 포함하는 것인 처리 방법.
  36. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    S-D 측정 신호 데이터 및 연관된 구조물의 라이브러리, 또는 S-D 회절 신호 및 연관된 구조물의 라이브러리와 연관된 프로파일 공간 외부의 신규 프로파일 공간으로부터 제1 S-D 피계산 평가 구조물 및 연관된 제1 S-D 피계산 신호 데이터를 확립하는 단계와,
    상기 제1 피측정 신호 데이터와 상기 제2 S-D 피계산 신호 데이터 간의 제1 S-D 피계산 차이를 결정하는 단계와,
    상기 제1 S-D 피계산 차이를 이용하여 상기 제1 측정 데이터에 대한 제1 S-D 피계산 신뢰도 데이터를 확립하는 단계와,
    상기 제1 S-D 피계산 신뢰도 데이터를 제1 S-D 프로파일 라이브러리 작성 기준에 비교하는 단계와,
    하나 이상의 제1 S-D 프로파일 라이브러리 작성 기준이 충족되면 상기 제1 측정 웨이퍼를 제1 피계산 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제1 S-D 프로파일 라이브러리 작성 기준이 충족되지 않으면 제2 보정 조치를 적용하는 단계
    를 더 포함하는 것인 처리 방법.
  37. 제36항에 있어서,
    높이, 폭, 두께, 깊이, 체적, 면적, 각도, 유전율, 프로세스 레시피 파라미터, 처리 시간, 임계 치수, 간격, 주기, 위치, 라인폭, 또는 이들 중 2 이상의 조합 중 적어도 하나를 변경함으로써 신규 S-D 피계산 평가 구조물 및 연관된 신규 S-D 피계산 신호 데이터를 작성하는 단계와,
    상기 제1 피측정 신호 데이터와 상기 신규 S-D 피계산 신호 데이터 간의 신규 S-D 피계산 차이를 결정하는 단계와,
    상기 신규 S-D 피계산 차이를 이용하여 상기 제1 측정 데이터에 대한 신규 S-D 피계산 신뢰도 데이터를 확립하는 단계와,
    상기 신규 S-D 피계산 신뢰도 데이터를 신규 S-D 프로파일 라이브러리 작성 기준에 비교하는 단계와,
    상기 하나 이상의 신규 S-D 프로파일 라이브러리 작성 기준이 충족되면 상기 제1 측정 웨이퍼를 신규 피계산 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 신규 S-D 프로파일 라이브러리 작성 기준이 충족되지 않으면 상기 작성, 결정, 확립, 비교 및 식별을 정지하는 단계
    를 더 포함하는 처리 방법.
  38. 제36항에 있어서,
    상기 제1 S-D 프로파일 라이브러리 작성 기준이 충족되면, 상기 제1 S-D 피계산 평가 구조물 및 연관된 제1 S-D 피계산 신호 데이터를 S-D 측정 신호 및 연관된 구조물의 라이브러리, 또는 S-D 회절 신호의 라이브러리에 저장하는 단계를 더 포함하는 처리 방법.
  39. 제36항에 있어서,
    상기 제1 S-D 프로파일 라이브러리 작성 기준이 충족되면, 상기 제1 S-D 피계산 평가 구조물 및 연관된 제1 S-D 피계산 신호 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  40. 제22항에 있어서, 상기 제1 보정 조치를 적용하는 단계는,
    S-D 프로파일 라이브러리와 연관된 데이터 공간 외부의 제1 S-D 프로파일 데이터 공간에서 제1 외부 데이터 포인트를 결정하는 단계로서, 제1 외부 S-D 신호 데이터, 제1 외부 S-D 프로파일 데이터, 제1 외부 S-D 프로파일 파라미터 데이터, 또는 이들의 조합은 상기 제1 외부 데이터 포인트와 연관되는 것인 결정 단계와,
    상기 제1 S-D 피측정 신호 데이터와 상기 제1 외부 S-D 신호 데이터 간의 제1 외부 S-D 차이를 계산하는 단계와,
    상기 제1 외부 S-D 차이를 이용하여 상기 제1 측정 웨이퍼에 대한 제1 외부 S-D 신뢰도 데이터를 확립하는 단계와,
    상기 제1 외부 S-D 신뢰도 데이터를 제1 외부 S-D 생산품 요건에 비교하는 단계와,
    하나 이상의 상기 제1 외부 S-D 생산품 요건이 충족되면 상기 제1 측정 웨이퍼를 외부 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제1 외부 S-D 생산품 요건이 충족되지 않으면 제2 보정 조치를 적용하는 단계
    를 더 포함하는 것인 처리 방법.
  41. 제40항에 있어서,
    상기 하나 이상의 제1 외부 S-D 생산품 요건이 충족되면, 상기 제1 외부 데이터 포인트와 연관된 데이터를 이용하여 상기 제1 S-D 평가 특징부를 식별하는 단계를 더 포함하는 처리 방법.
  42. 제22항에 있어서, 상기 처리를 계속하는 것은,
    상기 제1 S-D 차이를 제1 정확도 요건에 비교하는 것과,
    하나 이상의 상기 제1 정확도 요건이 충족되면, 제1 검사 웨이퍼 세트를 제1 고신뢰도 웨이퍼로서 식별하고 처리를 계속하거나, 또는 상기 하나 이상의 제1 정확도 요건이 충족되지 않으면, 추가 보정 조치를 적용하는 것
    를 포함하는 것인 처리 방법.
  43. 웨이퍼 처리 플랫폼에 있어서,
    처리 데이터에 기초하여 웨이퍼를 처리하기 위한 툴을 각각 포함하는 복수의 처리 모듈과,
    그 처리 모듈들 간에 웨이퍼를 이송하도록 구성되어 있으며, 상기 처리 모듈의 사이드 상의 레일 위에서 이동하는 적어도 하나의 로봇과,
    상기 처리 모듈 내의 웨이퍼에 대해 완료된 프로세스를 검사하도록 구성된 적어도 하나의 검사 모듈과,
    상기 처리 모듈 각각과, 적어도 하나의 로봇과, 적어도 하나의 검사 모듈로부터로부터 웨이퍼 데이터를 제어 및 수신하고, 수신된 데이터에 기초하여 상기 복수의 처리 모듈에서 처리 데이터를 조정하는 공통 제어 유닛
    을 포함하는 웨이퍼 처리 플랫폼.
  44. 제43항에 있어서, 상기 웨이퍼 데이터는 사이트에 따른 웨이퍼 데이터인 것인 웨이퍼 처리 플랫폼.
  45. 제43항에 있어서, 상기 처리 데이터는 상기 웨이퍼에 대해 개발된 제조 프로세스에 기초한 것인 웨이퍼 처리 플랫폼.
  46. 제45항에 있어서, 상기 제조 프로세스는 상기 처리 데이터의 조정에 따라 대응하게 조정되는 것인 웨이퍼 처리 플랫폼.
  47. 제46항에 있어서, 상기 웨이퍼는 웨이퍼의 로트가 상기 웨이퍼 처리 플랫폼에 의해 처리되기 전에 상기 제조 프로세스를 업데이트하는데 이용된 미리 이송 웨이퍼인 것인 웨이퍼 처리 플랫폼.
KR1020097022776A 2007-03-30 2008-03-31 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼 KR101475967B1 (ko)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US11/730,202 2007-03-30
US11/730,341 2007-03-30
US11/730,283 2007-03-30
US11/730,279 US7783374B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual damascene procedure
US11/730,279 2007-03-30
US11/730,202 US7531368B2 (en) 2007-03-30 2007-03-30 In-line lithography and etch system
US11/730,284 US7596423B2 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent procedure
US11/730,339 2007-03-30
US11/730,339 US7935545B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual patterning procedure
US11/730,284 2007-03-30
US11/730,341 US7650200B2 (en) 2007-03-30 2007-03-30 Method and apparatus for creating a site-dependent evaluation library
US11/730,283 US7373216B1 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent wafer

Publications (2)

Publication Number Publication Date
KR20100016095A true KR20100016095A (ko) 2010-02-12
KR101475967B1 KR101475967B1 (ko) 2014-12-23

Family

ID=39808885

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097022776A KR101475967B1 (ko) 2007-03-30 2008-03-31 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼

Country Status (4)

Country Link
JP (1) JP5200276B2 (ko)
KR (1) KR101475967B1 (ko)
TW (1) TWI381468B (ko)
WO (1) WO2008121955A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140124778A (ko) * 2012-01-18 2014-10-27 케이엘에이-텐코 코포레이션 비트 불량 및 가상 검사를 이용한 웨이퍼 검사 공정의 생성

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8739095B2 (en) * 2010-03-08 2014-05-27 Cadence Design Systems, Inc. Method, system, and program product for interactive checking for double pattern lithography violations
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US11263737B2 (en) * 2019-01-10 2022-03-01 Lam Research Corporation Defect classification and source analysis for semiconductor equipment
US20220351996A1 (en) * 2021-04-29 2022-11-03 Changxin Memory Technologies, Inc. Front opening unified pod, wafer transfer system and wafer transfer method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3889355A (en) * 1973-02-05 1975-06-17 Ibm Continuous processing system
US5124927A (en) * 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JPH0480939A (ja) * 1990-07-24 1992-03-13 Hitachi Ltd 半導体集積回路装置の製造方法
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
US6909930B2 (en) * 2001-07-19 2005-06-21 Hitachi, Ltd. Method and system for monitoring a semiconductor device manufacturing process
JP4158384B2 (ja) * 2001-07-19 2008-10-01 株式会社日立製作所 半導体デバイスの製造工程監視方法及びそのシステム
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
AU2003270866A1 (en) * 2002-09-30 2004-04-23 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
TW200745771A (en) * 2006-02-17 2007-12-16 Nikon Corp Adjustment method, substrate processing method, substrate processing apparatus, exposure apparatus, inspection apparatus, measurement and/or inspection system, processing apparatus, computer system, program and information recording medium

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140124778A (ko) * 2012-01-18 2014-10-27 케이엘에이-텐코 코포레이션 비트 불량 및 가상 검사를 이용한 웨이퍼 검사 공정의 생성

Also Published As

Publication number Publication date
JP5200276B2 (ja) 2013-06-05
KR101475967B1 (ko) 2014-12-23
WO2008121955A2 (en) 2008-10-09
WO2008121955A3 (en) 2009-01-15
TW200903686A (en) 2009-01-16
JP2010524209A (ja) 2010-07-15
TWI381468B (zh) 2013-01-01

Similar Documents

Publication Publication Date Title
US7531368B2 (en) In-line lithography and etch system
US7729795B2 (en) Method and apparatus for verifying a site-dependent wafer
US11520238B2 (en) Optimizing an apparatus for multi-stage processing of product units
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
US7673278B2 (en) Enhanced process yield using a hot-spot library
US6614540B1 (en) Method and apparatus for determining feature characteristics using scatterometry
KR102308124B1 (ko) 공정 핑거프린트들의 세트의 유지
US20210349402A1 (en) Method and apparatus for optimization of lithographic process
US11442367B2 (en) Optimizing a sequence of processes for manufacturing of product units
KR101475967B1 (ko) 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼
US7449265B1 (en) Scatterometry target for determining CD and overlay
US7783374B2 (en) Method and apparatus for performing a site-dependent dual damascene procedure
US7935545B2 (en) Method and apparatus for performing a site-dependent dual patterning procedure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 6