TWI393169B - 施行晶圓均勻度控制之動態量測取樣 - Google Patents
施行晶圓均勻度控制之動態量測取樣 Download PDFInfo
- Publication number
- TWI393169B TWI393169B TW096110397A TW96110397A TWI393169B TW I393169 B TWI393169 B TW I393169B TW 096110397 A TW096110397 A TW 096110397A TW 96110397 A TW96110397 A TW 96110397A TW I393169 B TWI393169 B TW I393169B
- Authority
- TW
- Taiwan
- Prior art keywords
- processing
- wafer
- measurement
- map
- post
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Description
本發明係關於一種用於處理晶圓之系統及方法,尤關於一種使用製程間反饋控制(run-to-run control)以改善晶圓內均勻度之系統及方法。
本申請案係關於同在審查中的美國專利申請案號10/705200(案名「Processing System And Method For Chemically Treating A Wafer」,提申於2003/11/12);同在審查中的美國專利申請案號10/704969(案名「Process System And Method For Thermally Treating A Wafer」,提申於2003/11/12);同在審查中的美國專利申請案號10/705397(案名「Method and Apparatus for Thermally Insulating Adjacent Temperature Controlled Chambers」,提申於2003/11/12);同在審查中的美國專利申請案號10/944463(案名「Iso/Nested Cascading Trim Control With Model Feedback Updates」;提申於2004/9/20);同在審查中的美國專利申請案號11/046903(案名「Iso/Nested Control For Soft Mask Processing」;提申於2005/2/1;美國專利申請案號******
,事務所案號313530-P0023(案名「Dynamic Metrology Sampling With Wafer Uniformity Control」,提申於同日);及美國專利申請案號*****
事務所案號313530-P0027(案名「Dynamic Metrology Sampling for a Dual Damascene Process」,提申於同日)。此等申請案的內容引入於此作為參考。
前饋控制器之使用,在半導體製造設施(Fab)製造半導體積體電路,已被樹立很久。直到最近,晶圓係以一批次(Batch)或一批(lot)來處理,對於該批中的各晶圓施以相同處理。批之大小取決於該晶圓製造廠之製造規範,一般而言,限制於至多25片晶圓。對於該批的一些晶圓進行例行測量,並基於此等樣本測量調整該處理。基於該批的樣本測量及調整下一批之處理配方的控制方法,稱為逐一批次控制(lot-to-lot control,L2L control)。用於L2L控制之該處理模式及調整該處理配方的資訊被保持,且數值計算係於晶圓製造廠層級實施。最近,半導體處理設備(SPE)製造商已具備在該處理剛被實施前或後,測量各晶圓之能力。該於該處理設備上測量各晶圓之能力,稱為整合式量測(IM)。IM能夠在逐一晶圓(wafer-to-wafer)(W2W)層級測定及調整該處理配方。
半導體晶圓上的結構,不僅尺寸減小,而且密度增加,造成額外的處理控制問題。在特定區域內,基於結構密度,半導體晶圓的區域被識別為孤立狀區域或巢狀區域,且由於此等不同的密度,在半導體處理中產生問題。
修整蝕刻之需要性已為一般性的,且已有許多方法用於修整該臨界尺寸(CD),以進行閘極長度控制。孤立狀/巢狀控制已成為遮罩設計處理的一部分,包括藉由蝕刻機進行該處理之模型化。然而該孤立狀/巢狀的模型設計為該遮罩之處理,係相關於一孤立狀的或巢狀結構對單一CD目標最適化。遮罩偏差控制利用光學及處理校正(OPC),有時稱為光學趨近校正,其中,初縮遮罩(reticule)的孔徑,被調整以增減必需的光線,來增加圖案逼真度(fidelity)。其他的方法,為相轉移遮罩(PSM),其中拓樸學結構建立於該初縮遮罩,以於影像中導入對比增強之干涉邊緣。
本發明之基本上係關於一種晶圓之處理方法,其中該晶圓可包括多數晶粒(die),各晶粒具有一經圖案化的硬遮罩於至少另一層之頂部,量測資料係針對該晶圓而決定。該量測資料包括針對該晶圓上至少一硬遮罩特徵部之臨界尺寸(CD)資料,以及針對至少另一層之資料,該量測資料使用針對在晶圓上一第1數目之測量位置的歷史資料或測量之資料或其組合而決定。一針對該晶圓之前處理測量輿圖使用經測量的量測資料被建立。一針對該晶圓之一第1前處理預測輿圖被計算,該第1前處理預測輿圖包括針對該晶圓上第1組晶粒之一第1組預測之經測量資料。一針對該晶圓之一第2前處理預測輿圖被計算,該第2前處理預測輿圖包括針對該晶圓上第2組晶粒之一第2組預測之經測量資料。一針對該晶圓之一前處理信賴度輿圖被計算,該前處理預測輿圖包括針對該晶圓上第3組晶粒之一組信賴度資料,其中,該信賴度資料使用介於該第1前處理預測輿圖及第2前處理預測輿圖之一差異決定。當對一個或一個以上晶粒之信賴度資料未落於針對該晶圓之信賴度界限內,一第1優先的測量位置被計算。一針對新的量測資料被取得,係使用包括該第1優先的測量位置的一新的測量配方。
本發明之其他態樣將由以下敘述及附屬的圖式而顯明。
以下本發明將以具體例作為例示參照附圖詳述,其中,對應的參考符號代表對應的部分。
於材料處理方法學,圖案蝕刻包含塗布感光材料薄層,例如光阻,至一晶圓,其隨後經圖案化以便提供一遮罩,用於在蝕刻期間轉移此圖案至下面的材料。該感光材料之圖案化,通常涉及將該感光材料使用例如一微形微影系統,曝露於一發光源,接著,使用一顯影溶劑,移走該感光材料之經照射之區域(於正型光阻之情形),或未經照射之區域(於負型抗蝕劑之情形)。
此外,可採用單一及/或多層遮罩。可使用軟遮罩及/或硬遮罩層。例如,當使用一軟遮罩頂層蝕刻特徵部,在其他蝕刻步驟之前,將該軟遮罩層中之該遮罩圖案使用一分開的蝕刻步驟(硬遮罩鏤空(hard mask open))轉移至該硬遮罩層。該軟遮罩可擇自用於矽處理之數種材料,包括但不限定於ArF抗蝕劑材料或可相容於較小特徵部尺寸之光阻材料,該硬遮罩例如可擇自用於矽處理之數種材料,包括但不限定於二氧化矽(SiO2
)、氮化矽(Si3
N4
),及碳。
圖1為一方塊圖例,顯示處理系統依照本發明之一實施例。於該說明的實施例中,處理系統100包含:一處理設備110;一控制器120,耦合於處理設備110;及一製造設備系統(MES)130,耦合於處理設備110及該控制器120。該處理設備110可包括一些處理模組115,此等可耦合於一傳送系統150。
此外,一整合式量測模組(IMM)140可耦合於處理設備110。例如,該IMM140可耦合於傳送系統150。或者,該IMM140可以不同的方式耦合於處理設備110。該處理設備110、該控制器120、該MES130,及該IMM140之中至少一者,可包含一控制構件、一圖形用戶界面(GUI)構件及/或一資料庫構件(未顯示)。於其他實施例,一個或一個以上此等構件可不為必要。
一些設定及/或組態資訊可從該工廠系統130藉由該處理設備110及/或該控制器120獲得。工廠層級商務規則可用於建立一控制階層。商務規則可用於特定正常處理採取之動作及錯誤情形採取之該等動作。例如,該處理設備110及/或該控制器120可獨立操作,或可某個程度受該工廠系統130控制。並且,工廠層級商務規則可用於決定何時將一處理暫停及/或停止,及當一處理被暫停及/或被停止時,要做什麼。此外,工廠層級商務規則可用於決定何時改變一處理及如何改變該處理。
商務規則可限定於一控制策略層級、一控制方案層級或一控制模式層級。商務規則可指定為,每當遇到一特定情況時執行。當於一較高層級及較低層級遇到匹配的情況時,與較高層級相關連的該商務規則可被執行。GUI螢幕(screens)可用於定義及維護該商務規則。商務規則定義及指定可允許用戶擁有大於正常的安全層級。該商務規則可維護於該資料庫。文件及幫助螢幕可提供如何定義、指定以及維護該商務規則。
該MES130可配置為使用從與該處理設備110及/或該控制器120有關之該資料庫報告的資料,監視某些系統處理,。工廠層級商務規則可用於決定監測哪些處理及使用哪些資料。例如,該處理設備110及/或該控制器120可獨立地收集資料,或該資料收集處理可某個程度受控制於該工廠系統130。並且,工廠層級商務規則可用於決定當一處理被改變、暫停及/或停止時,如何管理該資料。
此外,該MES130可提供運作時間(run-time)組態資訊給該處理設備110及/或該控制器120。資料可使用GEM SECS溝通協定(GEM SECS communications protocol)交換。例如,APC設定、目標、界限、規則,及演算法可從工廠下載至該處理設備110及/或該控制器120,以作為一「APC配方」、一「APC系統規則」,及「APC配方參數」。測量系統配方及設定可從該工廠下載至該處理設備110及/或該控制器120,作為「IMM配方」、一「IMM系統規則」,及「IMM配方參數」。
一般而言,規則允許系統及/或設備操作基於該處理系統100之該動態狀態改變。某些設定及/或組態資訊,當起初由該處理系統100配置時,可由該處理設備110及/或該控制器120決定。此外,設備層級規則可用於建立一控制階層於該設備層級。例如,該處理設備110及/或該IMM140可獨立地操作,或該IMM140可某個程度受控於該處理設備110。並且,設備層級規則可用於決定何時將處理暫停及/或停止,及當一處理被暫停及/或被停止時,執行什麼。此外,設備規則可用於決定何時改變一處理、如何改變該處理,及如何管理該資料。
於圖1,顯示一處理設備110,及一控制器120,但在此發明中並非必要。該半導體處理系統,除了獨立的處理設備及模組,可包含任意數目處理設備,具有與其關連的任意數目的控制器。
該處理設備110及/或該控制器120除了任意數目之獨立的處理設備及模組以外,可用於配置具有與其關連的任意數目處理設備的任意數目處理設備。於其他功能之中,該處理設備110及/或該控制器120可從涉及處理設備、處理子系統、處理模組,及感應器之處理,收集、提供、處理、存儲,及顯示資料。
該處理設備110及/或該控制器120可包含一些應用程式,尤其包括至少一設備相關之應用程式、至少一模組相關之應用程式、至少一感應器相關之應用程式、至少一界面相關之應用程式、至少一資料庫相關之應用程式、至少一GUI相關之應用程式,及至少一組態應用程式。
例如,該系統100可包含一來自於東京威力科創(股)公司之APC系統,其可連繫Unity設備、一Telius設備及/或一Trias設備及其等關連的處理子系統及處理模組。此外,該系統可包含一整批對整批(run-to-run,簡稱R2R)控制器,例如來自於東京威力科創(股)公司之IngenioTL ES伺服器,及來自於東京威力科創(股)公司之一整合式量測模組(IMM)。選擇性地,該控制器120可支援其他處理設備及其他處理模組。
一GUI構件(未顯示)可提供容易使用的界面,能使用戶:觀看設備狀態及處理模組狀態;針對被選擇的晶圓建立及編輯x-y一覽表及原始(追蹤)參數資料;觀看設備警示日誌;配置資料收集方案,其具體指定將資料寫入該資料庫或輸出檔案之條件;輸入檔案至統計學上的處理控制(SPC)製圖、模型化及試算表程式;針對特定晶圓檢驗晶圓處理資訊,及重閱目前存在該資料庫中的資料;建立及編輯處理參數SPC表,及設定產生電子郵件警告之SPC警示;運作多變量主成分分析(PCA)及/或部分最小方差(Partial Least Squares,簡稱PLS)模式;及觀看診斷畫面以便解決問題及以該TL控制器120報告問題。熟知此項技藝之人士應瞭解,該GUI構件不一定要提供所有功能的界面。而是該GUI可提供此等功能之任意次組或其他未列示於此者。
控制器120可包括一記憶體(未顯示),其可包括一個或一個以上資料庫。來自於該設備之資料可以檔案形式儲存於一資料庫。此外,IM資料及主量測資料可儲存於該資料庫。資料量取決於配置的該資料收集方案,以及處理實施的頻率,以及所運作的處理設備。得自於該處理設備、該處理腔室、該感應器,及該操作系統之該資料,可儲存於該資料庫。
於另一實施例,該系統100可包含:一客戶工作站(未顯示)。該系統100可支援多數客戶工作站。一客戶工作站可允許一用戶執行組態程序;觀看狀態,包括設備、控制器、處理,及工廠狀態;觀看目前的及歷史資料;執行模型化及製圖功能;及對該控制器輸入資料。例如,一用戶可能被賦予管理權,以允許其控制由一系統控制所執行的一個或一個以上處理。
處理設備110及該控制器120可耦合於MES130及可為E-Diagnostic系統之一部分。該處理設備110及/或該控制器120可與一工廠系統交換資訊。此外,該MES130可對該處理設備110及/或該控制器120傳送指令及/或撤銷資訊。例如,該MES130可針對任一數目之處理模組、設備,及測量裝置,前饋可下載的配方以及各配方的變數參數至該處理設備110及/或該控制器120。變數參數可包括最終CD目標、界限、偏移量,及設備層級系統之變數,其必需是依批而可調整的。此外,量測資料可從工廠系統,或一微影設備,例如Lithius設備(東京威力科創(股)公司)前饋至控制器120。
再者,該MES130可用於對該控制器120提供測量資料,例如臨界尺寸掃描式電顯(CD SEM)資訊。選擇性地,該CD SEM資訊可以用手動地提供。調整因子係用於調整介於該IM及CD SEM測量間之任意偏差量。該測量及/或歷史資料,可包括晶圓識別資訊及時間標記,例如:日期,用以適當地安插於該資料庫。
又,一單一處理設備110顯示於圖1,但在此發明中並非必要。選擇性地,可使用額外的處理設備。於一實施例中,一處理設備110可包含一個或一個以上處理模組。處理設備110可包含一蝕刻模組、一沉積模組、一測量模組,一研磨模組、一被覆模組、一顯影模組,或一熱處理模組,或其2種或更多種的任意組合。
處理設備110可包含連結件112,用以耦合於至少一其他處理設備及/或控制器。例如,其他處理設備及/或控制器可關聯於在此處理之前所執行的一處理,及/或其他控制器可關聯於在此後處理被實施之一處理。連結件112可用於前饋及/或回饋資訊。例如,前饋資訊可包含與一進入晶圓有關的資料。此資料可包括批(lot)資料、批次(batch)資料、整批(run)資料、組成資料,及晶圓歷史資料。
該IMM140可包括一光學數位輪廓量測(Optical Digital Profiling,簡稱ODP)系統。該處理設備110尚能包括與模組關連的測量裝置、設備關連的測量裝置,及外部測量裝置。例如,資料可得自於耦合於一個或一個以上處理模組之感應器及耦合於處理設備之感應器。感應器可包括一光學發射光譜(Optical Emission Spectroscopy,簡稱OES)感應器或一光學終點檢測感應器。例如,此等感應器之波長範圍可從200nm延伸至900nm。此外,資料可得自於一外部裝置,例如一掃描式電顯(Scanning Electron Microscopy,簡稱SEM)設備、一穿透式電顯(Transmission Electron Microscopy,簡稱TEM)設備,及一光學數位輪廓量測(ODP)設備。
ODP設備可從Timbre Technologies Inc(一TEL的相關公司)購得,其提供一取得專利的技術,用以測量半導體裝置之中的結構輪廓。例如,ODP技術可用於取得臨界尺寸(CD)資訊、結構輪廓資訊,或通孔(via)輪廓資訊。
控制器120耦合於處理設備110及MES130,且資訊,例如前處理資料及後處理資料,可於其中互相交換。例如,當於該設備產生一內部錯誤事件,該控制器120可傳送含有關於該事件之資訊的訊息給該MES130。此可允許工廠系統及/或工廠人員做必要的改變,以將在大改變例如矯正性或預備性維修之後,面臨風險的晶圓數目極小化。
於圖1尚顯示一單一控制器120,但在此發明中並非必要。選擇性地,可使用額外的控制器。例如,該控制器120可包含至少一整批對整批(R2R)控制器、一前饋(FF)控制器、一處理模型控制器、一回饋(FB)控制器,及一處理控制器(皆未顯示於圖1)。
控制器120可包含連結件122,以耦合於至少一其他的控制器。例如,其他控制器可關連於在此處理之前施行的一處理,及/或其他控制器可關連於在此處理之後被實施的一處理。連結件122可用於前饋及/或回饋資訊。
於一情形中,該控制器120辨別針對該晶圓之輸入狀態及該所望狀態的模式方程式,及該控制器決定可實施之一組配方,其實施於該晶圓以將該晶圓從該輸入狀態改變至一經處理狀態。於其他情形中,該控制器120針對該晶圓決定輸入狀態及所望的狀態,且該控制器120決定一組配方,其可被實施於該晶圓以將該晶圓從輸入狀態改變為該所望的狀態。例如,該組配方可描述涉及一組處理模組之多步驟處理。
一針對該控制器120時間常數,可基於介於測量間的時間。當一批完成後,測量資料可得時,該控制器的時間常數可基於介於批之時間。當晶圓完成後,測量資料可得時,該控制器之時間常數可基於介於晶圓之時間。當測量資料在處理期間以即時提供,該控制器之時間常數可基於晶圓中的處理步驟。當晶圓正在處理中或晶圓完成後或該批完成後,當測量資料可得時,該控制器120可具有多重時間常數,其可基於介於處理步驟、介於晶圓,及/或介於批的時間。
一或多控制器120可操作於任意時間點。例如,一控制器120可為操作模式,同時第2控制器120可為監控模式。此外,另一控制器120可操作於模擬模式。一控制器可包含單一迴圈或多重迴圈,且該迴圈可具有不同的時間常數。例如,迴圈可取決於晶圓時序、批時序、批次(batch)時序、腔室時序、設備時序,及/或工廠時序。
該控制器120可基於該輸入狀態、該處理特性,及處理模型,估算該晶圓之預測狀態。例如,修整速率模型可以與處理時間一起估算經預測修整量。選擇性地,蝕刻速率模型可用於與處理時間一起估算蝕刻深度,及一沉積速率模型可用於與處理時間一起估算沉積厚度。此外,模式可包括SPC圖表、PLS模式、PCA模式、錯誤偵測及分類(FDC)模式,及多變量分析(MVA)模式。
該控制器120可接收並利用外部提供的資料,於一處理模組中處理參數界限。例如,該控制器GUI構件提供一裝置以手動輸入該處理參數界限。此外,一工廠層級控制器可針對各處理模組,提供處理參數的界限。
該控制器120可接收及執行市售可得的模擬軟體所建立的模式。例如,該控制器可接收及執行外部應用程式所建立的模式,並傳送至該控制器。
於一實施例中,控制器120可用於運作FDC應用程式,並可傳送及/或接收關於一警示/錯誤狀態之資訊。例如,該控制器可從一工廠層級控制器或一設備層級控制器傳送並接收FDC資訊。此外,FDC資訊在識別一錯誤狀態後,可經由e-Diagnostics網路、電子郵件,或傳呼器傳送。於另一實施例,FDC應用程式可運作於不同的控制器。
該控制器120可回應於警示/錯誤,取決於該警示/錯誤之本質,產生各種動作。對警示/錯誤之動作,可基於針對該系統配方、處理配方、模組形式、模組識別數目、載埠數目、匣盒數目、批數目、控制工作ID、處理工作ID、槽(slot)數目及/或輿圖形態所具體指定的情況而建立的商務規則。於一實施例,該控制器決定採取的動作。選擇性地,該控制器可由FDC系統之指引採取一些特別的動作。
該控制器120可包含一資料庫構件,用以保存輸入及輸出資料。例如,尤其,於一可搜尋的(searchable)資料庫中,該控制器可保存接收的輸入、傳送的輸出,以及該控制器採取的動作。此外,該控制器120可包含用於資料備份及回復的硬體及/或軟體。並且,該可搜尋的資料庫可包括模型資訊、組態資訊,及歷史資訊,且該控制器120可使用該資料庫構件以備份及回復歷史及目前的模型資訊及模型組態資訊。再者,該可搜尋的資料庫可包括輿圖資訊,例如晶圓輿圖及/或處理輿圖、組態資訊,及歷史資訊,且該控制器可使用該資料庫構件,以備份及回復該歷史及目前的輿圖資訊及輿圖組態資訊。
該控制器120可包含一用戶界面網頁。例如,該控制器120可包含一網頁可用的GUI構件,以觀看該資料庫中之資料。該控制器可包含一安全構件,可取決於由一安全管理員所給予的核可,提供多重層級的存取。該控制器120並且可包含一組於安裝時提供的預設模式,並有能力重設為預設條件。
該控制器有能力管理在同時執行的多重處理模式,且受不同組處理配方限制所管制。該控制器可以3種不同的模式運作:模擬模式、測試模式,及標準模式。一控制器可以平行於實際處理模式之模擬模式操作。此外,FDC應用程式可平行地運作,並產生即時結果。
當該半導體處理系統包括一主機系統及一個或一個以上處理系統,該主機系統可當成該控制(Master)系統操作,且能控制及/或監控該處理操作的主要部分。該主機系統可建立一處理序列,且可傳送該處理序列至該處理系統。於一實施例中,該處理序列可包含測量模組到訪及處理模組到訪之序列。可針對各測量模組到訪及各處理模組到訪,建立處理工作(PJ)。
此外,當處理系統控制器於模擬模式執行時,可進行虛擬測量及/或輿圖。來自於模擬模式執行之結果,可被儲存並用於預測處理漂移及/或潛在的錯誤狀態。
於圖1尚顯示一單一處理設備110,但本發明不一定僅包括一處理設備110。選擇性地,可使用額外的處理設備。於一實施例中,該處理設備110可包含所述用以實施一修整程序之裝置。或者,該處理設備110尤可包含一蝕刻模組、一沉積模組、一研磨模組、一被覆模組、一顯影模組、一灰化模組、一氧化模組,或一熱處理模組,或其2種或更多種的任意組合。
圖2為一簡化的方塊圖,顯示依照本發明之一實施例之一整合處理系統200。於該說明的實施例中,所顯示的處理系統(TELIUS)包含:一處理設備、一整合式量測模組(IMM)235,及一設備層級APC控制器225。對於熟悉此技藝之人士而言,應瞭解該整合處理系統200之構件僅意欲作為本發明之系統的例示。對於熟悉此技藝之人士而言,及由以下討論中應瞭解,本發明構件之組合的變化為明顯的。各種變化雖在此未討論,意欲包含在本發明範疇內。
該系統200,例如圖2所示,可提供IMM晶圓取樣,且該晶圓槽之選擇可使用一(PJ建立)函數決定。該R2R控制組態之變數中,可包括:前饋控制方案變數、回饋控制方案變數、量測校正參數、控制界限,及SEMI標準變數參數。量測資料報告之中,可包括晶圓、位置、結構,及成分資料,且該設備可報告針對該晶圓的實際設定。
該IMM系統可包括一光學測量系統,例如:Timbre Technologies的光學數位輪廓量測(Optical Digital Profilometry,簡稱ODP)系統,其使用橢圓偏光量測儀、反射法,或其他光學儀器,以測量晶圓的真實的裝置輪廓、精確的臨界尺寸(CD),及多層薄膜厚度。Timbre Technologies為一加州公司,為TEL百分之百持股的子公司。
該處理於線上執行,可不需要將實施該分析之晶圓破壞。ODP可與現有之用於線上分布及CD測量的薄膜量測設備併用,並可與TEL處理設備整合,以提供即時處理監控及控制。ODP輪廓機可兼作為一高精密量測設備,以提供實際輪廓、CD,及膜厚度結果,以及一產率增加設備,以偵測線上處理偏離(excursion)或處理錯誤。
ODP解決方案,具有3個關鍵的構件:ODP輪廓儀(profiler)TM
庫,包含:一應用程式指定光學圖譜資料庫,以及其對應的半導體輪廓、CD,及膜厚度。輪廓儀TM
應用程式伺服器(PAS)包含:一電腦伺服器,與光學硬體及電腦網路連結。其處理該資料之溝通、ODP庫操作、測量處理、結果產生、結果分析,及結果輸出。該ODP輪廓儀TM
軟體,包括安裝在PAS之該軟體,用以管理測量配方、ODP輪廓儀TM
庫、ODP輪廓儀TM
資料、ODP輪廓儀TM
結果搜尋/比對,ODP輪廓儀TM
結果計算/分析、資料溝通,及對各種量測設備及電腦網路的PAS界面。
同在審查中的美國申請案號09/727,530(案名"System and Method for Real-Time Library Generation of Grating Profiles",Jakatdar等人提申於2000/11/28),敘述一例示之光學量測系統,引入於此作為參考。
ODP技術可用於測量一經圖案化之晶圓的特徵部中,被覆的存在及/或厚度,及/或殘渣。此等技術教示於同在審查中的美國專利申請案號10/357705(案名"Model Optimization for structures with Additional Materials",Niu等人於2003/2/3提申),又涵蓋額外材料測量之ODP技術教示於美國專利號碼6608690(案名"Optical Profilometry of Additional-material Deviations in a Periodic Grating",提申於2001/12/4),及美國專利號碼6839145(案名"Optical Profilometry Of Additional-Material Deviations In a Periodic Grating",提申於2003/5/5),皆引入於此作為參考。
用於建立一量測模式之ODP技術,教示於同在審查中之美國專利申請案號10/206,491(案名"Model and Parameter Selection in Optical Metrology",Voung等人提申於2002/7/25),涵蓋整合式量測應用之ODP技術教示於美國專利號碼6785638(案名:METHOD AND SYSTEMOF DYNAMIC LEARNING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROCESS,提申於2001/8/6),皆引入於此作為參考。
一控制系統,例如來自於東京威力科創(股)公司之Ingenio系統,可包含管理應用程式,例如,一配方管理應用程式。例如,該配方管理應用程式可用於觀看及/或控制保存於該Ingenio系統資料庫之配方,其經由一網路環境從該Ingenio系統與設備同步化。一Ingenio客戶可與工廠為遠距,並能提供對於多重設備單元的廣泛的管理功能。
配方可組織成樹狀結構,可包含配方組、類型,且配方可以顯示為物件。配方可包括處理配方資料、系統配方資料,及IMM配方資料。資料可使用一配方組以保存及組織。位於該處理設備110之該IMM配方,可用於決定晶圓取樣及介於槽及IM配方之間的關係。IM配方可存在於IMM140,可從TeliusIMM配方選擇,可包含圖案識別資訊,可用於在各晶圓識別該晶片以取樣,及可用於決定使用哪一PAS配方。PAS配方可用於決定使用哪一ODP庫,及界定欲報告的該測量項,例如上部CD、下部CD、側壁角(SWA)、層厚度、溝渠寬度,及適合度(goodness of fit,簡稱GOF)。
一控制系統,例如該Ingenio系統,可包括APC應用程式,其可操作作為控制策略,且一控制策略可相關於一可包括一蝕刻設備之配方控制方案。於運作時間比對晶圓層級情況,能容許自定晶圓(槽、晶圓ID、批ID等。)組態。一控制策略可包括一個或一個以上控制方案,且受控制之一處理模組及/或測量模組具有至少一控制方案,針對對於該處理模組及/或測量模組之造訪定義。控制方案可含有輿圖、模型、控制界限、目標,且可包括靜態配方、配方模型,及回饋方案。
於該控制系統,前饋及/或回饋控制可以藉由配置控制策略、控制方案,及控制模型而實施。一控制策略可針對實施前饋及/或回饋控制的各系統處理撰寫。當一策略受到保護,所有其子物件(方案及模型)不能被編輯。當一系統配方執行,在該控制策略內可執行一個或一個以上該控制方案。各控制方案可用於基於前饋及/或回饋資訊修改該配方。
一控制策略可用於產生一處理配方及處理設備;決定控制方案;決定晶圓輿圖,回應一錯誤而建立一動作;建立情況;建立一控制形態(標準,模擬或測試);建立一控制動作(得能/失能);及建立一控制狀態(受保護/未受保護)。
控制策略可包含標準控制策略及模擬控制策略。該標準控制策略可配置為控制該處理設備110。一模擬控制策略可關連於一或多個模擬控制方案。基於選擇的模型,該控制方案將調整該配方變數。該配方變數可藉由該控制器記錄日誌,但不傳送給處理設備。多重模擬控制策略可被同時執行,但是對於一給定晶圓,僅有一控制方案之標準形態會被執行。
再者,一控制策略可包括其他可操作之領域(field)。例如,一或多個批ID領域可用於輸入/編輯該批識別符;該一或多CJID領域,可用於輸入/編輯該控制工作識別符。該一或多個PJID領域可用於輸入/編輯該處理工作識別符。該一或多個匣盒ID領域可用於輸入/編輯該匣盒識別符。該一或多個載架ID領域可用於輸入/編輯該載架識別符。該一或多個槽領域可用於輸入/編輯該槽數目。該一或多晶圓形式領域,可用於輸入/編輯該晶圓形式。該一或多個刻畫(scribed)的晶圓ID領域,可用於輸入/編輯該刻畫的晶圓識別符。該一或多晶圓ID領域,可用於輸入/編輯該晶圓識別符。較領域為早的起始時間,可用於輸入/編輯該起始時間。此外,晚於領域之起始時間,可用於輸入/編輯該結束時間。
控制方案可於一模組中涵蓋多重處理步驟,並可由工廠控制。參數範圍可針對各處理及/或測量模組界定,且變數參數「界限範圍」,針對各控制參數提供。
該控制系統可包括APC應用程式,其可用於分析該收集的資料,及建立錯誤狀態。當一情況匹配時,可執行一分析應用程式。於一分析應用程式執行期間,可執行一個或一個以上分析方案。例如,可執行單變量SPC模式/方案,且可能觸發SPC警示;可執行PCA及/或PLS模式/方案,且可能觸發SPC警示;可執行多變量SPC模式/方案,且可能觸發SPC警示;且,可執行其他檔案輸出方案,並且可能觸發軟體警示。
當一資料發生錯誤、發生執行問題或控制問題,一方案可建立一錯誤。當錯誤發生,該方案可產生一警示訊息;該起源(parent)策略狀態可改變為一錯誤狀態;該方案狀態可改變為一錯誤狀態;及一個或一個以上訊息可被送到該警示日誌及該FDC系統。當前饋方案或回饋方案失敗,可中止起源策略中的一個或一個以上方案,且其狀態可改變為一錯誤狀態。於一情形中,當檢測到一不好的進入晶圓,一控制方案可檢測及/或識別此其為一錯誤的進入晶圓。此外,當一回饋方案被賦予能力,該回饋方案可藉由其他方案,略過已被識別為有瑕疵及/或錯誤之晶圓。一資料收集方案可拒絕針對該晶圓之所有測量位置的資料,或因為使用該資料所建立的輿圖未能符合均勻度界限,而拒絕該資料。
於一實施例中,回饋方案失敗不一定中止該策略或其他方案,且輿圖產生錯誤,並不中止該策略或其他方案。成功的方案、策略及/或輿圖產生,並不建立錯誤/警示訊息。
該控制系統可包括一FDC系統,包括針對管理錯誤/警示/錯誤狀態之應用程式。當檢測到一錯誤、警示,及/或錯誤狀態,FDC系統之FDC應用程式可傳送訊息給一個或一個以上處理模組及/或設備。例如,可傳送訊息以暫停該目前的處理或停止該目前的處理。於一情形中,一設備之暫停/停止,可藉由改變維修計數器之值而進行。
預先指定之針對策略及/或方案錯誤之失敗的動作,可保存於一資料庫,且可於錯誤發生時,從該資料庫取出。失敗動作,可包括:針對此晶圓及模組,使用該名目(nominal)處理配方;針對此晶圓及模組,使用一虛無(null)處理配方;暫停該處理模組及並等待介入;或暫停整個設備,並等待介入。例如,一處理設備可能僅於當帶有錯誤的該晶圓到達該發生R2R失敗之目標處理模組,才採取動作,且該處理設備可能可以繼續處理其他批、配方或於其他模組中之晶圓。一虛無配方可為一控制配方,用於一處理設備及/或處理系統以允許晶圓不經處理而通過處理腔室。例如,虛無配方用於當處理設備暫停或當一晶圓不需要處理。
該FDC系統可檢測錯誤、預測設備效能,預測預防性維修行程、減少維修停機時間,並延長該處理設備中的消耗性零件的使用壽命。該FDC系統從該設備及額外的感應器收集資料,計算概要參數,實施MVA,並使用SPC將結果與正常操作比較。例如,該SPC構件可實施一系列Western Electric運作規則評估,並於違反運作規則時,產生一SPC警示。
操作APC系統及該FDC系統可由該客戶配置,並可依據該被處理之該晶圓的情況配置。情況資訊,包括:配方、批、槽、控制工作,及處理工作。對於APC系統及該FDC系統之用戶界面,為網路可用的,並提供接近於即時之設備狀態及即時警示狀態顯示。
圖3為一視圖例,顯示依照本發明之一實施例之光學量測系統。於該說明的實施例中,顯示一光學量測系統300,其可配置為檢驗週期性柵格304,以取得表層測量。此外,光學量測系統300可包括一電磁源310。週期性柵格304由來自於電磁源310之入射信號312而發光。電磁源310可包括對焦光學儀器,以控制入射信號312之光點徑。
於一實施例中,入射信號312之光點徑可小至含有週期性柵格304之晶圓302上的測試區的大小。例如,可使用約50 μm見方,或更小者。此外,電磁源310可包括一圖案辨識模組,以將該光點對準於晶圓302上的測試區。再者,電磁源310可包括一偏極化元件,例如偏極化器(未顯示)。
如圖3所示,入射信號312相關於週期性柵格304之法線,及方位角Φ(亦即,介於入射信號312平面及該週期性柵格304之週期性方向之角),以入射角θi
射入週期性柵格304。
圖3所示,繞射信號322以相對於法線,以角度θd
射出。此外,繞射信號322包括多數繞射階次。為求說明簡便,圖3說明一繞射信號322,具有一零階繞射(繞射信號322A)、一正的一階繞射(繞射信號322B),及一負的一階繞射(繞射信號322C)。然而應瞭解,繞射信號322可包括任意數目之繞射階。
繞射信號322被偵測器320接收並由信號處理系統330分析。當光學量測系統300包括一橢圓儀,強度比tanΨ及繞射信號322之相位△被接收並檢測。當光學量測系統300包括一反射率計,繞射信號322之相對強度被接收並檢測。此外,偵測器320可包括一偏極化元件(未顯示),例如一分析器。
於一實施例中,週期性柵格304呈傾斜的及圓錐形的發光,表示入射角θi
不等於0度,且該方位角Φ不等於0度。可取得零階正交偏極化測量,且接著可基於該零階正交偏極化測量取得輪廓測量。
例如,於該晶圓302被製造的一個或一個以上時段,可檢驗一個或一個以上週期性柵格304以取得量測測量。如上所述,電磁源310將傾斜的及圓錐形的入射信號射入週期性柵格304。偵測器320接收該零階繞射信號322A。可取得該零階正交偏極化測量,且該信號處理系統330可接著基於該取得的測量,決定特徵參數。於某些情形,零階正交偏極化測量可從週期性柵格304上單一地點/位置取得,且該信號處理系統330可提供一些量測資料而不需要移動晶圓302,此具有增加產量的優點。零階光係指反射光以和入射角相同的角度反射。再者,該信號處理系統330可估算介於該零階正交偏極化測量之差異,並使用該估算之差異,以提供額外的量測資料。信號處理系統330可包括配置為處理零階正交偏極化測量的任何便利的電腦系統。
光學測量系統及技術教示於美國專利號碼6,947,141(案名OVERLAY MEASUREMENTS USING-ZERO ORDER CROSS POLARIZARIZATION MEASUREMENTS,提申於2004/9/8)、美國專利號碼6,928,395(案名METHOD AND SYSTEM FOR DYNAMIC LEARNING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROCESS,提申於2004/5/27),及美國專利號碼6,839,145(案名:OPTICAL PROFILOMETRY OF ADDITIONAL MATERIAL DEVIATIONS IN A PERIODIC GRATING,提申於2003/5/5),以上皆已讓渡予Timbre Technologies(屬於TEL公司),並將其全部引入於此作為參考。
該控制器120於不同的處理方式,可使用基於方程式之技術、基於配方的技術,及基於表(table-based)的技術。當該控制器120使用此等技術,該前饋及/或回饋控制變數能為可組態的。
在變數中,該控制器120可操作為如同單一輸入單一輸出(SISO)裝置、如同單一輸入多重輸出(SIMO)裝置、如同多重輸入單一輸出(MISO)裝置,及/或如同多重輸入多重輸出(MIMO)裝置。此外,輸入及輸出可在一控制器120內及/或介於一個或一個以上控制器120之間。於包括多重模組之多重處理之情形,輿圖資訊可從一控制器前饋或回饋給另一控制器。
當一處理設備及/或處理模組傳送資料給該資料庫,此資料可由該控制器120存取。例如,此資料可包含設備追蹤資料、維修資料,及終點偵測(EPD)資料。該追蹤資料可提供關於該處理之重要資訊。該追蹤資料可於晶圓處理期間或晶圓處理完成之後更新及儲存。
該控制器120可接收並利用外部提供之資料,以處理在處理模組之參數界限。例如,該控制器GUI構件提供一裝置以用於手動輸入該處理參數界限。此外,一工廠層級控制器可提供針對各處理模組之處理參數的界限。
該控制器120可接收並執行由市售模擬軟體建立的模式。例如,該控制器120可接收及執行外部應用程式建立的模式(PLA、PCA等。)並傳送給該控制器120。
輿圖及/或模型更新之實施,可藉由運作監測晶圓,更動該處理設定並觀察結果,接著更新該輿圖及/或模型。例如,可以藉由測量監測晶圓之前及之後的特性,每N處理小時進行更新。藉由隨時改變設定以檢查不同的操作區域,能夠隨時確認該完整的操作空間,或以不同的配方設定一次運作數個監測晶圓。該更新程序可發生於該設備或工廠之該控制器120,允許該工廠控制以管理該監測晶圓及模型更新。
該控制器120可針對下一晶圓估算更新的配方及/或更新的輿圖。於一情形中,該控制器120可使用前饋資訊、模型化資訊,及該回饋資訊以決定是否要在運作該目前的晶圓之前、運作下一晶圓之前,或運作下一批之前,改變該目前的配方。
當一量測資料來源被用於提供處理結果資料,可指定一路徑序列,使得一晶圓在該處理中的正確點遞送到該IMM140。例如,一晶圓可在進入處理模組115之前及/或該晶圓已經於處理模組115後處理,遞送至該IMM140。此外,可指定一IM配方,使得製作一組預定測量,並提供一預定組輸出資料。例如,該資料在平均之前先經過濾並由該控制器120使用。
該控制器120可包含一個或一個以上過濾器(未顯示)來過濾量測資料,以便移走隨機雜訊。越出值(outlier)過濾器可用於移走越出值,越出值在統計學上並非有效且不應在晶圓測量之平均測量中被列入考慮。雜訊過濾器可用於移走隨機雜訊並穩定該控制迴圈,可應用指數加權移動平均(Exponentially Weighed Moving Average,簡稱EWMA)或Kalm一過濾器。
該控制器120可接收並利用回饋資料。例如,該控制器120可接收針對已經處理之晶圓的輿圖資訊,並基於該資料調整該處理模型。
該控制器120可傳送並接收通知錯誤狀態。例如,該控制器120可傳送並從尤其是一工廠層級控制器、一R2R控制器,及/或一設備層級控制器之中的裝置接收通知。此外,在識別一錯誤狀態後,一通知可經由e-Diagnostics網路,電子郵件,或傳呼器傳送。
該控制器120可以於模擬模式計算及/或運作處理輿圖及/或模式。例如,該控制器120可操作平行於實際處理模式之模擬模式。於此情形,該模擬動作可記錄於歷史資料庫,且不立即採取動作。
該控制器120可基於進入的材料情況選擇處理輿圖及/或模式。例如,該控制器120可基於進入的材料狀態及處理配方,選擇處理輿圖及/或模式。該控制器可包含驗證該系統100可計算有效R2R設定的裝置。
該控制器120輸入,尤其可包括針對前饋/回饋迴圈之時間常數、針對累積的重設事件、一IMM步驟,及ODP偏移量(offset)。指示可包括尤其是:目標、容忍度(tolerances)、計算機指令、資料收集方案,演算法、模型、係數,及配方。該晶圓狀態可包括之資訊,例如,來自於該被處理的晶圓(位置、晶圓、批、批次狀態)、輪廓,及物理上或電性測量之特性。模組物理的狀態可包括該模組及構件目前的或上次已知的記錄狀態,其將用於處理該晶圓-RF小時、晶圓數目、消耗性零件狀態。該處理狀態可包括來自於該處理環境之感應器的該目前的或上次已知測量狀態,包括追蹤資料,及概要統計。該控制器參數可包括針對配方/控制器設定點之前一次設定,及建立該晶圓狀態、模組物理的狀態,及處理狀態之處理目標。
該控制器120可包含至少一電腦及支援操作軟體例如該Ingenio軟體之軟體。於一情形中,該操作軟體可包括:一組態模組、一資料管理模組、一GUI模組、一錯誤管理模組,或一疑難排解模組,或其2種或更多種的任意組合。並且,組態GUI螢幕可用於配置介於該電腦及該處理元件間的該界面、決定針對該處理元件(即設備、模組、感應器等。)之該裝置形態。資料管理GUI螢幕可用於決定欲收集資料的量及形式,並決定將該已收集的資料如何及存放在何處。再者,錯誤管理GUI螢幕可用於將錯誤狀態通知一用戶。
一般而言,前饋控制係在該晶圓到達該處理模組之前,使用對該晶圓測量的前處理資料,更新一處理模組配方。於一情形中,量測資料及處理目標資料由該控制器120接收。此等值可被比較,且結果為該所望的處理結果(例如,該所望的修整量)。接著,此所望的處理結果可被送到該控制器以用於模式選擇及計算適當的處理配方參數。此新的配方被送到該處理模組,該晶圓使用該新的配方被處理(被修整)。
於該系統100,可在該控制器120,藉由配置控制策略、控制方案,及控制模式實施前饋控制。一控制策略可針對實施前饋控制之各系統配方撰寫。當此系統配方執行於該處理設備110,可執行該控制策略中之控制方案。各控制方案可基於前饋資訊,修改配方。
一控制方案可包括輸入資料來源。可使用不同數目的輸入資料來源,且各輸入資料來源可具有一不同的符號(symbol)值。例如,一資料來源可為一ODP設備,且可為該處理設備之一部分,例如Telius。此外,其他資料來源可為一SEM,及該參數/值可為實際測量資料,例如,CD-SEM資料。
使用來自於此等資料來源之輸入,一用戶可針對一目標計算,來指定一計算。此計算結果接著用於選擇執行哪一控制模式。該系統以名目配方(存在於該設備之配方)起始。接著,加入來自於各被執行之控制方案的更新。一旦所有該控制方案被執行(於該匹配(matching)控制策略),則最終配方被傳送至該設備。
該控制器120可操作為如同一配方參數解答者,其依照適當的處理模型、處理模型限制、處理目標,及處理參數限制,產生配方參數。該控制器120具有能力管理執行於同一時間並受到單一組處理配方限制之多重處理模式。如果控制發生錯誤,該控制器120可配置為使用該設備處理配方(名目配方)、使用該虛無配方,或停止整批對整批(Run-to-Run)控制(依照設備參數設定)。欲暫停該設備110時,該控制器120可配置為暫停該處理模組,或暫停完整的系統100。
圖4為一簡化的概要視圖,說明依照本發明實施例之一閘極形成處理。於該說明之實施例中,顯示一硬遮罩鏤空(Hard Mask Open,簡稱HMO)步驟410、一第一測量步驟415、一修整步驟420、一多晶矽(poly)蝕刻步驟425、一第2測量步驟430、一清潔步驟435,及一第3測量步驟440。或者,可使用不同組的步驟。例如,可使用較少的測量步驟,及/或可在該HMO步驟前實施一測量步驟。
該處理系統100可用於處理具有孤立狀及巢狀特徵部之晶圓,且可使用控制策略以界定該處理序列。於一孤立狀/巢狀的測量序列,該處理設備選用一IM配方,並且可針對孤立狀及巢狀結構,使用分別的IMM配方。各晶圓可針對各間距(picth)及結構,分別地測量。
例如,可將晶圓載入一整合式量測(IM)模組;一IM配方可載入於該IM模組;及一輪廓儀應用程式伺服器(PAS)配方可載入於該IM控制器。其次,可測量該晶圓,且將一ODP配方載入於該IM控制器。然後,可使用該經測量之譜系,搜尋該資料庫,並識別一個或一個以上孤立結構。當測量孤立結構,可使用用於孤立狀結構之IM、PAS,及ODP配方。
接著,可將另一IM配方載入一整合式量測(IM)模組,並可將另一(PAS)配方載入於該IM控制器。該晶圓可被測量或使用先前測量的資料,且可將另一ODP配方載入於該IM控制器。然後,可使用該經測量之譜系,搜尋該資料庫,並識別一個或一個以上巢狀結構。當測量巢狀結構,可使用用於巢狀結構之IM、PAS,及ODP配方。該測量序列可針對晶圓上一個或一個以上不同位置實施,且可將該晶圓卸載。
於一實施例中,提供:一具有一第1間距之測量格柵,其與針對一特定產品及技術之該孤立結構/特徵部一致;一具有一第2間距之其他測量格柵,其與針對此產品及技術之該巢狀結構/特徵部一致。例如,595nm格柵可用於孤立結構,245nm格柵可用於巢狀結構。於選擇性的實施例,額外的測量格柵可以不同間距提供。
圖5為一簡化流程圖,說明依照本發明實施例之晶圓前處理。於該說明之實施例,顯示一孤立狀/巢狀程序500,且可實施一孤立狀/巢狀程序500以於一晶圓上產生一圖案化的遮罩。或者,可實施一不同的程序,或可能不需要一孤立狀/巢狀程序。
於510,可實施一詢問以決定該孤立的特徵部大於或等於該巢狀的特徵部。當孤立狀特徵部大於或等於該巢狀特徵部,程序500可分歧至520。當孤立狀特徵部小於該巢狀特徵部,程序500可分歧至530。
於520,當一孤立狀臨界尺寸(CD)值大於或等於該巢狀臨界尺寸(CD)值,可執行孤立狀較大(Iso-Greater)控制策略及該關連的控制方案。該控制方案可包括以下至少之一:一孤立狀/巢狀控制方案,用以控制一孤立狀/巢狀的處理;一修整控制方案,用以控制一修整處理,及一底部抗反射被覆(BARC)/抗反射被覆(BARC/ARC)鏤空控制方案,用以控制一BARC及/或ARC蝕刻處理。當該孤立狀的臨界尺寸(Iso-CD)值等於該巢狀的臨界尺寸(Nested-CD)值,或當需要的修整量實質上相等於零,或當不需要BARC蝕刻,一虛無配方可被送到該處理設備。選擇性地,一配方可不傳送至該處理設備。
當該孤立狀的臨界尺寸(Iso-CD)值大於巢狀的臨界尺寸(Nested-CD)值,該孤立狀/巢狀的處理可包括一蝕刻處理。例如,可使用一接近10 mT之腔室壓力、一接近200 W之較高RF功率、一接近0W之較低RF功率;一接近70sccm之O2
流速,來運作一孤立狀/巢狀蝕刻處理;該背側He壓力,於中間區域,可接近3 Torr,該背側He壓力,於邊緣區域,可接近3 Torr,該頂板溫度可接近80℃,該腔室壁溫度可接近60℃,該晶圓夾持件溫度可接近30℃,及該處理時間可接近36秒。此外,針對巢狀的特徵部測量,該CD改變量為接近-23nm,及針對孤立狀的特徵部測量,該CD改變量為接近-33nm。
於一實施例中,可先實施一修整處理,其中,從該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部,實質上相等量被修整(側向蝕刻)。該修整處理被實施之後,該孤立狀的軟遮罩特徵部尺寸仍大於該巢狀的軟遮罩特徵部尺寸。於一修整處理期間,另一層可能被部分蝕刻。
其次,可實施一孤立狀/巢狀蝕刻處理,其中從該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部,修整(側向蝕刻)不等量。於該孤立狀/巢狀蝕刻處理被實施後,該孤立狀的軟遮罩特徵部之尺寸實質上等於該巢狀的軟遮罩特徵部。於一孤立狀/巢狀蝕刻處理期間,另一層可能被部分蝕刻。最後,可實施一BARC/ARC鏤空蝕刻處理,其中介於該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部的殘留BARC被移走。
於530,當孤立狀的臨界尺寸(Iso-CD)值小於該巢狀的臨界尺寸(Nested-CD)值,可執行巢狀較大(Nested-Greater)控制策略及其關連的方案。該控制方案可包括用於以下至少之一之孤立狀/巢狀(Iso/Nested)控制方案:修整處理、孤立狀/巢狀(Iso/nested)沉積處理,及一BARC/ARC鏤空蝕刻處理。
當該巢狀的臨界尺寸(Nested-CD)值大於該孤立狀的臨界尺寸(Iso-CD)值,該孤立狀/巢狀的處理可包括一沉積處理。例如,一孤立狀/巢狀的沉積處理運作於接近10 mT之一腔室壓力、一接近200 W之較高RF功率、一接近100 W之較低RF功率;一接近200sccm之CHF3
流速,該背側He壓力在中間區域,可接近3 Torr,該背側He壓力在邊緣區域,可接近3 Torr,該頂板溫度可接近80℃,該腔室壁溫度可接近60℃,該晶圓夾持件溫度可接近30℃,且該處理時間可接近185秒。此外,巢狀的特徵部之該CD改變量,測量為接近+15nm,且孤立狀的特徵部之該CD改變量,測量為接近+30nm。
於一修整處理期間,從該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部,實質上相等量被修整(側向蝕刻)。於該孤立狀/巢狀(Iso/Nested)沉積處理,對於該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部,可沉積不等量,且基板上的其他區域可能被部分地被覆。於該孤立狀/巢狀(Iso/Nested)沉積處理期間,在孤立狀特徵部上的沉積速率可能較大,且於該沉積處理被實施之後,該孤立狀的軟遮罩(光阻)特徵部尺寸可大於或實質上等於該巢狀的軟遮罩(光阻)特徵部尺寸。此外,於一BARC/ARC鏤空蝕刻處理,其中,介於該孤立狀的軟遮罩特徵部及該巢狀的軟遮罩特徵部的殘留BARC可被移走。
於使用任一控制策略實施一孤立狀/巢狀(Iso/nested)程序後,經修整之孤立狀的軟遮罩特徵部之尺寸以及該巢狀的軟遮罩特徵部之尺寸,可能大於或實質上等於該要求的CD。或者,當一類似的修整程序被實施,該孤立狀的硬遮罩特徵部及該巢狀的硬遮罩特徵部的尺寸實質上相等。
於一孤立狀/巢狀(Iso/nested)程序期間,可執行與該控制策略關連的資料收集(DC)方案以及輿圖應用程式。資料收集方案及/或輿圖應用程式可於控制方案被運作前、中及/或後執行。資料收集方案可從處理元件例如一設備、一模組、一腔室,及一感應器;測量元件,例如,一OES系統、ODP系統、一SEM系統、一TEM系統,及一MES系統,取得資料。
此外,該資料收集方案之選擇及起動尚能基於情況。DC方案可用於提供資料用以將與該控制策略關連的應用程式進行輿圖。該DC方案決定收集什麼資料,如何收集該資料,及該資料存放於何處。該控制器能對於物理的模組自動產生資料收集方案及/或輿圖。一般而言,一資料收集方案可於一時間對一特定模組活化,及該控制器能選擇並使用匹配於該晶圓情況之一資料收集方案。資料可包括追蹤資料、處理日誌資訊、配方資料、維修計數器資料、ODP資料、OES資料、電壓/電流探針(VIP)資料,或類比資料,或其2種或更多種的組合。測量裝置及/或感應器可藉由一DC方案而開始及停止。一DC方案尚能提供用於修整資料、修剪資料,及處理突出(spike)資料及越出值之資訊。
此外,於收集資料之前、中及/或後,可分析資料,及可識別警示/錯誤情況。尚能執行與一分析策略有關之該分析方案。此外,判斷及/或介入方案可被執行。例如,當已收集該資料後,該資料可被送到一判斷及/或介入方案,用以評估運作規則。錯誤界限可基於歷史資料而自動地計算,或基於該消費者的經驗或處理知識人工輸入,或從電腦主機取得。該資料可與警告及控制界限比較,及當違反運作規則,可產生一警示,代表該處理已超過統計學上的界限。
再者,當一分析策略被執行,晶圓資料輿圖、處理資料輿圖,及/或模組資料輿圖可被分析,及可識別警示/錯誤情況。此外,當判斷及/或介入方案與輿圖應用程式有關連,該等可被執行。例如,於一輿圖已被建立之後,該輿圖可使用運作規則評估技術被分析。錯誤界限可基於歷史資料或基於該消費者的經驗或處理知識人工輸入,而自動地計算,或從電腦主機取得。該輿圖可與警告及控制界限比較,及當違反運作規則,可產生一警示,代表該處理已超過統計學上的界限。
當產生一警示,該控制器可實施通知或介入。通知可經由電子郵件或藉由電子郵件所啟動的傳呼器(pager)。此外,該控制器可實施一介入,在該目前批的終點暫停該處理,或於該目前晶圓的終點暫停該處理。該控制器可識別導致產生該警示的該處理模組。
一策略可包括一資料錯誤區,其可用於輸入/編輯該資料錯誤動作。例如,當一輿圖應用程式錯誤或一輿圖未能完成,可能發生一資料錯誤。當一資料發生錯誤,該系統回應可為擇自以下的選項:(a)使用設備處理配方(名目配方)-該軟體傳送該指示至該處理設備及使用該設備處理配方之該處理設備;(b)不使用處理配方(虛無配方)-該軟體傳送與該晶圓關連之該虛無配方資訊至該處理設備,且該晶圓進出該腔室而不經處理;(c)PM暫停-暫停該處理模組或(d)系統暫停-暫停該系統包括傳送系統。其他選項對於熟悉此項技藝者應為顯而易知的。來自分析方案、判斷方案,及介入方案之結果,可前饋及/或回饋資料至其他方案,且該其他方案可使用此資料以計算其等的輸出。
程序500可結束於540。
圖6說明用於操作一依照本發明之一實施例之處理系統的流程圖例。程序600起始於任務605。於一實施例中,一主系統可下載配方及/或變數參數至一處理設備,例如處理設備110(圖1)。此外,一主系統可決定晶圓序列。該經下載之資料可包括處理配方、量測配方,及晶圓序列。當所有在該匹配控制策略中被該控制方案參考的該系統配方皆已被驗證,該控制器120傳送一訊息至該處理設備110,指示該系統配方驗證為成功。如果該系統配方已經驗證,該批可利用R2R控制起始。如果未經驗證,則該批無法以R2R控制起始。
於任務610,當一晶圓由一處理系統100(圖1)接受,與該晶圓及/或批相關之該前處理資料可被接收。針對於一進來的晶圓及/或進來的批,前處理資料可包括一或多參考輿圖、一或多測量輿圖、一或多預測輿圖,及/或一或多信賴度輿圖。前處理資料可包括從與微影系統關連的測量模組而來的測量資料,例如,來自於東京威力科創(股)公司之Lithius系統,及/或從東京威力科創(股)公司之蝕刻系統例如,Telius系統而來的測量資料。
於任務615,可實施詢問以決定何時實施一前處理測量處理。於一實施例,當該前處理資料包括該正確的量測資料,則不需要一前處理測量處理。當該處理成熟(mature),該處理結果應為恆定,且不應對所有晶圓都需要該前處理測量處理。然而,可將某些晶圓識別為處理驗證晶圓,且可對於此等晶圓實施前處理測量處理。當該處理不成熟(immature),且該處理結果為變動,該前處理測量處理可被實施於較大量晶圓。當前處理測量處理不需要,程序600可分歧至任務625,及當後處理測量處理不需要,程序600從任務650分歧至任務685。
於任務620,一前處理測量處理可被實施。於一實施例中,一控制策略可被執行並用以產生一前處理測量處理配方。例如,該晶圓可被送到一IMM140(圖1),於該處,一經圖案化之晶圓的該硬遮罩層之特徵部可在一修整程序被實施之前被測定。或者,該特徵部可包括軟遮罩及/或硬遮罩特徵部。可使用一個或一個以上資料收集(DC)方案及/或輿圖應用程式。或者,可使用不同的量測系統。
圖7A顯示一簡化的視圖,為一包括多數晶片/晶粒710之圓形晶圓700上的一前處理測量輿圖720。圖7B顯示一簡化的視圖,為一包括多數晶片/晶粒710之方形基板750上的一前處理測量輿圖720。於該說明的實施例中,顯示125個晶片/晶粒,但在此發明中並非必要。或者,可顯示不同數目的晶片/晶粒。此外,所顯示的形狀係用於說明,並非對本發明為必要。例如,晶片/晶粒亦可具有矩形形狀。
該列(row)及行(column)以0至12之數字標號用於說明。此外,12個晶片/晶粒730被標記(1-12),及此等晶片/晶粒可用於定義針對於該說明的前處理測量輿圖720的該測量位置。或者,也可使用其他前處理測量方案及/或其他測量位置。
一前處理測量方案可基於儲存於一歷史資料庫之資料,由一半導體製造商具體指定。例如,一半導體製造商可能當進行SEM測量時,已歷史地選擇一些該晶圓上的位置,且想要將來自於一整合式量測設備之該測量資料使用於使用一SEM設備測量的該資料。其他製造商可使用TEM及/或聚焦離子束(Focused Ion Beam,簡稱FIB)資料。
於一實施例中,測量特徵部,例如於一經前處理晶圓之週期性柵格,可於圖7A及7B顯示之12(1-12)個位置中的一個或一個以上測量。例如,於一經過前處理之晶圓上的該特徵部,可位於一硬遮罩層,如圖4所示。
該前處理測量處理可能費時且可能影響一處理系統的產量。於處理運作期間,一製造商可能希望將用於測量一晶圓的時間量極小化。該前處理測量方案可為情況主導,並可基於該晶圓之情況,選擇不同的策略及/或方案。例如,可能不測定一個或一個以上晶圓,及/或該前處理測量處理可能使用包括於該前處理測量輿圖720中之測量位置次組合實施。
於一實施例中,於發展該半導體處理之期間,可建立一個或一個以上參考輿圖可並儲存以備之後使用。一參考測量輿圖可包括較前處理測量輿圖720所示更多位置之測量資料。或者,一參考測量輿圖可使用同組的測量位置,或不需要一參考測量輿圖。
一參考預測輿圖可包括較前處理測量輿圖720中所顯示於更多位置之預測測量資料。或者,一參考預測輿圖可使用同組測量位置,或可不需要一參考預測輿圖。
一參考信賴度輿圖,可包括較前處理測量輿圖720中所顯示於更多位置之信賴度資料。或者,一參考信賴度輿圖可使用同組測量位置或不需要一參考信賴度輿圖。
該測量、預測,及/或信賴度輿圖,可包括一個或一個以上適合度[Goodness Of Fit(GOF)]輿圖、一個或一個以上格柵厚度輿圖、一個或一個以上臨界尺寸(CD)輿圖、一個或一個以上CD輪廓輿圖、一個或一個以上材料厚度輿圖、一個或一個以上材料截面積輿圖、一個或一個以上溝渠截面積輿圖、一個或一個以上側壁角輿圖、一個或一個以上寬度差別的輿圖,或其組合。該前處理資料尤其尚能包括位置結果資料、位置數目資料、CD測量旗標資料、測量位置資料數目、X座標資料,及Y座標資料。
於任務625,一個或一個以上前處理預測輿圖可被計算。圖8顯示一前處理預測輿圖800簡化的視圖,一包括:多數晶片/晶粒810、該前述12個測量位置830被標號(1-12),及一可指示一凹口位置之參考側840。於一實施例中,曲線擬合(curve-fitting)程序可被實施,以針對未被測量之晶圓上的該位置計算資料。於其他實施例,該預測輿圖可使用表面推估、表面擬合技術,或其他數學技術決定。
於一實施例中,一第1前處理方程式可使用來自於第6列(測量位置2、3,及11)之該測量決定,且此第1前處理方程式可被使用及/或修改,以針對晶片/晶粒(6-3、6-4、6-6、6-7、6-8,及6-9)計算該預測值(期望測量資料),及該第1前處理方程式可被使用及/或修改以外插晶片/晶粒(6-0、6-1、6-11,及6-12)之預測值。或者,其他測量位置可被使用以決定該第1前處理方程式。
該第1前處理方程式及/或一經修改之版本,可用於計算/預測第5列及第7列之晶片/晶粒值。該第1前處理方程式可視需要予以修改以擬合第5列(測量位置9)及第7列(測量位置8)之該測量資料。當該第1前處理方程式不能被決定及/或適當地修改,可宣告一錯誤狀態。此外,當一個或一個以上該測量值及/或計算/預測值落於針對該晶圓建立之一均勻度界限以外,可宣告一錯誤狀態。
該第1前處理方程式及/或一經修改之版本尚能被使用以計算/預測針對該晶圓上的其餘位置之值。於一實施例中,該整個第1前處理預測輿圖,可使用該第1前處理方程式及/或一經修改之版本被計算。當一個或一個以上該測量值及/或計算/預測值落於針對該晶圓建立之一均勻度界限以外,可宣告一錯誤狀態。或者,該第1前處理方程式及/或一經修改之版本可被使用以計算/預測針對該晶圓之一部分的值。例如,該部分可包括一個或一個以上象限。
此外,一第2前處理方程式尚能使用來自於第7行(測量位置7、8、9,及10)之該測量資料決定,及此第2方程式可被使用及/或修改以針對晶片/晶粒(3-7、4-7、6-7、8-7、9-7,及10-7)計算該預測值(期望測量資料),及該第2前處理方程式可被使用及/或修改以針對晶片/晶粒(0-7、1-7,及12-7)外插預測值。或者,其他測量位置可被使用以決定該第2前處理方程式。
該第2前處理方程式及/或一經修改之版本,可用於針對5行及第6行之晶片/晶粒計算/預測值。該第2前處理方程式可視需要予以修改以能更佳地擬合於第6行(測量位置5,及6)及第5行(測量位置4,及3)之該測量資料。當該第2前處理方程式不能被決定及/或適當地修改,可宣告一錯誤狀態。此外,當一個或一個以上該測量值及/或計算/預測值落於針對於該晶圓建立之一均勻度界限之外,可宣告一錯誤狀態。
該第2前處理方程式及/或一經修改之版本,尚能被使用以針對該晶圓上的其餘位置計算/預測值。於一實施例中,完整的第2前處理預測輿圖可使用該第2前處理方程式及/或一經修改之版本被計算。當一個或一個以上該測量值及/或計算/預測值落於針對於該晶圓建立之一均勻度界限之外,可宣告一錯誤狀態。或者,該第2前處理方程式及/或一經修改之版本,可被使用以計算/預測針對該晶圓之一部分之值。例如,該部分可包括一個或一個以上象限。
或者,該第1前處理預測輿圖可僅使用該第1前處理方程式被計算,及/或該第2預測前處理輿圖可僅使用該第2前處理方程式計算。例如,像這種程序可用於針對實質上為均勻的處理降低該處理時間。
於任務630,一個或一個以上前處理信賴度輿圖可被計算。圖9顯示一信賴度輿圖920簡化的視圖,包括多數晶片/晶粒910,及該標記為(1-12)之前述12個測量位置930,以及一參考側940,該參考側可指示於一晶圓上之一凹口位置,或一基板之特定側。於一實施例中,一前處理信賴度輿圖,可使用該介於該第1前處理預測輿圖及該第2前處理預測輿圖之差予以計算。或者,一前處理信賴度輿圖,可使用該介於一前處理預測輿圖及一參考測量輿圖的差予以計算。
如已說明的實施例中所示,一信賴度輿圖可使用如值「C1」及「C2」分成所示的不同區域,且該不同的值及/或規則可針對該不同的區域建立。例如,2個區域可用於說明介於一中間區域及一邊緣區域之不同。或者,可使用不同數目的區域。
於其他實施例,一前處理信賴度輿圖可使用該介於一前處理預測輿圖,及針對該晶圓建立之均勻度界限之差異予以計算。例如,當一預測輿圖中之值,接近於均勻度界限,該信賴度值可能低於當一預測輿圖非接近於均勻度界限時之值。
此外,針對一或多處理之處理結果輿圖及/或信賴度輿圖,可用於計算一針對經測量資料之信賴度輿圖。
於任務635,可實施詢問以決定何時基於該前處理資料建立一優先位置。當於該信賴度輿圖中的所有區域為高,不需建立一新的優先位置。於其他實施例,當該介於該預測輿圖之差異小,及/或當該介於該前處理預測輿圖及參考測量輿圖之差異小,則不需建立一新的優先位置。
此外,當針對一特定處理,信賴度輿圖之值皆為高,則可建立一新的測量方案,使用較少數目測量位置,並減少總處理時間。
當一個或一個以上信賴度輿圖值中的一個或一個以上區域的值為低,可於該等區域建立一個或一個以上新的優先位置。於其他實施例,當該介於該預測輿圖之差異大,及/或當該介於該前處理預測輿圖及參考測量輿圖之差異大,可建立一個或一個以上新的優先位置。例如,優先位置可針對完整的晶圓或特定區域,例如特定象限(Q1、Q2、Q3,或Q4)建立。
當需要一優先位置,程序600可分歧至任務640,當不需要一優先位置,程序600可分歧至任務645。
於任務640,可建立一個或一個以上優先位置。圖10顯示一新的前處理測量輿圖1020簡化的視圖,包括多數晶片/晶粒1010、一新的前處理測量位置1035、該前述12個測量位置1030(標記為1-12),及一參考側1040,該參考側1040指示晶圓上的凹口位置或基板上的特定側。或者,一新的前處理測量輿圖,可包括該晶圓上在不同位置的多數優先位置。當於該晶圓中一區域的信賴度值低,可在該區域建立一個或一個以上優先位置以作為前處理測量位置。例如,當於該第1象限(Q1)晶片/位置(3-2)之信賴度值低,其可被識別為該優先位置,並指示該量測設備於該位置進行測量。
該前處理信賴度輿圖可為該經計算前處理預測值之信賴度值量,且可為該經測量之前處理資料及落於必要規格內之前處理之經預測資料之信賴度值量。
當需要一新的前處理優先位置,可建立一新的前處理量測配方,且該新的配方可用於指示該量測設備在一個或一個以上優先位置進行額外的前處理測量。
於一實施例中,該新的前處理優先位置可從一組預先定義的位置中選擇。例如,於設定及/或驗證程序期間,測量可能已在多於40個位置進行,且可使用此等位置中一個或一個以上。或者,該新的前處理優先位置可不選自於一組預先定義的位置。
當計算該前處理信賴度輿圖之同時該晶圓仍在該量測設備中,可以在延遲極小量的狀態實施額外的新建立的優先位置測量。當信賴度輿圖係於該晶圓已離開該量測設備時被計算,新的配方可稍後使用,且該額外的優先位置測量可在延遲一段時間後實施。
於一實施例中,當針對一優先位置之該測量資料被建立,其可該與前處理預測輿圖中的資料比較。或者,當針對一優先位置之該測量資料被建立,可以儲存並且之後與該前處理預測輿圖中之資料比較。當針對一優先位置之該測量資料,落於由晶圓均勻度規格所建立之界限外時,可宣告一錯誤狀態。
當針對一優先位置之該測量資料接近於某一特定預測輿圖之值,該預測輿圖可用於該優先位置周圍的區域。例如,當一個或一個以上優先位置位於第1象限,且該一或多測量值接近於該第1前處理預測輿圖中之一或多個值,則該第1前處理預測輿圖可用於該第1象限。
當針對一優先位置之該測量資料非接近於特定預測輿圖中之值,可建立一新的預測輿圖,並使用於該優先位置周圍的區域。例如,當一個或一個以上優先位置落於該第1象限,且該一或多測量值不接近於該前處理預測輿圖之值,則可建立一新的前處理預測輿圖,並使用於該第1象限。
每當一預測輿圖改變,可計算一新的信賴度輿圖或一新信賴度輿圖的部分。
於任務645,如果信賴度輿圖落於要求的界限內,該晶圓可被處理。一或多修整及/或蝕刻及/或灰化程序可被實施以於一晶圓上產生一經圖案化的多晶矽層,且此等程序可如圖4所示地實施。或者,可實施一不同的程序。
於一硬遮罩修整程序期間,可以計算一個或一個以上處理配方及一組以上控制設定(配方參數)。當圓形晶圓被處理時,可以針對於半徑方向之改變,調整處理配方,當非圓形晶圓被處理時,可以針對於橫向發生的改變,調整處理配方。
於一實施例中,可實施橫向修整處理,以改變一硬遮罩特徵部的尺寸及/或形狀。例如,一硬遮罩層可能包括TEOS材料。該處理系統100(圖1)可實施一化學性氧化物去除(Chemical Oxide Removal,簡稱COR)處理以產生帶有必要尺寸之硬遮罩特徵部。用以實施COR處理之方法與系統教示於同在審查中的美國專利申請案號10/736,983(案名「Method of Operating a System For Chemical Oxide Removal」,Tomoyasu等人提申於2003/12/17),及美國專利申請案號10/705,201(案名「Processing System and Method For Treating a Substrate」,Hamelin等人提申於2003/11/12),皆引入於此作為參考。
接著,該硬遮罩特徵部可被用於將特徵部蝕刻到閘極材料層。例如,該閘極材料可包括經攙雜及/或未經攙雜之多晶矽材料。接著,可實施一清潔處理,以移走該硬遮罩層的其餘部分。例如,可實施一灰化處理及/或濕性清潔處理。其次,可在該清潔處理實施之後,實施一測量程序。或者,可該清潔處理實施之前,實施一測量程序。
圖11顯示依本發明一實施例之一修整處理例。於該說明的實施例中,於一晶圓1100上顯示一硬遮罩特徵部1005,且上層1130之其餘部分顯示於該特徵部頂部。或者,不存在一上層1130。一經測量之CD1110、經測量之側壁角1135、一目標CD1120,及一目標側壁角1125被顯示。該所望處理結果可包括:一修整量1140,其可為介於經測量CD1110與該目標CD1120之差異;及一側壁角調整,其可為介於該經測量側壁角1135及該目標側壁角1125之差異。此外,可在該目標值附近建立容許值,且此等可用於決定GOF資料及/或信賴度資料。於修整之情形(相對於垂直蝕刻),該修整在結構的兩個表面上同時進行。因為這樣,該修整量為一毯覆式晶圓上的2倍。
於一實施例中,一先前計算的預測輿圖被用為該測量資料輿圖。或者,可使用一經修改的預測輿圖。
圖12顯示依照本發明之處理結果的輿圖簡化視圖。圖12顯示處理結果輿圖1220之簡化視圖,包括多數晶片/晶粒1210、前述12個測量位置1230(標記為1-12),及一參考側1240,該參考側1240指示晶圓上的凹口位置或基板的特定側。於一實施例中,處理結果輿圖可使用測量輿圖及/或處理輿圖決定。或者,處理結果輿圖可使用處理模型決定。
如已說明的實施例中所示,處理結果輿圖可使用「PR1」及「PR2」值分割成不同的區域,且可針對該不同的區域建立不同的值及/或規則。或者,可使用不同數目的區域。該第1群位置「PR1」可具有與其關連的一第1組處理結果,該第2群位置「PR2」可具有與其關聯的一第2組處理結果。對本發明,不必要為2群,僅為例示。或者,可使用不同數目的群。例如,當預計為一組實質均勻之處理結果時,可使用單一群,及2-群技術可用於說明中間區域及一邊緣區域之差異。此外,一2-區帶(zone)技術可用於簡化該計算處理,或可於每當預計針對一中間區域及一邊緣區域,發生不同的處理結果及/或不同的測量結果時使用。
當實施一蝕刻及/或修整程序,可使用一個或一個以上處理結果輿圖。一蝕刻處理輿圖可用於描述垂直蝕刻量,一側壁角調整輿圖可用於描述側壁角量改變,與此等輿圖關連的容忍值,可用於識別於一個或一個以上處理結果中可容許的變異。一修整輿圖可用於描述橫向蝕刻量,一側壁角調整輿圖可用於描述側壁角量改變,與此等輿圖關連的容忍值輿圖可用於識別於一個或一個以上資料項目中的可容許變異。此外,處理信賴度輿圖可用於建立於一處理序列中一或多個處理的風險因子。例如,處理信賴度輿圖可能隨時間而不同,且可能對應於腔室清潔程序而異。
當執行一修整程序,一控制策略可包括一個或一個以上輿圖及/或預測方程式,其可被建立以模型化該處理空間。於一實施例中,可使用隨半徑位置改變之預測方程式,例如(y(rp)=f(x,rp))。於一情形中,y(rp)可等於該晶圓上於半徑位置(r)之所望處理結果。例如,y(rp)可為一所望的處理結果,例如「修整量」[TA(rp)],及x(rp)可等於一與y(rp)相關之處理參數(控制變數)。於該處理空間,一個或一個以上預測及/或模式方程式可藉由建立一多項式並找出該多項式之係數決定,該多項式將該處理空間之第1部分的處理氣體流速相關至一修整量。例如,可使用一Nth
階次多項式
其中,DV(rp)為一動態變數,其可與半徑位置(rp)連動,PR(rp)為一要求之處理結果,其可與半徑位置(rp)連動,N>=1;及An
可包含一常數,具有一正值、一負值及零值中至少之一。於一實施例中,該Nth
階次多項式可被求解以決定一DV(rp)值。
選擇性地,一反向方程式可藉由建立一不同的多項式並找出該不同多項之係數而決定,多項式可將該反向處理空間之不同部分中的處理變數(氣體流速)相關至處理結果(修整量)。
例如,可使用一Nth
階次多項式。
其中,DV(rp)為一動態變數,其可與半徑位置(rp)連動,PR(rp)為一要求之處理結果,例如修整量,其可與半徑位置(rp)連動,N>=1,及Cm
,可包含一常數,具有一正值、一負值,及一零值之中至少之一。
該控制器能針對此等方程式及/或模型之形態,且此等控制器能操作一個或一個以上此等術語。建立一術語(term)表以操作。此等術語可由該控制器定義並可於該處理中指定給至少一步驟。選擇性地,可建立一配方參數輿圖,其中各術語被指定一參數值。
於任務650,可實施詢問以決定何時執行後處理測量處理。當該處理成熟,該處理結果應為恆定,且不應需要對每一晶圓進行該後處理測量處理。然而,有些晶圓可識別為處理驗證晶圓,可對此等晶圓實施後處理測量處理。當該處理不成熟,且該處理結果為變動的,該後處理測量處理可被實施。當後處理測量處理不需要,程序600可分歧至任務685,及當需要後處理測量處理,程序600可分歧至任務655。
於一實施例中,可執行一控制策略並用以產生該後處理測量處理配方。例如,該晶圓可被送到一IMM140(圖1),於該處,可在該閘極材料上實施蝕刻處理後,測量經過圖案化之晶圓的特徵部。或者,可使用不同的量測系統。例如可進行TEM及/或SEM測量。
圖13A顯示於一包括多數晶片/晶粒1310之圓形晶圓1300之後處理測量輿圖1320的簡化視圖。圖13B顯示於包括多數晶片/晶粒1310之方形基板1350上之後處理測量輿圖1320簡化視圖。於該說明的實施例中,顯示125個晶片/晶粒,但在此發明中並非必要。或者,可顯示不同數目的晶片/晶粒。此外,所示形狀係用於說明,對本發明並不是必要的。例如,晶片/晶粒亦可為矩形。
列(row)及行(columns),為了說明,標以0至12的數字。此外,12個晶片/晶粒1330標以1-12,及此等晶片/晶粒可用於對該說明後處理測量輿圖1320,定義測量位置之位置。或者,可使用其他後處理測量方案及/或其他測量位置。
一後處理測量輿圖1320可基於儲存於歷史資料庫之資料,由半導體製造商指定。例如,一半導體製造商可歷史性地在進行SEM測量時,選擇晶圓上的一些位置,並將該測量資料從一整合式量測設備關連於以SEM設備測量之該資料。其他製造商可使用FIB資料。
於一實施例中,後處理晶圓之該特徵部可在圖13A及13B所示1-12位置中的一個或一個以上位置測量。例如,後處理晶圓上之該特徵部可如圖4所示。
該後處理測量輿圖可包括一個或一個以上適合度(Goodness Of Fit,簡稱GOF)輿圖、一個或一個以上格柵厚度輿圖、一個或一個以上臨界尺寸(CD)輿圖、一個或一個以上CD輪廓輿圖、一個或一個以上材料厚度輿圖、一個或一個以上材料截面積輿圖、一個或一個以上溝渠截面積輿圖、一個或一個以上側壁角輿圖,或一個或一個以上寬度差別的(differential width)輿圖,或其組合。該後處理資料尤尚能包括位置結果資料、位置數目資料、CD測量旗標資料,測量位置數目資料、X座標資料,及Y座標資料。
於任務660,可計算一個或一個以上後處理預測輿圖。圖14顯示後處理預測輿圖1420的簡化視圖,包括多數晶片/晶粒1410、該前述12個測量位置1430標以1-12,及一參考側1440,該參考側1440可指示凹口位置。於一實施例中,可實施曲線擬合(curve-fitting)程序以計算晶圓上未被測量之位置的資料。於其他實施例,該預測輿圖可使用表面測量、表面擬合技術,或其他數學技術決定。
於一實施例中,一第1後處理方程式可使用來自於第6列(row)(測量位置2、3,及11)之測量資料決定,且該第1後處理方程式可使用於及/或修改以針對晶片/晶粒(6-3、6-4、6-6、6-7、6-8,及6-9)計算預計之後處理測量資料,及該第1後處理方程式可被使用及/或修改以外插針對晶片/晶粒(6-0、6-1、6-11,及6-12)之預計後處理測量資料預測值。或者,可使用其他測量位置以決定該第1前處理方程式。
該第1後處理方程式及/或一經修改之版本,可用於針對第5列及第7列之晶片/晶粒計算/預測後處理之值。該第1後處理方程式可視需要修改以擬合該第5列(測量位置9)及第7列(測量位置8)之後處理測量資料。當該第1後處理方程式不能被決定及/或適當地修改,可宣告一錯誤狀態。此外,當一個或一個以上該測量值及/或計算/預測值,落於對該晶圓建立之均勻度界限外,可宣告一錯誤狀態。
該第1後處理方程式及/或一經修改之版本尚能被使用於計算/預測針對該晶圓上其他位置之值。於一實施例中,該整個第1後處理預測輿圖可使用該第1後處理方程式及/或一經修改之版本計算。當一個或一個以上計算及/或預測值落於針對該晶圓建立之均勻度界限外,可宣告一錯誤狀態。或者,該第1後處理方程式及/或一經修改之版本可使用於計算/預測針對該晶圓一部分之值。例如,該部分可包括一個或一個以上象限。
此外,一第2後處理方程式尚能使用來自於第7行(測量位置7、8、9,及10)之該後處理測量資料決定,且此第2後處理方程式可使用於及/或修該以針對晶片/晶粒(3-7、4-7、6-7、8-7、9-7,及10-7)計算預計之後處理測量資料,該第2後處理方程式可被使用及/或修改以外插針對晶片/晶粒(0-7、1-7,及12-7)之預計後處理測量資料值。或者,其他測量位置可被使用以決定該第2後處理方程式。
該第2後處理方程式及/或一經修改之版本可用於計算/預測針對第5行及第6行之晶片/晶粒之值。該第2後處理方程式可視需要予以修改以更為良好地擬合於第6行(測量位置5及6)及第5行(測量位置4及3)之該測量資料。當該第2後處理方程式不能被決定及/或適當地修改,可宣告一錯誤狀態。此外,當一個或一個以上該測量值及/或計算/預測值落於針對該晶圓建立之均勻度界限外,可宣告一錯誤狀態。
該第2後處理方程式及/或經修改之版本尚能被使用以計算/預測針對該晶圓上其他位置之值。於一實施例中,完整的第2後處理預測輿圖可使用該第2後處理方程式及/或一經修改之版本計算。當一個或一個以上計算值及/或預測值落於針對該晶圓建立之均勻度界限外,可宣告一錯誤狀態。或者,該第2後處理方程式及/或一經修改之版本可被使用於計算/預測該晶圓一部分之值。例如,該部分可包括一個或一個以上象限。
或者,該第1後處理預測輿圖可僅使用該第1前處理方程式計算,及/或該第2預測後處理輿圖可僅使用該第2後處理方程式計算。例如,針對實質上均勻之處理,可使用例如此程序於減少該處理時間。
於任務665,可計算一個或一個以上後處理信賴度輿圖。圖15顯示後處理信賴度輿圖1520之簡化視圖,包括多數晶片/晶粒1510,前述12個測量位置1530標以1-12之數字,及參考側1540,該參考側1540可指示凹口位置。於一實施例中,後處理信賴度輿圖可使用該介於該第1後處理預測輿圖及該第2後處理預測輿圖之差異所計算。或者,後處理信賴度輿圖可使用介於後處理預測輿圖及參考測量輿圖之差異所計算。
如已說明的實施例中所示,信賴度輿圖可使用「C1」及「C2」值分割成所示不同的區域,且/或針對該不同的區域建立規則。例如,2區域可用於說明介於一中間區域及一邊緣區域之差異。或者,可使用不同數目的區域。
於其他實施例,後處理信賴度輿圖可使用介於後處理預測輿圖及針對該晶圓建立之該均勻度界限之差異所計算。例如,當一預測輿圖之值接近於均勻度界限,該信賴度值可能低於當一預測輿圖之值非接近於均勻度界限。
於一實施例中,一第1種後處理信賴度輿圖提供該測量資料中的信賴度值估計值,換言之,即該預測測量資料是否為正確。因為測量完整的晶圓可能花費太久時間,因此使用較少數目的測量位置,且必需建立信賴度因子以確認該預測測量資料精確地代表使用對於該晶圓上更多位置或更大部分測量時可能取得的資料。一第2種後處理信賴度輿圖可提供修整處理中的信賴度值估計值。因為測量經過處理之完整的晶圓可能花費太久時間,且半導體製造商希望確認該處理已被正確地實施,因此可將實際測量資料及/或預測測量資料與預計的目標值比較,當此等數目落於指定界限內,即使未測量完整的晶圓,半導體製造商可確保該處理已被正確地實施。
於任務670,可實施詢問以決定何時基於後處理之資料建立優先位置。當該後處理信賴度輿圖中所有區域之值為高,需要建立新的優先位置。於其他實施例,當介於該預測輿圖之差異小,及/或當介於該後處理預測輿圖及參考測量輿圖之差異小,則不需要建立新的優先位置。
此外,當針對某一特定處理,後處理信賴度輿圖之值皆為高,可建立新的測量方案,使用較少數目測量位置,以能降低總處理時間。
當該後處理信賴度輿圖之中一個或一個以上區域的一個或一個以上值為低,可在該等區域建立一個或一個以上新的優先位置。於其他實施例,當介於該後處理預測輿圖之間的差異大,及/或當介於該後處理預測輿圖及參考測量輿圖之差異大,可建立一個或一個以上新的優先位置。例如,可針對完整的晶圓或一特定區域,例如一特定象限(Q1、Q2、Q3,或Q4)建立優先位置。
當後處理優先位置為必要,程序600可分歧至任務675,當後處理優先位置不必要,程序600可分歧至任務680。
於任務675,可建立一個或一個以上優先位置。圖16顯示一新的後處理測量輿圖1620的簡化視圖,包括:多數晶片/晶粒1610、一新的後處理測量位置1635、該前述12個測量位置1630標記為1-12,及一參考側1640,該參考側1640可指示晶圓上之凹口位置或基板上的特定側。或者,一新的後處理測量輿圖可包括該晶圓上在不同位置之多數優先位置。當該晶圓之一區域的信賴度值低,可於該區域建立一個或一個以上優先位置以作為後處理測量位置。例如,當該第1象限(Q1)晶片/位置(3-2)之信賴度值低,可識別為優先位置,並指示該量測設備在該位置進行測量。
當需要一新的後處理優先位置,可產生一新的後處理量測配方,且該新的配方可用於指示該量測設備在一個或一個以上優先位置進行額外的後處理測量。當該後處理信賴度輿圖被計算的同時,該晶圓位於該量測設備,該在新建立之優先位置的額外測量,可以延遲極小量實施。當該後處理信賴度輿圖在該晶圓已離開該量測設備後被計算,該新的配方可於之後使用,且於該優先位置之該額外的測量可在之後的時間實施。
於一實施例中,當針對一優先位置之該測量資料被建立,可與該後處理預測輿圖中之資料比較。或者,當針對一優先位置之該測量資料建立,可儲存並且之後與該後處理預測輿圖中之該資料比較。當針對一優先位置之測量資料落於由晶圓均勻度規格所建立之界限外,可宣告一錯誤狀態。
當針對一優先位置之該測量資料接近於一特定預測輿圖之值,該預測輿圖可用於優先位置周圍的區域。例如,當一個或一個以上優先位置位於該第1象限,且該一或多個測量值接近於該第1後處理預測輿圖之值,則該第1後處理預測輿圖可用於該第1象限。
當針對一優先位置之該測量資料非接近於於一特定預測輿圖之值,可建立一新的預測輿圖,並使用優先位置周圍的區域。例如,當一個或一個以上優先位置位於該第1象限,且該一或多個測量值不接近於該前處理預測輿圖中之一或多個值,則可建立一新的前處理預測輿圖,並使用於該第1象限。
每當一後處理預測輿圖改變,可計算一新的後處理信賴度輿圖或一新的後處理信賴度輿圖的部分。
當針對該量測設備之一新後處理測量配方產生,該新的測量配方可在之後的時間使用於指示該量測設備在一個或一個以上優先位置進行。例如,該新的測量配方可用於測量下一晶圓或其他晶圓。或者,該目前的晶圓可移動到一量測設備中,並使用該新的後處理測量配方再次測量。
每當一後處理預測輿圖改變,可計算一新的後處理信賴度輿圖或一新的後處理信賴度輿圖之部分。此外,可計算一平均的後處理預測輿圖。例如,平均的後處理預測輿圖可針對完整的晶圓或一特定區域,例如一特定象限(Q1、Q2、Q3,或Q4)計算。
於任務680,可實施詢問以決定何時實施其他後處理測量處理。當該處理成熟,該處理結果應為恆定,且該不需要後處理測量處理。然而,可將某些晶圓識別為處理驗證晶圓,且對此等晶圓實施後處理測量處理。當該處理不成熟,且該處理結果為變動的,可實施該後處理測量處理。當不需要其他後處理測量處理,程序600可分歧至任務685,及當需要後處理測量處理,程序600可分歧至任務655。
於一實施例中,當已識別一個或一個以上優先位置,可於該一個或一個以上優先位置實施後處理測量處理。
於一實施例中,使用先前計算之預測輿圖作為該測量資料輿圖。或者,可使用經修改的預測輿圖。
於任務685,可實施詢問以決定何時需要額外的晶圓處理。當實施處理,一些數目之晶圓可以批或批次之方式處理。當不需要額外的晶圓處理,程序600可分歧至任務690,及當需要額外的晶圓處理,程序600可分歧至任務610。
程序600可結束於690。
於選擇性的實施例,可使用可調蝕刻抗蝕(Tunable Etching Resistance ARC,簡稱為TERA)材料,作為一BARC材料及/或一ARC材料及/或一硬遮罩材料,且該閘極材料可包括GaAs、SiGe,及應變矽(strained silicon)。
圖17A-17C說明依照本發明之實施例,用以實施動態取樣之不同處理方法。估算晶圓測量配方設定(針對量測之變數配方)應用程式,可以3種不同的方法實施:該第1方法使用該測量分析系統(TimbrePAS),該第2方法使用該設備處理控制系統(Telius/Ingenio),且該第3方法使用工廠主機。
於圖17A所示,該說明的實施例中,一個或一個以上動態取樣應用程式可在測量分析系統藉由PAS控制器實施。於1A,配方表可與晶圓情況一起送到IM,且可使用PJ開始指令。於2A,該IM可傳送晶圓情況至一PAS控制器,且可包括一選擇性晶圓輿圖。於3A,該PAS控制器可呼叫一或多動態取樣(DS)應用程式。於4A,DS應用程式可用於估算該晶圓輿圖位置位置調整。於5A,該PAS控制器可傳送變數調整訊息至IM。於6A,該IM可利用經修改的配方進行測量。
於圖17B所示,於該說明的實施例中,一個或一個以上動態取樣應用程式可於一先進處理控制(Advanced Process Control,簡稱APC)系統以一控制器實施。於1B,配方表可與晶圓情況一起送到IM,且可使用PJ開始指令。於2B,該設備可傳送晶圓情況至一APC控制器,且可包括一選擇性晶圓輿圖。於3B,該APC控制器可呼叫一個或一個以上DS應用程式。於4B,一DS應用程式可用於估算該晶圓輿圖位置之位置調整。於5B,該設備控制器可從APC控制器接收變數調整訊息。於6B,該設備控制器可傳送變數調整訊息至IM。於7B,該IM可利用經修改的配方進行測量。
於圖17C所示,該說明的實施例中,一個或一個以上動態取樣應用程式可於一主系統中藉由一控制器實施。於1C,配方表可以與晶圓情況傳送至IM,且可使用PJ開始指令。於2C,該設備可傳送晶圓情況至一主機控制器,可包括一選擇性晶圓輿圖。於3C,主機控制器可呼叫一個或一個以上DS應用程式。於4C,DS應用程式可用於估算該晶圓輿圖位置位置調整。於5C,主控制器(host controller)可傳送變數調整訊息至該處理設備。於6C,該設備控制器可傳送變數調整訊息至IM。於7C,該IM可利用經修改的配方測量。
復參照圖1,該控制器120可使用介於針對該進入的材料(輸入狀態)及處理結果輿圖(所望的狀態)測量輿圖之差異,以預測、選擇,或計算一組處理參數,以達成所望的結果,將該晶圓狀態從輸入狀態改變為該所望的狀態。例如,此組預測的處理參數可為配方之一第1估計值,使用於提供均勻處理。此外,可從該MES130取得測量輿圖及/或處理結果輿圖,並可用於更新該第1估計值。
該控制器120可基於一個或一個以上輸入狀態輿圖、一個或一個以上處理模組特性輿圖,及一個或一個以上處理模型,針對該晶圓估算預測的狀態輿圖,例如,修整速率輿圖與處理時間一起使用於估算預測的修整量輿圖。選擇性地,蝕刻速率輿圖可與處理時間一起使用於估算蝕刻深度輿圖,且一沉積速率輿圖可與處理時間一起使用於估算一沉積厚度輿圖。
該控制器120可使用該後處理測量輿圖及/或資料,以估算一第1組處理誤差。此組估算的組處理誤差可基於一個或一個以上所望的處理結果輿圖決定,且從一個或一個以上該後處理測量輿圖決定該實際處理結果輿圖。於一情形中,該控制器120取得該必要輿圖,及該控制器120使用一個或一個以上輿圖決定介於該所望的狀態及該實際狀態之差異。以此方式,可將一個或一個以上測量之實際處理結果輿圖與一個或一個以上所望的處理結果輿圖比較,以便決定對該處理配方之修正量。例如,該「結果」輿圖可包括上部CD輿圖、下部CD輿圖、側壁角輿圖,且修正可針對該修整處理、該BARC/ARC鏤空蝕刻處理,及/或該孤立狀/巢狀蝕刻處理,而對該處理配方進行。
於其他情形中,該控制器120可針對該晶圓取得一個或一個以上預測狀態輿圖及一個或一個以上輸出狀態輿圖,及該控制器120決定該介於該預測狀態輿圖及該輸出狀態輿圖之間的差異。以此方式,測量的實際處理結果輿圖可與預測處理結果輿圖比較,以便決定一個或一個以上處理模型及/或輿圖之修正量。例如,該「結果」輿圖可包括上部CD輿圖、下部CD輿圖、側壁角輿圖,修正可針對該修整處理、該BARC鏤空蝕刻處理,及/或該孤立狀/巢狀蝕刻處理,而對該處理模型進行。
輿圖之更新,可藉由運作晶圓監控、測試,及/或生產而產生之回饋資料,更改該處理設定並觀察結果,接著更新一個或一個以上不同的輿圖來實施。例如輿圖更新可藉由測量監控晶圓之前及之後特性,以每N處理小時之間隔更新。藉由隨時間更改設定,以檢查不同的操作區域,該完整的操作空間可隨時確認,或者一次以不同的配方設定運作數個監測晶圓。該輿圖更新可發生於該控制器120、該處理設備,或工廠,允許該工廠去控制及/或管理該監測晶圓及輿圖更新。
該控制器120可於處理序列之一個或一個以上的點更新輿圖。於一情形中,該控制器120可使用前饋資訊、模型化資訊,及該回饋資訊,以決定是否要在運作該目前的晶圓之前、運作下一晶圓之前,或運作下一批之前,改變一個或一個以上該目前使用之輿圖。
於決定對一處理之信賴度因子時,可使用一必要的處理結果輿圖。該必要的處理結果輿圖可包含介於該所望的處理結果輿圖及該實際測量資料輿圖之差異。所望的處理結果資料,例如目標資料,可與測量資料比較。例如,該所望的處理結果輿圖可包含至少一所望的溝渠面積輿圖、一所望的材料厚度輿圖、一所望的側壁角輿圖、一所望的格柵厚度輿圖、一所望的橫截面面積輿圖、一所望的CD寬度輿圖、一所望的CD深度輿圖、一所望的特徵部輪廓輿圖、一所望的修整量輿圖、一所望的深度差別的輿圖、一所望的均勻度輿圖,及一所望的寬度差別的輿圖。
當輿圖應用程式被實行時,輿圖之來源可能為重要的,且可事先識別。例如,輿圖可在外部產生,或產生於內部。外部產生的輿圖可由該MES130提供。該內部產生的輿圖可使用計算值及/或從一GUI輸入以建立。此外,可提供商務規則以決定使用外部產生的輿圖或一內部產生的輿圖之時機。輿圖在使用前,需先經評估以及預先檢查合格。
雖然以上僅詳述本發明之某一實施例,但是熟悉此項技藝之人士,應瞭解在實質不偏離本發明之新穎教示及優點的範圍內,可將實施例作許多修改。因此,所有此等修改意欲被包括於本發明之範圍內。
因此,該敘述並不用於限制本發明,且敘述不意欲限制本發明。所述及之本發明組態、操作及動作,可作修飾及變更。綜言之,前述細節在任何方面並不意指或意欲限定本發明,本發明之範圍係以附帶的申請專利範圍界定。
100...處理系統
110...處理設備
112...連結件
115...處理模組
120...控制器
122...連結件
130...製造設備系統(工廠系統)(MES)
140...整合式量測模組(IMM)
150...傳送系統
200...整合處理系統
210...處理系統(TELIUS)
215...工廠界面控制器
220...群層級控制器
225...設備層級APC控制器
230...量測分析控制器
235...整合式量測模組(IMM)
300...光學量測系統
302...晶圓
304...週期性柵格
310...電磁源
312...入射信號
320...偵測器
322...繞射信號
322A...繞射信號
322B...繞射信號
322C...繞射信號
330...信號處理系統
410...硬遮罩鏤空步驟
415...第1測量步驟
420...修整步驟
425...多晶矽(poly)蝕刻步驟
430...第2測量步驟
435...清潔步驟
440...第3測量步驟
500...孤立狀/巢狀程序
700...圓形晶圓
710...晶片/晶粒
720...前處理測量輿圖
730...晶片/晶粒
750...方形晶圓
800...前處理預測輿圖
810...晶片/晶粒
830...測量位置
840...參考側
900...信賴度輿圖
910...晶片/晶粒
1010...晶片/晶粒
1020...前處理測量輿圖
1030...測量位置
1035...前處理測量位置
1040...參考側
1100...晶圓
1105...處理設備
1110...第1測量處理
1115...修整處理
1120...閘極蝕刻處理
1125...選擇性測量處理
1130...清潔處理
1135...第2測量處理
1140...修整量
1210...晶片/晶粒
1220...處理結果輿圖
1230...測量位置
1240...參考側
1300...圓形晶圓
1310...晶片/晶粒
1320...後處理測量輿圖
1330...晶片/晶粒
1350...方形基板
1410...晶片/晶粒
1420...後處理預測輿圖
1430...測量位置
1440...參考側
1510...晶片/晶粒
1610...晶片/晶粒
1620...後處理測量輿圖
1630...測量位置
1635...後處理測量位置
1640...參考側
圖1為方塊圖例,顯示依照本發明一實施例之處理系統。
圖2為一簡化的方塊圖,顯示依照本發明之一實施例之另一處理系統。
圖3為一視圖例,顯示依照本發明之一實施例的光學量測系統。
圖4為一簡化的概要視圖,說明依照本發明之實施例之閘極形成處理例。
圖5為一簡化的流程圖,說明依照本發明之實施例之晶圓前處理。
圖6為一例示之流程圖,說明依照本發明之一實施例之用於操作一處理系統的方法。
圖7A及7B為一視圖例,顯示依照本發明之實施例之前處理測量輿圖。
圖8為一視圖例,說明依照本發明之一實施例之一前處理預測輿圖。
圖9為一視圖例,說明依照本發明之實施例之一前處理信賴度輿圖。
圖10為一視圖例,說明依照本發明之實施例之一新的前處理測量輿圖。
圖11說明依照本發明之實施例之一例示之修整處理。
圖12為一簡化的視圖,顯示依照本發明之實施例的處理結果輿圖。
圖13A及13B為一視圖例,顯示依照本發明之實施例之後處理測量輿圖。
圖14為一視圖例,顯示依照本發明之一實施例之後處理預測輿圖。
圖15為一視圖例,說明依照本發明之實施例之後處理信賴度輿圖。
圖16為一視圖例,顯示一依照本發明實施例之新的後處理測量輿圖。
圖17A-17C,說明依照本發明之實施例,用以實施動態取樣之不同的處理方法。
100...處理系統
110...處理設備
112...連結件
115...處理模組
120...控制器
122...連結件
130...製造設備系統(工廠系統)(MES)
140...整合式量測模組(IMM)
150...傳送系統
Claims (19)
- 一種晶圓之處理方法,包含:接收一晶圓,其中該晶圓包含多數晶粒,各晶粒具有一經圖案化的硬遮罩層設於至少另一層頂部;針對該晶圓決定量測資料,其中,該量測資料包括針對該晶圓上至少一硬遮罩特徵部之臨界尺寸(CD),及至少一其他層之資料;該量測資料係使用該晶圓上針對一第1數目之測量位置的歷史資料或測量資料或其組合而決定;使用該量測資料,針對該晶圓建立一前處理測量輿圖;計算該晶圓之一第1前處理預測輿圖,該第1前處理預測輿圖包括針對該晶圓上之一第1組晶粒之一第1組預測測量資料,其中計算該晶圓之該第1前處理預測輿圖係使用一第1前處理方程式,該第1前處理方程式係使用來自一第1方向上之二或更多測量位置的測量資料而決定;計算該晶圓之一第2前處理預測輿圖,該第2前處理預測輿圖包括針對該晶圓上之一第2組晶粒之一第2組預測測量資料,其中計算該晶圓之該第2前處理預測輿圖係使用一第2前處理方程式,該第2前處理方程式係使用來自一第2方向上之二或更多測量位置的測量資料而決定;計算該晶圓的一前處理信賴度輿圖,該前處理信賴度輿圖包括針對該晶圓上之一第3組晶粒的一組信賴度資料,其中計算該晶圓的該前處理信賴度輿圖係使用該第1前處理預測輿圖與該第2前處理預測輿圖之間的差異;當針對一個或一個以上晶粒之信賴度資料未落於針對該晶圓之信賴度界限內,決定一優先測量位置;及利用包括該優先測量位置之一新的測量配方,取得針對該晶圓之新的量測資料。
- 如申請專利範圍第1項之晶圓之處理方法,尚包含: 當該第3組晶粒之該信賴度資料落於針對該晶圓之信賴度界限內時,計算該晶圓之控制設定;及使用經計算之該控制設定處理該晶圓。
- 如申請專利範圍第2項之晶圓之處理方法,尚包含:使用該晶圓上一或更多該硬遮罩特徵部的特徵部尺寸,決定一修整值;並使用一化學氧化物去除(COR)處理,產生一經修整之遮罩層。
- 如申請專利範圍第3項之晶圓之處理方法,尚包含:執行一化學性處理過程,其中,將該晶圓上暴露的表面使用一處理氣體施以化學性的處理,其中,於至少一暴露的表面上,形成具有約略相等於該修整值之厚度的一固體反應產物;及執行一熱處理,其中,該熱處理之執行包含將該固體反應產物蒸發,俾將至少之一該經過化學處理的暴露表面施以修整約略該修整值之量。
- 如申請專利範圍第4項之晶圓之處理方法,尚包含:使用該經修整的遮罩層,蝕刻一閘極材料層。
- 如申請專利範圍第5項之晶圓之處理方法,尚包含:使用一灰化處理,或一溼式清潔處理,或其組合,以清潔該經蝕刻之閘極材料層。
- 如申請專利範圍第1項之晶圓之處理方法,其中:使用一硬遮罩鏤空(HMO)程序,將該經圖案化之硬遮罩層設立於該至少一其他層上方,該硬遮罩鏤空(HMO)程序,包括:一軟遮罩修整步驟、一ARC蝕刻步驟、一BARC蝕刻步驟、一硬遮罩蝕刻步驟、或一灰化步驟、或前述2種或更多種之組合。
- 如申請專利範圍第1項之晶圓之處理方法,尚包含:使用針對該晶圓之新的經測量量測資料,建立一新的前處理測量輿圖,其中,該新的前處理測量輿圖包括該優先測量位置;計算該晶圓之一新的前處理預測輿圖,該新的前處理預測輿圖包括該優先測量位置周圍之一區域中的一組該晶圓上多數晶粒之新的預測的測量資料;計算該晶圓的一新的信賴度輿圖,該新的信賴度輿圖包括該晶圓上的該多數晶粒之一組新的信賴度資料,其中,該新的信賴度輿圖係使用該第1前處理預測輿圖與該第2前處理預測輿圖之間的差異而決定;當該優先測量位置之測量資料未落於一均勻度界限內時,建立一錯誤狀態;及當該優先的測量位置之測量資料落於該均勻度界限內時,處理該晶圓。
- 如申請專利範圍第2項之晶圓之處理方法,尚包含:當需要後處理量測資料,於一量測模組中測量該經處理之晶圓;當不需要後處理量測資料,將該經處理之晶圓傳送到一保存區域;及接收一新的晶圓。
- 如申請專利範圍第9項之晶圓之處理方法,尚包含:使用針對該經處理之晶圓之經測量之量測資料以建立一後處理測量輿圖,該量測資料包括:該晶圓上至少一經處理之孤立結構之第1後處理量測資料、及該經處理之晶圓上至少一經處理之巢狀結構之第2後處理量測資料;使用一第1後處理方程式計算該經處理之晶圓的第1後處理 預測輿圖,其中該第1後處理方程式係使用來自位於一第1方向上之二或更多測量位置的第1測量資料而決定,該第1後處理預測輿圖包括該經處理之晶圓上的該多數晶粒之第1組預測測量資料;使用一第2後處理方程式計算該經處理之晶圓之第2後處理預測輿圖,其中該第2後處理方程式係使用來自位於一第2方向上之二或更多測量位置的第2測量資料而決定,該第2後處理預測輿圖包括該經處理之晶圓上的該多數晶粒之第2組預測測量資料;計算針對該經處理之晶圓的一後處理信賴度輿圖,該後處理信賴度輿圖包括該經處理之晶圓上的該多數晶粒之一組新的信賴度資料,其中該組新的信賴度資料使用該第1後處理預測輿圖及該第2後處理預測輿圖之間之差異而決定;當一第1區域中之一個或一個以上晶粒具有超出該第1區域中之一或更多均勻度的差異值時,決定該經處理之晶圓上之一第2優先測量位置;及使用包含該第2優先測量位置的一額外新的測量配方,以取得該經處理之晶圓之新的後處理量測資料。
- 如申請專利範圍第1項之晶圓之處理方法,尚包含:當該新的測量配方在一額外經處理之晶圓處於該量測模組中之前被產生時,使用該新的測量配方測量該量測模組中之該額外經處理之晶圓;建立一額外之新的後處理測量輿圖,其中該新的測量配方係在建立該額外之新的後處理測量輿圖時用於測量該額外經處理之晶圓;計算該額外經處理之晶圓之一新的後處理預測輿圖,該新的後處理預測輿圖包括經處理之晶圓上的該多數晶粒之一組新的預測測量資料; 計算針對該額外經處理之晶圓之一額外之新的信賴度輿圖,該額外新的信賴度輿圖包括該額外經處理之晶圓上的該多數晶粒的一組新的信賴度資料,其中該額外新的信賴度輿圖係使用該第1前處理預測輿圖、該第2前處理預測輿圖、或該新的後處理預測輿圖、或其組合決定;當該額外經處理之晶圓上之至少一優先測量位置的新測量資料未落於該額外經處理之晶圓的一均勻度界限內時,建立一錯誤狀態;及當該額外經處理之晶圓的該新測量資料落於該額外經處理之晶圓的該均勻度界限內時,從該量測模組移走該額外經處理之晶圓。
- 如申請專利範圍第1項之晶圓之處理方法,其中:該第1方向包含一第1半徑方向;且該第2方向包含一第2半徑方向。
- 如申請專利範圍第1項之晶圓之處理方法,其中決定該優先測量位置,包括:當該晶圓之一第1區域中之一個或一個以上晶粒於該第1前處理預測輿圖、該第2前處理預測輿圖、或一平均的前處理預測輿圖有一值超過一個或一個以上均勻度界限,則於該晶圓之該第1區域建立該優先測量位置。
- 如申請專利範圍第1項之晶圓之處理方法,其中決定該優先測量位置,包括:當該晶圓之一第1區域中一個或一個以上晶粒具有一差值超過於第1區域之一個或一個以上均勻度界限時,則於該晶圓之該第1區域建立該優先測量位置,其中該差值係使用介於一參考測量輿圖與該第1前處理預測輿圖、該第2前處理預測輿圖、或一 平均的前處理預測輿圖之差異所計算。
- 如申請專利範圍第1項之晶圓之處理方法,其中決定該優先測量位置,包括:當該晶圓之一第1區域中一個或一個以上晶粒之差值超過該第1區域之一個或一個以上均勻度界限時,則於該晶圓之該第1區域建立該優先測量位置,其中該差值係使用介於一均勻度界限與該第1前處理預測輿圖、該第2前處理預測輿圖、或一平均的前處理預測輿圖之差異所計算。
- 如申請專利範圍第10項之晶圓之處理方法,其中:計算該經處理之晶圓之該第1後處理預測輿圖,係使用該經處理之晶圓的一第1後處理表面,該第1後處理表面從來自於位於該經處理之晶圓上的一第1半徑方向之2個或更多個測量位置的該第1測量資料決定;及計算該晶圓之該第2後處理預測輿圖,係使用該經處理之晶圓的一第2後處理表面,該第2後處理表面從來自於位於該經處理之晶圓上的一第2半徑方向之2個或更多個測量位置的該第2測量資料。
- 如申請專利範圍第10項之晶圓之處理方法,其中決定該經處理之晶圓上之該優先測量位置,包括:當該經處理之晶圓上之該第1區域中一個或一個以上晶粒於該經處理之晶圓上的該第1後處理預測輿圖、該經處理之晶圓的該第2後處理預測輿圖、或該經處理之晶圓的一平均的後處理預測輿圖有一值超過該經處理之晶圓的一個或一個以上均勻度界限時,則於該經處理之晶圓之該第1區域建立該優先測量位置。
- 如申請專利範圍第10項之晶圓之處理方法,其中決定該經處 理之晶圓上的該第2優先測量位置,包括:當該經處理之晶圓之該第1區域中一個或一個以上晶粒之差值超過該經處理之晶圓上的該第1區域之一個或一個以上均勻度界限,則於該經處理之晶圓之該第1區域建立該第2優先測量位置,其中該差值係使用介於該經處理之晶圓之一參考測量輿圖與該經處理之晶圓之該第1後處理預測輿圖、該經處理之晶圓之該第2後處理預測輿圖、或該經處理之晶圓之一平均的後處理預測輿圖之差異所計算。
- 如申請專利範圍第10項之晶圓之處理方法,其中決定該經處理之晶圓上的該第2優先測量位置,包括:當該經處理之晶圓上之一第1區域中一個或一個以上晶粒之差值超過該經處理之晶圓的該第1區域中之一個或一個以上均勻度界限,則於該經處理之晶圓之該第1區域建立該第2優先測量位置,其中該差值係使用介於一均勻度界限與該經處理之晶圓的該第1後處理預測輿圖、該經處理之晶圓的該第2後處理預測輿圖、或該經處理之晶圓的一平均的後處理預測輿圖之差異所計算。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/390,415 US20070238201A1 (en) | 2006-03-28 | 2006-03-28 | Dynamic metrology sampling with wafer uniformity control |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200741810A TW200741810A (en) | 2007-11-01 |
TWI393169B true TWI393169B (zh) | 2013-04-11 |
Family
ID=38575811
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW096110397A TWI393169B (zh) | 2006-03-28 | 2007-03-26 | 施行晶圓均勻度控制之動態量測取樣 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20070238201A1 (zh) |
JP (1) | JP5028473B2 (zh) |
KR (1) | KR101311640B1 (zh) |
CN (1) | CN101410844B (zh) |
TW (1) | TWI393169B (zh) |
WO (1) | WO2007117737A2 (zh) |
Families Citing this family (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102005030586A1 (de) * | 2005-06-30 | 2007-01-11 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang |
DE102005046972A1 (de) * | 2005-09-30 | 2007-04-05 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten |
US7631286B2 (en) * | 2005-12-30 | 2009-12-08 | Wafertech Llc | Automated metrology recipe generation |
US7539552B2 (en) * | 2006-10-09 | 2009-05-26 | Advanced Micro Devices, Inc. | Method and apparatus for implementing a universal coordinate system for metrology data |
US7738986B2 (en) * | 2006-10-09 | 2010-06-15 | GlobalFoundries, Inc. | Method and apparatus for compensating metrology data for site bias prior to filtering |
US8699027B2 (en) * | 2007-07-27 | 2014-04-15 | Rudolph Technologies, Inc. | Multiple measurement techniques including focused beam scatterometry for characterization of samples |
US7622308B2 (en) * | 2008-03-07 | 2009-11-24 | Mks Instruments, Inc. | Process control using process data and yield data |
US8271122B2 (en) | 2008-03-07 | 2012-09-18 | Mks Instruments, Inc. | Process control using process data and yield data |
JP5761947B2 (ja) | 2010-09-02 | 2015-08-12 | キヤノン株式会社 | 半導体集積回路装置 |
CN102809901A (zh) * | 2011-05-31 | 2012-12-05 | 无锡华润上华半导体有限公司 | 一种对不同曝光设备各层次的焦距的匹配方法 |
TWI577523B (zh) * | 2011-06-17 | 2017-04-11 | 三菱麗陽股份有限公司 | 表面具有凹凸結構的模具、光學物品、其製造方法、面發光體用透明基材及面發光體 |
TWI825537B (zh) * | 2011-08-01 | 2023-12-11 | 以色列商諾威股份有限公司 | 光學測量系統 |
WO2013133974A1 (en) * | 2012-03-08 | 2013-09-12 | Applied Materials, Inc. | Fitting of optical model to measured spectrum |
JP5992706B2 (ja) * | 2012-03-26 | 2016-09-14 | 東京エレクトロン株式会社 | 半導体製造装置の障害監視システム及び障害監視方法 |
US9430593B2 (en) * | 2012-10-11 | 2016-08-30 | Kla-Tencor Corporation | System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking |
TWI649572B (zh) * | 2012-11-09 | 2019-02-01 | 美商克萊譚克公司 | 用於計量目標之特性化之方法、計量系統及用於計量系統之視覺使用者介面 |
US9146551B2 (en) * | 2012-11-29 | 2015-09-29 | Asm Ip Holding B.V. | Scheduler for processing system |
JP6239294B2 (ja) * | 2013-07-18 | 2017-11-29 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置及びプラズマ処理装置の運転方法 |
US9490182B2 (en) | 2013-12-23 | 2016-11-08 | Kla-Tencor Corporation | Measurement of multiple patterning parameters |
US9989858B2 (en) | 2014-01-24 | 2018-06-05 | Asml Netherlands B.V. | Apparatus operable to perform a measurement operation on a substrate, lithographic apparatus, and method of performing a measurement operation on a substrate |
EP2958010A1 (en) * | 2014-06-20 | 2015-12-23 | Thomson Licensing | Apparatus and method for controlling the apparatus by a user |
US9541906B2 (en) * | 2014-09-11 | 2017-01-10 | Hong-Te SU | Controller capable of achieving multi-variable controls through single-variable control unit |
US10152678B2 (en) * | 2014-11-19 | 2018-12-11 | Kla-Tencor Corporation | System, method and computer program product for combining raw data from multiple metrology tools |
US9995692B2 (en) * | 2015-02-18 | 2018-06-12 | GlobalFoundries, Inc. | Systems and methods of controlling a manufacturing process for a microelectronic component |
JP2017091126A (ja) * | 2015-11-09 | 2017-05-25 | アズビル株式会社 | 調節計 |
JP7041832B2 (ja) * | 2017-12-08 | 2022-03-25 | 株式会社ナビタイムジャパン | 情報処理システム、情報処理プログラム、情報処理装置および情報処理方法 |
WO2020150983A1 (en) | 2019-01-25 | 2020-07-30 | Yangtze Memory Technologies Co., Ltd. | Methods for forming hole structure in semiconductor device |
US11569135B2 (en) | 2019-12-23 | 2023-01-31 | Hitachi High-Tech Corporation | Plasma processing method and wavelength selection method used in plasma processing |
JP7408421B2 (ja) * | 2020-01-30 | 2024-01-05 | 株式会社Screenホールディングス | 処理条件特定方法、基板処理方法、基板製品製造方法、コンピュータープログラム、記憶媒体、処理条件特定装置、及び、基板処理装置 |
KR102427207B1 (ko) * | 2020-10-14 | 2022-08-01 | (주)아프로시스 | Gis 기반 스파샬 웨이퍼 맵 생성 방법, 이를 이용한 웨이퍼 테스트 결과 제공 방법 |
US11688717B2 (en) * | 2021-08-26 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanical wafer alignment detection for bonding process |
TWI803337B (zh) * | 2022-01-26 | 2023-05-21 | 南亞科技股份有限公司 | 臨界尺寸的測量方法 |
US12117733B2 (en) | 2022-01-26 | 2024-10-15 | Nanya Technology Corporation | Method for measuring critical dimension |
US20230359179A1 (en) * | 2022-05-05 | 2023-11-09 | Applied Materials, Inc. | Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060007453A1 (en) * | 2004-07-12 | 2006-01-12 | International Business Machines Corporation | Feature dimension deviation correction system, method and program product |
US20060042543A1 (en) * | 2004-08-27 | 2006-03-02 | Tokyo Electron Limited | Process control using physical modules and virtual modules |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US6881665B1 (en) * | 2000-08-09 | 2005-04-19 | Advanced Micro Devices, Inc. | Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist |
US7698012B2 (en) * | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7069104B2 (en) * | 2002-04-30 | 2006-06-27 | Canon Kabushiki Kaisha | Management system, management apparatus, management method, and device manufacturing method |
US6804005B2 (en) * | 2002-05-02 | 2004-10-12 | Timbre Technologies, Inc. | Overlay measurements using zero-order cross polarization measurements |
WO2003104921A2 (en) * | 2002-06-07 | 2003-12-18 | Praesagus, Inc. | Characterization adn reduction of variation for integrated circuits |
US6828542B2 (en) * | 2002-06-07 | 2004-12-07 | Brion Technologies, Inc. | System and method for lithography process monitoring and control |
EP1546827A1 (en) * | 2002-09-30 | 2005-06-29 | Tokyo Electron Limited | Method and apparatus for the monitoring and control of a semiconductor manufacturing process |
US7078344B2 (en) * | 2003-03-14 | 2006-07-18 | Lam Research Corporation | Stress free etch processing in combination with a dynamic liquid meniscus |
US7158851B2 (en) * | 2003-06-30 | 2007-01-02 | Tokyo Electron Limited | Feedforward, feedback wafer to wafer control method for an etch process |
US7212878B2 (en) * | 2004-08-27 | 2007-05-01 | Tokyo Electron Limited | Wafer-to-wafer control using virtual modules |
US7209798B2 (en) * | 2004-09-20 | 2007-04-24 | Tokyo Electron Limited | Iso/nested cascading trim control with model feedback updates |
-
2006
- 2006-03-28 US US11/390,415 patent/US20070238201A1/en not_active Abandoned
-
2007
- 2007-01-24 KR KR1020087026270A patent/KR101311640B1/ko active IP Right Grant
- 2007-01-24 CN CN200780011392XA patent/CN101410844B/zh active Active
- 2007-01-24 WO PCT/US2007/060953 patent/WO2007117737A2/en active Application Filing
- 2007-01-24 JP JP2009503101A patent/JP5028473B2/ja not_active Expired - Fee Related
- 2007-03-26 TW TW096110397A patent/TWI393169B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060007453A1 (en) * | 2004-07-12 | 2006-01-12 | International Business Machines Corporation | Feature dimension deviation correction system, method and program product |
US20060042543A1 (en) * | 2004-08-27 | 2006-03-02 | Tokyo Electron Limited | Process control using physical modules and virtual modules |
Also Published As
Publication number | Publication date |
---|---|
US20070238201A1 (en) | 2007-10-11 |
CN101410844B (zh) | 2011-08-03 |
JP5028473B2 (ja) | 2012-09-19 |
KR20080111105A (ko) | 2008-12-22 |
WO2007117737A2 (en) | 2007-10-18 |
TW200741810A (en) | 2007-11-01 |
JP2009531866A (ja) | 2009-09-03 |
WO2007117737A3 (en) | 2008-04-17 |
KR101311640B1 (ko) | 2013-09-25 |
CN101410844A (zh) | 2009-04-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI393169B (zh) | 施行晶圓均勻度控制之動態量測取樣 | |
US7502709B2 (en) | Dynamic metrology sampling for a dual damascene process | |
US7567700B2 (en) | Dynamic metrology sampling with wafer uniformity control | |
JP5069114B2 (ja) | モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御 | |
US7292906B2 (en) | Formula-based run-to-run control | |
KR101240149B1 (ko) | 화학적 산화물 제거에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템 | |
US7328418B2 (en) | Iso/nested control for soft mask processing | |
JP2009531866A5 (zh) | ||
TWI417754B (zh) | 利用多層多輸入多輸出模型以產生金屬閘極結構之方法 | |
KR20090005122A (ko) | 광 계측을 사용한 웨이퍼 상에 형성된 손상된 구조의 측정 | |
TWI381468B (zh) | 線上微影及蝕刻系統 |