TWI786474B - 最佳化微影製程之方法及裝置 - Google Patents

最佳化微影製程之方法及裝置 Download PDF

Info

Publication number
TWI786474B
TWI786474B TW109141153A TW109141153A TWI786474B TW I786474 B TWI786474 B TW I786474B TW 109141153 A TW109141153 A TW 109141153A TW 109141153 A TW109141153 A TW 109141153A TW I786474 B TWI786474 B TW I786474B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
substrates
fingerprint
performance parameter
Prior art date
Application number
TW109141153A
Other languages
English (en)
Other versions
TW202125119A (zh
Inventor
馬克 霍特曼
艾佛哈德斯 柯奈利斯 摩斯
寇偉田
亞力山大 伊瑪
米切爾 庫柏斯
劉賢優
韓敏燮
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202125119A publication Critical patent/TW202125119A/zh
Application granted granted Critical
Publication of TWI786474B publication Critical patent/TWI786474B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

對由複數個基板組成之一組半導體基板執行一種微影製程。作為該製程之部分,將該組基板分割成數個子集。該分割可基於與該等基板上之一第一層相關聯的一組特性。接著針對該組基板中之至少一個基板而判定一效能參數的一指紋。在一些情形下,針對基板之每一子集中之一個基板而判定該指紋。該指紋係與至少該第一層相關聯。接著導出與一後續層之一施加相關聯的該效能參數之一校正,該導出係基於該所判定指紋及對該組基板之該分割。

Description

最佳化微影製程之方法及裝置
本發明係關於用於控制由微影裝置執行之微影製程的方法,且詳言之,係關於用於最佳化微影製程之方法。
微影裝置係將所要圖案施加至基板上,通常施加至基板之目標部分上之機器。微影裝置可用於例如積體電路(integrated circuit,IC)製造中。在彼情況下,圖案化器件(其被替代地稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上的電路圖案。此圖案可轉印至基板(例如矽晶圓)上之目標部分(例如包括一個或若干晶粒之部分)上。施加各自具有特定圖案及材料組成物之多個層以界定成品之功能器件及互連件。 在微影製程中,需要頻繁地對所產生結構進行量測例如以用於製程控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(critical dimension,CD)之掃描電子顯微鏡,及用以量測疊對(量測器件中之兩個層的對準準確度)之特殊化工具。近來,已開發供微影領域中使用之各種形式的散射計。 執行量測以糾正複數個誤差影響或機制。隨著量測製程改良,正變得愈來愈重要之一個誤差機制係所謂晶圓間變化。以按批或按批次基礎通常使用已知方法以便降低量測製程對生產產出率之影響。因此,不考慮且無法考慮在個別基板之間發生之任何變化。此降低微影製程之準確性,此可負面地影響所生產基板之品質。 另外,隨著圖案幾何佈置變得愈來愈複雜,已知方法會在某些情形下引起降低圖案化器件之功能性或甚至使其完全喪失功能性之校正。
根據本發明之一第一態樣,提供一種用於最佳化一微影製程之方法,該方法包含: 將與至少一第一層相關聯之一組基板分割成基板之複數個子集; 針對該組基板中之至少一個基板而判定與該至少第一層相關聯之一效能參數的一指紋(fingerprint);及 基於該所判定指紋及對該組基板之該分割而導出與一後續層對該組基板之一施加相關聯的該效能參數之一校正。 根據本發明之一第二態樣,提供一種用於最佳化一微影製程之方法,其中判定之步驟包含: 判定與該基板之一第二層及一第n層相關聯之一效能參數的一第一指紋,其中在該第二層之前提供該第n層且在該第一層之前提供該第二層;及 基於與該第二層及該第n層相關聯之該效能參數之該指紋及至少另一組特性而判定與該第一層及該第二層相關聯之一效能參數的一第二指紋。 根據本發明之一第三態樣,提供一種用於控制一微影製程之控制系統,該控制系統包含: 用於執行如上文所述之一組基板至基板之複數個子集之分割步驟的一配置; 用於進行如上文所述之一效能參數之一指紋之一判定步驟的一配置;及 用於執行如上文所述之該效能參數之一校正之一導出步驟的一配置。 根據本發明之一第四態樣,提供一種用於控制一微影製程之控制系統,該控制系統包含: 用於執行如上文所述之判定一效能參數之一第一指紋之步驟的一配置;及 用於執行如上文所述之判定一效能參數之一第二指紋之步驟的一配置;及 根據本發明之一第五態樣,提供一種微影裝置,其包含: 一照明光學系統,其經配置以照明一圖案;及一投影光學系統,其經配置以將該圖案之一影像投影至一基板;及 如上文所述之控制系統。 根據本發明之一第六態樣,提供一種包含如上文所述之控制系統的檢測裝置。 根據本發明之一第七態樣,提供一種包含如上文所述之微影裝置或如上文所述之檢測裝置的微影系統。 根據本發明之一第八態樣,提供一種電腦程式產品,其含有用於實施如上文所述之方法之機器可讀指令之一或多個序列。 下文參考隨附圖式來詳細地描述本發明之其他態樣、特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文所描述之特定實施例。本文中僅出於說明性目的而呈現此類實施例。基於本文中所含之教示,額外實施例對於熟習相關技術者將顯而易見。
在詳細地描述本發明之實施例之前,呈現可供實施本發明之實施例的實例環境係具指導性的。 圖1在100處將微影裝置LA展示為實施大容量微影製造製程之工業設施之部分。在本實例中,製造製程經調適用於在諸如半導體晶圓之基板上之半導體產品(積體電路)的製造。熟習此項技術者應瞭解,可藉由以此製程之變化形式處理不同類型之基板來製造廣泛多種產品。半導體產品之生產純粹用作現今具有大商業意義之實例。 在微影裝置(或簡稱為「微影工具」100)內,在102處展示量測站MEA且在104處展示曝光站EXP。在106處展示控制單元LACU。在此實例中,每一基板造訪量測站及曝光站以被施加圖案。舉例而言,在光學微影裝置中,投影系統用以使用經調節輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此轉印係藉由在輻射敏感抗蝕劑材料層中形成圖案之影像來完成。 本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統或其任何組合。圖案化器件MA可為將圖案賦予至由圖案化器件透射或反射之輻射光束的光罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化器件之支撐件及定位系統合作,以將所要圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來代替具有固定圖案之倍縮光罩。輻射例如可包括在深紫外線(deep ultraviolet,DUV)波帶或極紫外線(extreme ultraviolet,EUV)波帶中之電磁輻射。本發明亦適用於其他類型之微影製程,例如例如藉由電子束之壓印微影及直寫微影。 微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以收納基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與裝置之操作相關之所要計算的信號處理及資料處理能力。實務上,控制單元LACU將被實現為許多子單元之系統,每一子單元處置裝置內之一子系統或組件之即時資料獲取、處理及控制。 在於曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板以使得可進行各種預備步驟。該等預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記的位置。對準標記係以規則柵格圖案標稱地配置。然而,歸因於產生標記之不準確度且亦歸因於基板之貫穿其處理而發生的變形,標記偏離理想柵格。因此,在裝置應以極高準確度在正確部位處印刷產品特徵的情況下,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記之位置。裝置可屬於具有兩個基板台之所謂的雙載物台類型,該等基板台各自具有藉由控制單元LACU控制之定位系統。當在曝光站EXP處曝光一個基板台上之一個基板時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測極耗時,且提供兩個基板台會實現裝置之產出率的相當大增加。 在生產設施內,裝置100形成「微影製造單元」或「微影叢集」之部分,該「微影製造單元」或「微影叢集」亦含有塗佈裝置108以用於將感光抗蝕劑及其他塗層施加至基板W以供裝置100圖案化。在裝置100之輸出側處,提供烘烤裝置110及顯影裝置112以用於將經曝光圖案顯影成實體抗蝕劑圖案。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一台裝置轉移至下一台裝置。通常統稱為塗佈顯影系統(track)之此等裝置係在塗佈顯影系統控制單元之控制下,該塗佈顯影系統控制單元自身受監督控制系統SCS控制,該監督控制系統SCS亦經由微影裝置控制單元LACU控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。監督控制系統SCS接收配方資訊R,配方資訊R極詳細地提供待執行以產生每一圖案化基板之步驟的定義。 一旦已在微影製造單元中施加及顯影圖案,則將圖案化基板120轉移至諸如在122、124、126處所說明之其他處理裝置。廣泛範圍之處理步驟係由典型製造設施中之各種裝置來實施。出於實例起見,此實施例中之裝置122係蝕刻站,且裝置124執行蝕刻後退火步驟。在其他裝置126等等中應用另外物理及/或化學處理步驟。可需要眾多類型之操作以製作實際器件,諸如材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等等)、化學機械拋光(chemical-mechanical polishing,CMP)等等。實務上,裝置126可表示在一或多個裝置中執行之一系列不同處理步驟。 眾所周知,半導體器件之製造涉及此處理之許多重複,以在基板上逐層地建置具有適當材料及圖案之器件結構。因此,到達微影叢集之基板130可係新近製備之基板,或其可為先前已在此叢集中或完全地在另一裝置中被處理之基板。類似地,取決於所需處理,離開裝置126上之基板132可經傳回以用於同一微影叢集中之後續圖案化操作,其可經指定以用於不同叢集中之圖案化操作,或其可為待發送以用於切割及封裝之成品。 產品結構之每一層需要不同製程步驟集合,且在每一層處所使用之裝置126可在類型方面完全不同。另外,即使在待由裝置126應用之處理步驟在大型設施中標稱地相同的情況下,亦可存在並行地工作以對不同基板執行步驟126之若干假設相同的機器。此等機器之間的設定或故障之小差異可意謂其以不同方式影響不同基板。即使對於每一層相對共同之步驟,諸如蝕刻(裝置122),亦可藉由標稱地相同但並行地工作以最大化產出率之若干蝕刻裝置實施。此外,實務上,不同層根據待蝕刻之材料的細節需要不同蝕刻製程,例如化學蝕刻、電漿蝕刻,且需要特定要求,諸如各向異性蝕刻。 可在如剛才所提及之其他微影裝置中執行先前及/或後續製程,且可甚至在不同類型之微影裝置中執行先前及/或後續製程。舉例而言,器件製造製程中之在諸如解析度及疊對之參數上要求極高的一些層相比於要求較不高之其他層可在更進階微影工具中來執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於『乾式』工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。 為了正確地且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等。因此,經定位有微影製造單元LC之製造設施亦包括度量衡系統MET,度量衡系統MET接收已在微影製造單元中處理之基板W中的一些或全部。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在可足夠迅速地且快速地完成度量衡以使得同一批次之其他基板仍待曝光的情況下。又,已經曝光之基板可被剝離及重工以改良良率,或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷的狀況下,可僅對良好的彼等目標部分執行進一步曝光。 圖1中亦展示度量衡裝置140,該度量衡裝置140經提供以用於在製造製程中之所要階段對產品之參數進行量測。現代微影生產設施中之度量衡裝置之常見實例為散射計(例如角度解析散射計或光譜散射計),且其可經應用以在裝置122中之蝕刻之前量測在120處之經顯影基板之屬性。在使用度量衡裝置140的情況下,可判定例如諸如疊對或臨界尺寸(CD)之重要效能參數並不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板120的機會。如亦眾所周知,藉由監督控制系統SCS及/或控制單元LACU 106隨著時間推移進行小調整,可使用來自裝置140之度量衡結果142在微影叢集中維持圖案化操作之準確執行,藉此最小化製得不合格產品且要求重工之風險。當然,度量衡裝置140及/或其他度量衡裝置(未展示)可經應用以量測經處理基板132、134及傳入基板130之屬性。 圖2說明用以將目標部分(例如晶粒)曝光於圖1之雙載物台裝置中之基板W上之步驟。首先將描述根據習知實務之製程。 量測站MEA處所執行之步驟係在點線框內之左側,而右側展示曝光站EXP處所執行之步驟。出於本說明書之目的,假定基板W已裝載至基板台上之曝光站中。在步驟200處,藉由未展示之機制將新基板W'裝載至裝置。並行地處理此兩個基板以便增加微影裝置之產出率。 最初參看新近裝載之基板W',此基板可係先前未經處理之基板,其係運用新光阻而製備以供在裝置中之第一次曝光。然而,一般而言,所描述之微影製程將僅僅為一系列曝光及處理步驟中之一個步驟,使得基板W'已經通過此裝置及/或其他微影裝置若干次,且亦可經歷後續製程。尤其對於改良疊對效能之問題,任務係確保在已經受一或多個圖案化及處理週期之基板上在恰好正確之位置中施加新圖案。此等處理步驟逐漸地在基板中引入失真,該等失真必須被量測及校正以達成令人滿意的疊對效能。 可在其他微影裝置中執行先前及/或後續圖案化步驟(如剛才所提及),且可甚至在不同類型之微影裝置中執行先前及/或後續圖案化步驟。舉例而言,器件製造製程中之在諸如解析度及疊對之參數上要求極高的一些層相比於要求較不高之其他層可在更進階微影工具中來執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於『乾式』工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。 在202處,使用基板標記及影像感測器(未展示)之對準量測用以量測且記錄基板相對於上面置放基板之基板台的對準。另外,將使用對準感測器來量測跨越基板W'之若干對準標記。在一個實施例中,此等量測係用以建立「晶圓柵格」,該晶圓柵格極準確地映射跨越基板之標記之分佈,包括相對於標稱矩形柵格之任何失真。 在步驟204處,亦使用位階感測器來量測相對於X-Y位置之晶圓高度(Z)圖。通常,高度圖係僅用以達成經曝光圖案之準確聚焦。如將在下文中進一步所解釋,本裝置亦使用高度圖資料以補充對準量測。 當裝載基板W'時,接收配方資料206,其定義待執行之曝光,且亦定義晶圓及先前產生之圖案及待產生於該基板W'上之圖案之屬性。向此等配方資料添加在202、204處進行之對晶圓位置、晶圓柵格及高度圖的量測,以使得全集配方及量測資料208可傳遞至曝光站EXP。對準資料之量測例如包含以與為微影製程之產品的產品圖案成固定或標稱固定關係而形成之對準目標之X位置及Y位置。恰好在曝光之前採取之此等對準資料經組合且內插以提供對準模型之參數。此等參數及對準模型將在曝光操作期間用以校正在當前微影步驟中施加之圖案的位置。習知對準模型可包含四個、五個或六個參數,該等參數一起以不同尺寸界定「理想」柵格之平移、旋轉及按比例縮放。如US 2013230797A1進一步所描述,使用較多參數之進階模型為吾人所知。 在210處,調換晶圓W'與W,使得所量測基板W'變成基板W而進入曝光站EXP。在圖1之實例裝置中,藉由交換裝置內之基板台來執行此調換,使得基板W、W'保持準確地被夾持且定位於其各別基板台上,以保留基板台與基板自身之間的相對對準。因此,一旦已調換基板台,則為了利用用於基板W (以前為W')之量測資訊202、204以控制曝光步驟,必需判定投影系統與基板台之間的相對位置。在步驟212處,使用光罩對準標記M1、M2來執行倍縮光罩對準。在步驟214、216、218中,將掃描運動及輻射脈衝施加於跨越基板W之順次目標位置處,以便完成數個圖案之曝光。 藉由在執行曝光步驟中使用量測站處所獲得之對準資料及高度圖,使此等圖案相對於所要部位準確地對準,且詳言之,相對於先前置放於同一基板上之特徵準確地對準。在步驟220處,自裝置卸載現標記為W''之經曝光基板,以根據經曝光圖案使其經歷蝕刻或其他製程。 隨後,可將基板轉移至度量衡裝置,其中可如上文所描述而執行進一步量測。度量衡結果可結合量測台處獲得之對準資料及高度圖使用以改良微影裝置之效能。 已知微影裝置及方法之一個問題係其一般僅有可能對小比例之生產基板執行度量衡。此係因為需要最大化生產產出率。換言之,儘管理論上有可能對重大比例之基板執行度量衡,但此將導致產出率之降低,由此抬高個別基板之成本。在已知裝置中,通常以批次間基礎或夾盤基礎間量測基板變化。此意謂基板之給定批次或夾盤的校正通常係基於自先前批次或夾盤獲得之資料。 然而,「晶圓間」(wafer to wafer,W2W)變化顯著地促成疊對誤差。因此,為了減少總體疊對誤差,需要減少該等促成。然而,使用已知方法,減少W2W變化顯現出由於所需方法及計算之時間及複雜度而不切實際的大規模生產。 已認識到,有可能藉由前饋來自先前層之度量衡資訊且利用其以控制待圖案化之當前層來緩解W2W變化之影響。現將參考圖3(a)及圖3(b)論述可藉助於此原理緩和之影響的實例。 圖3(a)示意性地展示積體電路元件300 (例如記憶體胞元)之例示性胞元幾何形狀。在本實例中,積體電路元件包含第一組件302a、302b (例如電路之作用部分)、第二組件304 (例如字線結構)及第三組件306a、306b (例如位元線觸點)。當前代之記憶體胞元(以及其他類型之器件)愈來愈包含係非垂直之複雜佈局(亦即其中一或多個組件相對於其他組件中之一或多者按鈍角或銳角定位)。在本實例中,第一組件相對於第二及第三組件按非垂直角度定向。 在處理期間,藉由以眾所周知之方式(諸如在上文參考圖2描述)圖案化且曝光組件中之每一者來依序製造積體電路元件。在本實例中,在第一組件302a、302b之後處理第二組件304,且在第二組件之後處理第三組件306a、306b。 微影處理通常引入與理想化幾何形狀之一或多個偏移或偏離(例如疊對誤差)。在本實例中,意欲提供第一組件302a及第三組件306a,以便大體上定位離兩個相鄰第二組件等距之第三組件。然而,在本實例中,在處理期間在第一組件302b與第二組件304之間引入偏移(疊對誤差) 303。可監測且量測偏移,以便使得能夠正確地定位第三組件,從而考慮所引入偏移。使用現有方法,將校正308應用於第三組件之位置。在正常情形下,此將確保積體電路元件保持功能完好,而不論偏移。 然而,在某些情形下,此類偏移校正可對圖案化器件具有負面影響。舉例而言,在具有非垂直幾何形狀之記憶體胞元的狀況下,若第三組件306b過於接近第二組件304而定位(或大體上定位於其頂部上),則胞元會發生故障或遭受降低之功能性。藉由使用現有機構,此無法易於得以避免或補償。 圖3(b)說明與圖3(a)中所展示之情形相同的情形,但新增使得能夠在圖案化當前層時考慮先前層之「前饋」機制。在本實例中,為了糾正上文所描述之偏移且避免積體電路元件之任何潛在功能性降低或故障,引入幾何校正310。實際上,關於圖3(a)所描述之偏移的校正被幾何校正替代。儘管上文所描述之校正使第三組件沿著與偏移相同之軸線(亦即,在本實例中之Y方向上)位移,但幾何校正使第三組件在X方向上位移。以此方式,可糾正偏移,而不潛在地引起非功能積體電路元件。 現將參考圖4及圖5描述根據本發明之一實施例的例示性方法。出於清楚起見,圖5之類似於圖1之特徵的特徵以類似於圖1之參考編號的參考編號標記,但前綴係「5」而非「1」。出於簡明目的,將在下文僅詳細描述與圖1之元件大體上不同的元件。 在第一步驟401中,與至少第一層相關聯之一組基板550分割成基板之複數個子集552a、552b、552c。該組基板可係已使一或多個圖案化層作為微影製程之部分而被施加(如由箭頭554指示)之一組基板。在其他實例中,該組基板可係可例如在已在遠端位置處進行處理之後引入至微影製程(如由箭頭556指示)中的一組基板。分割步驟可在一些實例中包含一或多個分割子步驟。 應瞭解,儘管在本實例中參考第一層,但原則上,有可能針對複數個先前層執行該等方法步驟。此使得能夠使用額外資料,可以更大精確度自該資料判定製程相關之基板變化。舉例而言,來自給定組基板之所有先前圖案化層的量測資料可用以執行該方法。將在下文進一步詳細論述使用複數個先前層之實例。 可以任何適合之方式執行分割步驟,且分割步驟可使用任何適合之分割準則。在一些實例中,在分割步驟期間使用之分割準則係基於與至少第一層相關聯之至少一組特性。可使用與第一層相關聯之任何適合特性。在實例中,該組特性包含與至少第一層相關聯之至少一個效能參數,包括(但不限於):疊對誤差;基板翹曲或對準誤差。在實例中,使用與第一層相關聯之複數組特性。在具體實例中,在分割步驟期間使用兩組特性。可作為微影製程之部分量測一或多個特性,或可單獨地量測一或多個特性(例如作為週期性品質檢查之部分)。 在一些實例中,該複數個子集中之每一者與該組特性中之至少一者的至少一個值相關聯,該組特性與該至少第一層相關聯。在實例中,第一子集與該組特性中之至少一者之第一系列值相關聯,第二子集與該組特性中之至少一者之第二系列值相關聯,且第三子集與該組特性中之至少一者之第三系列值相關聯。在其他實例中,該複數個子集中之每一者與複數個非連續值相關聯。在一些實例中,該複數個子集中之每一者可與複數個特性之值相關聯,該複數個特性與至少第一層相關聯。 大體而言,該組基板中之每一基板分類成在分割步驟期間取決於至少一個特性之值的特定子集。此允許基於基板之一或多個特性而分割該組基板。此將確保個別基板與可展現類似屬性之其他基板一起分組。如所已知,製程引發之變化取決於數個因素,該等因素之影響可在基板之間不同。換言之,特定基板上之製程引發的變化可能不與緊在基板之前的另一基板或基板之後的基板之製程引發的變化相同。 在第二步驟402中,判定與各組基板之至少一個基板558、560、562之至少第一層相關聯的效能參數之指紋。可以任何適合之方式執行判定。在一些實例中,判定由檢測裝置540執行。 在一些實例中,針對基板之複數個子集中之每一者中的至少一個基板而判定效能參數之指紋。應瞭解,此僅僅係例示性的,且任何適合或有利數目個基板可選自該複數個子集中之每一者。需要最小化所選基板之數目,以便降低該方法對微影製程之影響。然而,在某些情形下,針對基板之複數個子集中之每一者而選擇複數個基板可係有利或必需的。舉例而言,可判定自每一子集選擇兩個基板而非一個提高量測之準確性。 在其他實例中,可針對基板之複數個子集中之每一者而選擇不同數目個基板。在實例中,一個基板可選自第一子集,兩個基板可選自第二子集,且三個基板可選自第三子集。 可使用任何適合之效能參數。在一些實例中,效能參數包括但不限於:疊對誤差;對準;臨界尺寸;或聚焦誤差。 可以任何適合之方式判定指紋,該方式在一些實例中可取決於相關效能參數。將在下文中更詳細地描述例示性判定方法,但應大體上瞭解,可設想判定步驟之若干具體實施方案。判定可由合適之處理單元(例如圖1中展示之LACU單元或SCS單元)執行。 在第三步驟403中,基於所判定指紋及對該組基板之分割而導出與第二層對該組基板之施加相關聯的效能參數之校正。可以任何適合之方式且藉由任何適合之元件執行導出。在一些實例中,導出由執行第二步驟之相同處理單元執行。 隨後,可如上文參考圖1大體上描述而處理基板,亦即,可對基板執行其他處理步驟522、524、526。在完成其他處理步驟之後,可將基板564傳回至微影裝置500以供進一步處理(諸如對額外層之圖案化)。 應認識到,可設想上文所描述之方法的複數個具體實施方案。具體實施方案取決於多種具體因素,例如特定微影系統或裝置之屬性及特性、系統中使用之基板的屬性及特性、及/或額外外部因素。 在多層結構中,如上文所描述,可能需要相對於複數個先前層控制特定處理層之工藝參數(例如疊對誤差)。若未充分地控制此類參數,則結果可係組件不工作抑或發生故障。 舉例而言,在多層結構中,已使用不同半導體材料、圖案化器件及/或圖案化參數來圖案化每一層。因此,每一層之疊對誤差可在層之間不同。應注意,儘管疊對誤差用作效能參數之實例,但其僅係例示性的。原則上,下文同等地適用於其他效能參數,諸如(但不限於)對準、臨界尺寸或聚焦誤差。 在圖6中展示具有複數個層之例示性結構。結構600包含待提供於基板602上之第一層604、提供於基板602上之第二層606及第n層608。在本實例中,僅出於例示性及簡明目的,該結構包含三個層。應瞭解,n可係任何合適數目,亦即,可使用任何合適數目個層。儘管在圖6中僅說明單個第n層,但應瞭解,可易於設想在下文中描述但包含複數個第n層之結構的實施方案。第二層及第n層已在第一層之前被提供。第二層606相對於第n層608具有疊對誤差610。在提供第一層期間,第一層相對於第二層之疊對誤差612將不同於第一層相對於第n層之疊對誤差614。應瞭解,沒有可能極佳地使第一層與第二層及第n層兩者對準。若第一層與第二層對準,則第一層與第n層未對準。類似地,若第一層與第n層對準,則第一層與第二層未對準。未對準可使得由微影製程產生之圖案化器件具有降低之品質及/或功能性。在一些情況下,其可導致器件之故障或損壞。 為了確保由微影製程產生之器件的品質及功能性,有必要控制相對於複數個先前圖案化層而非僅單個底層之疊對誤差。舉例而言,如上文所描述,可能有必要控制第一層相對於第二層以及第n層之疊對誤差。然而,為了進行此操作,通常有必要執行額外量測。然而,此類額外量測係不利的,此係因為執行該等量測所需之時間會降低生產產出率。 因此,將需要降低執行額外量測之需要。一個已知解決方案係藉由利用分解規則來估計疊對誤差。在以下實例中,僅出於例示性目的,假定藉由參考描述之結構包含三個層。因此,第n層將出於簡明及清楚目的而在以下實例中被稱作第三層。然而,應注意,如上文所描述,結構可包含任何合適數目個層,可在合適之分解規則中考慮每一層。用於判定諸如圖6中所說明之結構的結構中之第一層與第二層之間的疊對誤差之例示性分解規則可經公式化如下:
Figure 02_image001
在此方程式中,OVL1-L2 表示第一層604與第二層606之間的疊對誤差612,OVL1-L3 表示第一層與第三層608之間的疊對誤差614,且OVL2-L3 表示第二層與第三層之間的疊對誤差610。 可基於經估計疊對誤差或所量測疊對誤差中之任一者或兩者而判定第一層與第二層之間的疊對誤差。在實例中,疊對誤差610、614兩者係經估計疊對誤差。在其他實例中,基於先前所量測疊對誤差而判定第一層與第二層之間的疊對誤差。 藉由估計第一層與第二層之間的疊對誤差,變成有可能減小所需度量衡之量。此外,藉由估計第一層與第二層之間的疊對誤差,可改良的圖案化器件之品質,此係因為可在該層之圖案化期間糾正特定層之疊對誤差。因此,藉由使用經估計疊對誤差值,改良圖案化器件之品質,且另外增加生產產出率。 然而,由於個別層之間的處理方法檢測裝置之特性及/或用於處理之微影裝置之特性之間的差異,在經估計疊對誤差與對應所量測疊對誤差之間可能存在系統性差異。為了成功地使用經估計疊對誤差值,因此有必要正確地計算且補償此差異。 現將參考圖7描述用於判定效能參數之指紋的例示性方法。舉例而言,例示性方法可實施在上文為參考圖4及圖5描述之方法的部分,但應瞭解,其可單獨地或作為替代方法之部分實施。僅出於清楚及簡明起見,如在下文中所描述之方法實施於諸如圖中所6說明之包含單個第n層的結構上。因而,第n層將在下文中被稱作第三層。 在第一判定步驟701中,判定與基板之第二層及第三層相關聯之效能參數的第一指紋,其中第三層提供於第二層之前且第二層提供於第一層之前。如上文所描述,可針對任何適合之效能參數判定指紋,參數諸如(但不限於):聚焦;疊對誤差;或對準。 在第二判定步驟702中,基於與第二層及第三層相關聯之效能參數的指紋及至少另一組特性而判定與第一層及第二層相關聯之效能參數的第二指紋。 可使用任何適合之另一組特性。可以任何適合之方式判定或導出另一組特性。儘管描述為單個步驟,但第二判定步驟可包含一或多個子步驟702a、702b。應瞭解,儘管在圖7中僅說明兩個子步驟,但第二判定步驟可在原則上包含任何合適數目個子步驟。在一些實例中,一或多個子步驟可包含提供或導出另一組特性。在實例中,在中判定另一組特性第一子步驟702a。在第二子步驟702b中,判定效能參數之第二指紋。當然,應瞭解,可以複數個具體方式實施一或多個提供或判定子步驟。 現將更詳細地描述提供或導出子步驟之數個非限制性實例。 在第一實例中,該另一組特性包含基板之至少一個特性。在實例中,該另一組特性包含基板之與至少一個製程條件相關聯之特性,該至少一個製程條件與在該基板上提供第一層、第二層或第n層中之至少一者相關聯。 在第二實例中,該另一組特性包含與基板上之第一層及第三層相關聯之效能參數的第三指紋,其中第三指紋包含第一校正。第一校正可係任何合適之校正。在實例中,第一校正包含對於在基板上提供第一層與第二層及/或提供第二層與第三層之間的量測或微影製程條件之預期變化的校正。 再次轉而參看圖6,現將進一步詳細描述用於判定效能參數之第三指紋的例示性方法,其中使用例示性第一校正(如上文所描述)。在本實例中,如圖6中所展示及上文所描述,圖案化結構包含待圖案化之第一層604、以及第二層606及單個第n (亦即「第三」)層608。如上文所描述,可在已知方法中藉由利用對先前層中之一或多者執行之疊對誤差量測來估計第一層與先前層(亦即第二層)之間的疊對誤差。然而,如所論述,此不考慮經估計疊對誤差與所量測疊對誤差之間的任何差異。 因此,提議例示性表達式,其中考慮估計值與量測值之間的差。類似於上文展示之表達式,僅出於例示性目的,將假定該結構僅包含單個第n (第三)層。表達式可如下給出:
Figure 02_image003
在此表達式中,類似於上文所論述之分解規則,OVL1-L2 表示第一層與第二層之間的疊對誤差,OVL1-L3 表示第一層與第三層之間的疊對誤差,且OVL2-L3 表示第二層與第三層之間的疊對誤差。a及b係允許疊對誤差中之每一者經加權以便提高經估計疊對誤差之準確性的加權參數。Δ係第一校正。類似於上文所論述之分解規則,該表達式中使用之疊對誤差的值可係經估計疊對誤差、所量測疊對誤差或兩者之混合物。 為了導出疊對誤差及相關地微影製程之最佳控制,有必要判定在上文展示之參數中之每一者的值。在本實例中,可藉助於以下表達式控制個別疊對誤差與第一校正之間的相關性:
Figure 02_image005
各參數與以上表達式中之參數相同。應瞭解,以上表達式僅係例示性的,且表達式可以替代性具體方式實施。 可以任何適合之方式且根據任何要求集合而判定或導出加權參數a、b。在一些實例中,可基於具體層之重要性或關鍵性而判定或導出加權參數。作為實例,若在以上表達式中,第一層及第二層並非關鍵的,則可選擇加權參數b以便係小的。如將瞭解,在一些實例中,加權參數係相互關聯的。因此,藉由修改或使加權參數中之一者變化,可減小一個效能參數(亦即疊對誤差)同時增大另一效能參數。在一些實例中,基於一組適合要求(例如歷史資料、統計資料或使用者選擇)而選擇加權參數之初始值。 第一校正Δ可以任何適合之方式判定,且可具有任何適合之值或值範圍。為了維持所量測疊對誤差與經估計疊對誤差之間的相關性,可能有必要週期性地判定待使用之第一校正。此判定之週期性可係固定的,或其可取決於一或多個參數而變化。 現將參考圖8描述用於判定第一校正Δ之例示性且非限制性的方法。 在第一步驟801中,識別且判定所預測效能參數與所量測效能參數之間的失配。可以任何適合之方式識別失配。在一些實例中,(例如使用檢測裝置)週期性地監測失配。舉例而言,可以按批次基礎(亦即在已處理指定數目個基板批次之後)或按時間基底(亦即在某一時間段已過去之後)監測失配。此類監測之週期性可以任何適合之方式判定,且其可基於合適數目個因素。舉例而言,週期性可基於以下各者中的一或多者:第一校正指紋之穩定性、統計或歷史資料、或其他監測資料。可針對具有相關結構之任何適合層而標識且判定失配。在實例中,對於包含三個層之結構(諸如圖6中所說明之結構),比較第二層與第三層之間的所預測疊對誤差與第二層與第三層之間的所量測疊對誤差以判定失配。 在第二步驟802中,判定第一校正。可以任何適合之方式判定第一校正。在一些實例中,第一校正可係經估計疊對誤差與對應所量測疊對誤差之間的簡單差。在其他實例中,藉由使用取決於一或多個合適參數(諸如但不限於一或多個層之間的一或多個所判定或經估計疊對誤差)之合適表達式來判定第一校正。應瞭解,第一校正之所判定值可取決於一或多個額外參數(諸如但不限於處理參數或基板之一或多個特性)。在一些實例中,第一校正可係單個值。在其他實例中,可藉助於具有數個變量之某一功能描述第一校正。 在第三步驟803中,以合適之方式,例如以諸如上文所論述之經加權表達式應用所判定第一校正。 圖9說明微影裝置或系統,圖1中展示之微影裝置或系統,的例示性控制序列,其中實施以上方法中之一或多者。 在步驟902處,收納一組產品單元,諸如半導體基板,以藉由工業製程(例如微影製程)進行處理。 在904處,在該組產品單元上或關於該組產品單元量測(及/或從預先存在之量測接收)物件資料,物件資料與基板之至少一組特性或基板之一或多個圖案化層相關聯。可使用任何合適類型之物件資料。舉例而言,在圖1之製造設施中,物件資料可係(但不限於):作為圖案化基板中之基本步驟在微影裝置100內量測之對準資料;在圖案化基板之前在基板形狀度量衡工具中量測之基板形狀資料;或其可係在已處理層之先前步驟之後使用度量衡裝置140來量測之效能資料。物件資料可在一些實例中包含多於一種類型之資料。 在步驟906處,在此實例中,將經受工業製程之產品單元之集合分割成複數個子集。應瞭解,此步驟與參考圖3論述之方法的第一步驟301大體上相同。因此,在一些實例中,分割步驟係基於與如上文所描述之基板之第一層相關聯的至少一組特性。可以任何適合之方式執行分割。在一些實例中,分割係基於在步驟304中量測之一或多種類型之物件資料的統計分析。另外,在一些實例中,可基於藉由產品單元接收到之內容脈絡資料而執行分割。 接著,對於每一子集,在910處選擇一或多個樣本產品單元以用於度量衡。使用表示在步驟904中關於複數個產品單元所量測之一或多個參數之物件資料912來執行此步驟。在一些實例中,對樣本產品單元之選擇至少部分地基於物件資料912之統計分析。用於此步驟之物件資料912可與用於分割步驟906之物件資料(若存在)的種類相同或種類不同。 在914處,僅對出自複數個產品單元之經選擇樣本產品單元執行一或多個度量衡步驟。應瞭解,此步驟與參考圖3描述之方法的第二步驟302大體上相同。 在916處,至少部分地基於經選擇樣本產品單元之度量衡,導出校正以用於控制對複數個產品單元之處理。此步驟與參考圖3描述之方法的第三步驟303大體上相同。另外,可使用內容脈絡資料918來導出校正。在920處,使用校正以控制對產品單元之處理,例如以將圖案施加至半導體製造設施中之晶圓。 量測樣本產品單元之方式及使用量測來計算校正之方式可為相關製造技術中知曉之技術中的任一者。根據本發明之原理,因為樣本產品單元之選擇係至少部分地基於物件資料之統計分析,所以對於給定程度之度量衡額外負擔,可達成之控制之準確度可得以改良。 在用於半導體製造中之批次級及夾盤級控制之傳統途徑中,選擇度量衡基板之有限集合(通常每夾盤2個)。已知自批次之中心選擇基板從而避免加熱效應進入控制迴路。然而,歸因於一批次在其壽命中經歷的複雜處理內容脈絡,該批次內部之基板可根據其例如已經處理所在之腔室或工具、其在彼等腔室中之定向等而具有不同疊對指紋。通常此情形引起指紋之分佈,常常導致歸因於相似處理歷史的具有相似形狀及指紋之基板之批次內的「子群體」或分組。當自一批次「隨機地」挑選樣本基板時顯然不考量指紋之此分佈,且因此此等樣本基板可能不表示該批次,且當製程校正係基於經選擇基板且經應用至整個批次時彼批次內之指紋之分佈可能引起疊對損失。此外,在處理誤差或其他情形影響某些基板且此等「偏移」基板偶然被選擇為度量衡基板的情形下存在風險。來自偏移基板之量測隨後藉由其偏移指紋「污染」疊對控制迴路。由於在大容量製造情形下需要限制度量衡額外負擔,故樣本基板之數目將相對較小,且此污染可具有不成比例的效應。 當該組基板可分割成子集時,如上所說明,可藉由在每一子集內特定選擇樣本基板來進行對樣本基板之選擇的第一改良。舉例而言,此可藉由利用多執行緒控制方法來得以實施,其中在控制適當執行緒之過程中應用所量測效能資料。然而,多執行緒方法使得度量衡額外負擔之問題較尖銳,且子集內之樣本晶圓之隨機選擇仍可導致對並未真正表示大部分的晶圓執行度量衡。舉例而言,亦可藉助於物件資料之統計分析執行對樣本產品單元之選擇,以使得避免或減小之對非代表性產品單元之選擇。 圖10基於統計分析之結果象徵性地說明將產品單元分割成不同子集或「叢集」。用於數個產品單元之效能資料係由三維曲線圖上之點表示,該三維曲線圖之軸線為藉由統計分析得出的主成份PC1、PC2及PC3。此實例中之產品單元已經被指派至被標註為A、B及C之三個叢集。因此,由點1002表示之產品單元起初被指派至叢集A,由點1004及1006表示之產品單元起初被指派至叢集B,且點1008及1010起初被指派至叢集C。應牢記,三維曲線圖之此二維表示僅為簡化之說明,且可基於三個、四個、10個或更多個成份執行分割。 圖10中亦展示不易於指派至叢集中之任一者的兩個「離群值」或「偏移」晶圓1020、1022。此等晶圓可藉由統計分析予以識別,如下文將進一步描述。在每一叢集內,突出顯示另一樣本(1030、1032、1034),下文將對其進行進一步解釋。 在將原則成份用作參考的情況下,可辨識偏移晶圓1020及1022。在圖9之方法之一些實施例中,物件資料912之統計分析允許識別此等偏移晶圓且排除將此等偏移晶圓考慮為用於步驟910中之度量衡的潛在樣本晶圓。因此,在此類實施例中,選擇該或該等樣本產品單元包括消除藉由該統計分析而識別為不表示複數個產品單元的產品單元。即使接著將自每一子集之剩餘成員隨機選擇樣本晶圓,至少上文所提及之「污染」問題將得以縮減。 可視需要在多維空間中或在單個維度中界定供排除偏移晶圓之邊界。該等邊界可完全自動地進行界定及/或藉由專家輔助進行界定,且可在多維空間中具有藉由統計分析界定之任意形狀。舉例而言,密封邊界可環繞個別叢集,或一個邊界可涵蓋整個集合。可隨著容量製造進展而改進邊界,且可在顯影階段將邊界設定為較寬。 為了進一步改良監視及效能控制之品質,在方法之一些實施例中,選擇用於度量衡之樣本產品單元包括優先選擇藉由該統計分析而識別為最能表示複數個產品單元的產品單元。在圖10之實例中,突出顯示某些晶圓1030、1032及1034,其被認為最能表示其特定叢集。在使用所觀測之指紋中之一或多者的情況下,叢集A之晶圓可經分析以將如具有指紋係數之組合之晶圓1030識別為叢集中最具典型性的晶圓。在該圖式中,此情形係藉由晶圓1030在多維空間內最接近叢集之晶圓分佈之中心加以說明。相似地,各晶圓1032、1034分別在叢集B及C中之晶圓分佈中居中地定位。 圖11說明可能應用之統計分析之類型的另一實例,詳言之混合回歸分析。在圖11之實例中,水平軸線表示一批次內穿過微影裝置100的晶圓數目。一批次可例如在典型半導體製造設施中包括210個晶圓。已知某些誤差指紋起因於在一批次之曝光期間建立,且又在下一批次之曝光之前耗散的熱效應。此效應之實例可為倍縮光罩(光罩)加熱,且前饋控制系統可界定待適用於貫穿批量之過程對數性地增加之強度的倍縮光罩加熱校正。為了判定適當對數曲線及強度位準,通常將執行歷史物件資料之統計分析,而非試圖根據任何「第一原理」計算預測所需校正。如上文所提及,此實例中之物件資料可為除對經處理產品單元所量測之效能資料之外的資料。其可為在處理之前或期間針對產品單元或針對系統之其他部分所量測的物件資料。物件資料之實例為自每一晶圓量測之對準資料。另一實例係使用圖案化器件(光罩或倍縮光罩)上之標記及位於基板台或關聯量測台上之晶圓旁邊之感測器所量測的光罩對準資料。光罩對準資料可在識別圖11中所說明之倍縮光罩加熱指紋之實例中特別有用。 在此實例中,在藉由混合回歸分析使晶圓沿著兩個曲線1102、1104叢集的情況下,優先於屬於相關叢集但與所標繪之參數PRH之曲線相隔某距離的其他晶圓,處於該曲線上或接近該曲線之晶圓1130、1132可經選作用於度量衡之代表性樣本。與曲線相隔之距離可用作用於在此選擇製程中將晶圓分級之記分。諸如輪廓值之KPI可經延伸至基於曲線之空間中之叢集,以及原理成份中之叢集。 如在圖10之狀況下,額外約束可經設計至樣本產品單元之選擇中。此約束在圖11中之1140處加以說明,其禁止自批次中之第一批數量的晶圓當中選擇樣本晶圓。換言之,認為批次中之最早晶圓不被認為表示大部分晶圓,即使其將確切地落在曲線1102或1104上亦如此。 1.     一種用於最佳化一微影製程之方法,該方法包含: 將與至少一第一層相關聯之一組基板分割成基板之複數個子集; 針對該組基板中之至少一個基板而判定與該至少第一層相關聯之一效能參數的一指紋;及 基於該所判定指紋及對該組基板之該分割而導出與一後續層對該組基板之一施加相關聯的該效能參數之一校正。 2.     如實施例1之方法,其中該分割步驟係基於與該至少第一層相關聯之至少一組特性。 3.     如實施例2之方法,其中該複數個子集中之每一者與該組特性中之至少一者的至少一個值相關聯,該組特性與該至少第一層相關聯。 4.     如實施例2或3之方法,其中該至少一組特性包含與該至少第一層相關聯之至少一個效能參數。 5.     如前述實施例中任一項之方法,其中該判定步驟包含: 判定與該基板之一第二層及一第n層相關聯之一效能參數的一第一指紋,其中在該第二層之前提供該第n層且在該第一層之前提供該第二層;及 基於與該第二層及該第n層相關聯之該效能參數之該指紋及至少另一組特性而判定與該第一層及該第二層相關聯之一效能參數的一第二指紋。 6.     如實施例5之方法,其中該另一組特性包含該基板之與至少一個製程條件相關聯之一特性,該至少一個製程條件與在該基板上提供該第一層、該第二層或該第n層中之至少一者相關聯。 7.     如實施例5之方法,其中該另一組特性包含與該基板上之該第一層及該第n層相關聯之一效能參數的一第n指紋,其中第三指紋包含一第一校正。 8.     如實施例7之方法,其中該第一校正包含對於在該基板上提供該第一層與該第二層及提供該第二層與該第n層之間的量測或微影製程條件之一預期變化的一校正。 9.     如前述實施例中任一項之方法,其中該判定步驟包含判定基板之複數個子集中之每一者中之至少一個基板的指紋。 10.   如實施例9之方法,其中該判定步驟進一步包含判定基板之複數個子集中之每一者中之複數個基板的指紋。 11.   如前述實施例中任一項之方法,其中該判定步驟包含判定與該至少第一層相關聯之複數個效能參數中之每一者之複數個指紋。 12.   如前述實施例中任一項之方法,其中導出一校正之步驟係基於與基板之複數個子集中之至少一者相關聯之每一基板的所判定指紋中之每一者。 13.   如前述實施例中任一項之方法,其中導出該效能參數之一校正的步驟係進一步基於複數個先前層中之每一者之至少一個所判定指紋。 14.   如前述實施例中任一項之方法,其中該效能參數包含以下各者中之至少一者:聚焦誤差;對準誤差;或疊對誤差。 15.   一種用於最佳化一微影製程之方法,其中判定之步驟包含: 判定與該基板之一第二層及一第n層相關聯之一效能參數的一第一指紋,其中在該第二層之前提供該第n層且在該第一層之前提供該第二層;及 基於與該第二層及該第n層相關聯之該效能參數之該指紋及至少另一組特性而判定與該第一層及該第二層相關聯之一效能參數的一第二指紋。 16.   如實施例15之方法,其中該另一組特性包含該基板之與至少一個製程條件相關聯之一特性,該至少一個製程條件與在該基板上提供該第一層、該第二層或該第n層中之至少一者相關聯。 17.   如實施例15之方法,其中該另一組特性包含與該基板上之該第一層及該第n層相關聯之一效能參數的一第n指紋,其中該第n包含一第一校正。 18.   如實施例17之方法,其中該第一校正包含對於在該基板上提供該第一層與該第二層及提供該第二層與該第n層之間的量測或微影製程條件之一預期變化的一校正。 19.   一種用於控制一微影製程之控制系統,該控制系統包含: 用於執行如實施例1至14中任一項之將一組基板分割成基板之複數個子集之步驟的一配置; 用於進行如實施例1至14中任一項之一效能參數之一指紋之一判定步驟的一配置;及 用於進行如實施例1至14中任一項之該效能參數之一校正之一導出步驟的一配置。 20.   一種用於控制一微影製程之控制系統,該控制系統包含: 用於執行如實施例15至18中任一項之判定一效能參數之一第一指紋之步驟的一配置;及 用於執行如實施例15至18中任一項之判定一效能參數之一第二指紋之步驟的一配置。 21.   一種微影裝置,其包含: 一照明光學系統,其經配置以照明一圖案;及一投影光學系統,其經配置以將該圖案之一影像投影至一基板;及如實施例19或實施例20之控制系統。 22.   一種,其包含如實施例19或實施例20之控制系統。 22.   一種微影系統,其包含如實施例21之微影裝置或如實施例22之檢測裝置。 23.   一種電腦程式產品,其含有用於實施如實施例1至15中任一項之方法的機器可讀指令之一或多個序列。 儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文中所描述之微影裝置可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(liquid-crystal display,LCD)、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般術語「基板」或「目標部分」同義。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文中所提及之基板。適用時,可將本文之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如以便產生多層IC,使得本文所使用之術語「基板」亦可指已經含有多個經處理層之基板。 儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但將瞭解,本發明可用於其他應用,例如,壓印微影中,且在內容背景允許之情況下不限於光學微影。在壓印微影中,圖案化器件中之構形(topography)界定產生於基板上之圖案。可將圖案化器件之構形壓入至被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。 本文所使用之術語「輻射」及「波束」涵蓋所有類型之電磁輻射,包括紫外光(ultraviolet,UV)輻射(例如具有係或約365、355、248、193、157或126 nm之波長)及極紫外光(EUV)輻射(例如具有介於5至20 nm之範圍內的波長)以及粒子束,諸如離子束或電子束。 當上下文允許時,術語「透鏡」可指代各種類型之光學組件中的任一者或組合,包括折射、反射、磁性、電磁及靜電光學組件。 儘管上文已描述本發明之特定實施例,但應瞭解,可以與所描述方式不同之其他方式來實踐本發明。舉例而言,本發明可呈含有描述如上文所揭示之方法之機器可讀指令之一或多個序列的電腦程式,在其中儲存有此電腦程式之資料儲存媒體(例如半導體記憶體、磁碟或光碟)形式。 以上描述意欲係說明性的而非限制性的。因此,熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。
100:微影裝置/微影工具 102:量測站 104:曝光站 106:控制單元 108:塗佈裝置 110:烘烤裝置 112:顯影裝置 120:圖案化基板 122:處理裝置/蝕刻站 124:處理裝置 126:處理裝置/步驟 130:基板 132:基板 134:基板 140:度量衡裝置 142:度量衡結果 200:步驟 202:步驟/量測資訊 204:步驟/量測資訊 206:配方資料 208:量測資料 210:步驟 212:步驟 214:步驟 216:步驟 218:步驟 220:步驟 302a:第一組件 302b:第一組件 306a:第三組件 306b:第三組件 303:偏移/第三步驟 304:第二組件 308:校正 310:幾何校正 401:第一步驟 402:第二步驟 403:第三步驟 500:微影裝置 522:處理步驟 524:處理步驟 526:處理步驟 540:檢測裝置 550:基板 552a:子集 552b:子集 552c:子集 554:箭頭 556:箭頭 558:基板 560:基板 562:基板 564:基板 600:結構 602:基板 604:第一層 606:第二層 608:第n層 610:疊對誤差 612:疊對誤差 614:疊對誤差 701:第一判定步驟 702:第二判定步驟 702a:第一子步驟 702b:第二子步驟 801:第一步驟 802:第二步驟 803:第三步驟 902:步驟 904:步驟 906:步驟 910:步驟 912:步驟 914:步驟 916:步驟 918:步驟 920:步驟 1002:產品單元 1004:產品單元 1006:產品單元 1008:產品單元 1010:產品單元 1020:偏移晶圓 1022:偏移晶圓 1030:晶圓 1032:晶圓 1034:晶圓 1102:曲線 1104:曲線 1130:晶圓 1132:晶圓 A:叢集 B:叢集 C:叢集 EXP:曝光站 LA:微影裝置 LACU:控制單元 MA:圖案化器件 MEA:量測站 MET:度量衡系統 PC1:主成份 PC2:主成份 PC3:主成份 PRH:參數 R:配方資訊 SCS:監督控制系統 W:基板/晶圓 W':基板/晶圓 W'':經曝光基板
現將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中: 圖1描繪微影裝置連同形成用於半導體器件之生產設施的其他裝置; 圖2說明曝露基板上之目標部分的步驟; 圖3(a)及圖3(b)展示校正基板上之圖案化層之間的偏移之實例; 圖4及圖5展示聚集物件資料以原則上說明選擇代表性晶圓的不同實例; 圖6說明基板上之圖案化層之間的偏移; 圖7展示用以克服基板上之偏移的例示性方法; 圖8係用於判定第一校正之例示性方法; 圖9展示用於微影裝置或系統之例示性控制序列; 圖10象徵性地說明基於統計分析而將產品單元分割成不同子集的第一實例;且 圖11象徵性地說明基於統計分析而將產品單元分割成不同子集的第二實例。
500:微影裝置
522:處理步驟
524:處理步驟
526:處理步驟
540:檢測裝置
550:基板
552a:子集
552b:子集
552c:子集
554:箭頭
556:箭頭
558:基板
560:基板
562:基板
564:基板
SCS:監督控制系統

Claims (10)

  1. 一種用於組態(configure)一微影裝置之方法,該方法包含: 獲得一分割結構(partitioning structure),其與施加至一組基板之一第一層相關聯,該分割結構對應與該第一層相關聯之一效能參數之一特性之一組值; 獲得該效能參數之一指紋(fingerprint),其與出自(out of)該組基板之一基板之該第一層相關聯;及 基於該指紋及該分割結構組態用於施加(application)一後續層(subsequent layer)至該基板之該微影裝置。
  2. 如請求項1之方法,其中獲得該指紋之步驟包括: 判定與該基板之一第二層及一第n層相關聯之該效能參數的一第一指紋,其中在該第二層之前提供該第n層且在該第一層之前提供該第二層;及 基於該效能參數之該第一指紋及至少一另一特性判定該第一層及該第二層相關聯之該效能參數的一第二指紋。
  3. 如請求項2之方法,其中該另一組特性包含該基板之與至少一個製程條件相關聯之一特性,該至少一個製程條件與在該基板上提供該第一層、該第二層或該第n層中之至少一者相關聯。
  4. 如請求項1之方法,其中組態該微影裝置之步驟進一步基於針對複數個先前層(preceding layer)之各者之至少一個經判定指紋。
  5. 如請求項1之方法,其中該效能參數包含以下各者中之至少一者:聚焦誤差;對準誤差;或疊對誤差。
  6. 如請求項2之方法,其中該另一特性包含與該基板上之該第一層及該第n層相關聯之該效能參數的一第n指紋,其中第n指紋包含一第一校正。
  7. 如請求項6之方法,其中該第一校正包含對於在該基板上提供該第一層與該第二層及提供該第二層與該第n層之間的量測或微影製程條件之一預期變化的一校正。
  8. 一種用於組態一微影裝置之控制系統,該控制系統包含: 用於執行將一組基板分割至基板之複數個子集之一步驟的一配置; 用於進行判定與施加至該組基板之一第一層相關聯之一效能參數之一指紋之一步驟的一配置;及 用於基於該經判定指紋及該分割組態用於將一後續層施加至出自該組基板之一基板之該微影裝置之一步驟的一配置。
  9. 一種微影裝置,其包含: 一照明光學系統,其經配置以照明一圖案;及一投影光學系統,其經配置以將該圖案之一影像投影至一基板;及如請求項8之控制系統。
  10. 一種電腦程式產品,其含有經組態成執行以下步驟之機器可讀指令之一或多個序列: 獲得一分割結構,其與施加至一組基板之一第一層相關聯,該分割結構對應與該第一層相關聯之一效能參數之一特性之一組值; 獲得該效能參數之一指紋,其與出自該組基板之一基板之該第一層相關聯;及 基於該指紋及該分割結構組態用於施加一後續層至該基板之一微影裝置。
TW109141153A 2017-04-28 2018-04-16 最佳化微影製程之方法及裝置 TWI786474B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP17168801.3A EP3396458A1 (en) 2017-04-28 2017-04-28 Method and apparatus for optimization of lithographic process
EPEP17168801 2017-04-28

Publications (2)

Publication Number Publication Date
TW202125119A TW202125119A (zh) 2021-07-01
TWI786474B true TWI786474B (zh) 2022-12-11

Family

ID=58640777

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109141153A TWI786474B (zh) 2017-04-28 2018-04-16 最佳化微影製程之方法及裝置
TW107112852A TWI709824B (zh) 2017-04-28 2018-04-16 最佳化微影製程之方法及裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107112852A TWI709824B (zh) 2017-04-28 2018-04-16 最佳化微影製程之方法及裝置

Country Status (6)

Country Link
US (2) US11099487B2 (zh)
EP (1) EP3396458A1 (zh)
KR (1) KR102412940B1 (zh)
CN (2) CN110573966B (zh)
TW (2) TWI786474B (zh)
WO (1) WO2018197143A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110546576B (zh) * 2017-04-28 2021-09-10 Asml荷兰有限公司 优化针对产品单元制造的工艺序列
EP3746946A1 (en) * 2018-01-31 2020-12-09 ASML Netherlands B.V. Method to label substrates based on process parameters
CN113366389A (zh) * 2019-01-29 2021-09-07 Asml荷兰有限公司 用于控制光刻工艺的方法及设备
EP3848757A1 (en) * 2020-01-13 2021-07-14 ASML Netherlands B.V. Method for controlling a lithographic apparatus
CN114270271A (zh) * 2019-08-22 2022-04-01 Asml荷兰有限公司 用于控制光刻装置的方法
US11079337B1 (en) * 2020-03-17 2021-08-03 International Business Machines Corporation Secure wafer inspection and identification
CN113552772B (zh) * 2020-04-23 2022-09-13 苏州苏大维格科技集团股份有限公司 变光阑数据处理方法
US11803128B2 (en) * 2020-10-15 2023-10-31 Changxin Memory Technologies, Inc. Control method and device of overlay accuracy

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126004A1 (en) * 2000-05-31 2004-07-01 Nikon Corporation Evaluation method, position detection method, exposure method and device manufacturing method, and exposure apparatus
US9032342B2 (en) * 2010-02-26 2015-05-12 Mycronic AB Method and apparatus for alignment optimization with respect to plurality of layers
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US9442392B2 (en) * 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
JP2002064046A (ja) 2000-08-21 2002-02-28 Hitachi Ltd 露光方法およびそのシステム
US6650955B1 (en) * 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7084952B2 (en) 2003-07-23 2006-08-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and computer-readable storage medium
US7333173B2 (en) * 2004-04-06 2008-02-19 Taiwan Semiconductor Manufacturing Company Method to simplify twin stage scanner OVL machine matching
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
EP1744217B1 (en) * 2005-07-12 2012-03-14 ASML Netherlands B.V. Method of selecting a grid model for correcting grid deformations in a lithographic apparatus and lithographic assembly using the same
US7645546B2 (en) * 2006-02-06 2010-01-12 Macronix International Co., Ltd. Method for determining an overlay correlation set
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
GB0800227D0 (en) * 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
JP5166916B2 (ja) * 2008-03-04 2013-03-21 キヤノン株式会社 パターンの重ね合わせを行う装置およびデバイス製造方法
US8132128B2 (en) * 2008-10-31 2012-03-06 Synopsys, Inc. Method and system for performing lithography verification for a double-patterning process
NL2004716A (en) * 2009-06-17 2010-12-20 Asml Netherlands Bv Lithographic method and arrangement.
US8559001B2 (en) * 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
NL2005997A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
WO2011101183A1 (en) * 2010-02-19 2011-08-25 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2007052A (en) * 2010-07-15 2012-01-17 Asml Netherlands Bv Calibration method and inspection apparatus.
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
NL2009345A (en) 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
US9760020B2 (en) * 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
US9029810B2 (en) * 2013-05-29 2015-05-12 Kla-Tencor Corporation Using wafer geometry to improve scanner correction effectiveness for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
KR102124111B1 (ko) * 2013-10-02 2020-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
TWI560747B (en) * 2014-04-02 2016-12-01 Macromix Internat Co Ltd Method of manufacturing semiconductor and exposure system
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
KR101996492B1 (ko) * 2014-12-01 2019-07-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 제조 프로세스에 관련된 진단 정보를 획득하기 위한 방법 및 장치, 진단 장치를 포함하는 리소그래피 처리 시스템
CN107430350B (zh) * 2015-02-04 2019-10-18 Asml荷兰有限公司 计量方法和设备、计算机程序和光刻系统
US9971478B2 (en) * 2015-04-10 2018-05-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR102145256B1 (ko) * 2015-04-10 2020-08-19 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
CN113050388A (zh) * 2015-04-10 2021-06-29 Asml荷兰有限公司 用于检测及量测的方法与装置
JP6568298B2 (ja) * 2015-07-13 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
KR102136796B1 (ko) * 2015-10-19 2020-07-23 에이에스엠엘 네델란즈 비.브이. 비선형 거동의 영향을 저감시키는 방법 및 장치
WO2017067765A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US9625831B1 (en) * 2015-11-25 2017-04-18 Kabushiki Kaisha Toshiba Exposure apparatus, exposure method and manufacturing method of semiconductor device
US11520239B2 (en) * 2016-02-22 2022-12-06 Asml Netherlands B.V. Separation of contributions to metrology data
JP6775593B2 (ja) * 2016-03-11 2020-10-28 エーエスエムエル ネザーランズ ビー.ブイ. 製造プロセスを制御するための補正を計算する方法、メトロロジ装置、デバイス製造方法、及びモデリング方法
US10353299B2 (en) * 2016-06-01 2019-07-16 Canon Kabushiki Kaisha Lithography method, determination method, information processing apparatus, storage medium, and method of manufacturing article
CN109478021B (zh) * 2016-07-11 2021-01-01 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
US10712672B2 (en) * 2016-07-22 2020-07-14 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
EP3279735A1 (en) * 2016-08-01 2018-02-07 ASML Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
WO2018072980A1 (en) 2016-10-21 2018-04-26 Asml Netherlands B.V. Methods of determining corrections for a patterning process
KR102328439B1 (ko) * 2016-10-26 2021-11-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
EP3339959A1 (en) * 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
CN114690591A (zh) * 2016-12-23 2022-07-01 Asml荷兰有限公司 计算机程序产品和形貌确定方法
JP2020509431A (ja) * 2017-02-22 2020-03-26 エーエスエムエル ネザーランズ ビー.ブイ. コンピュータによる計測
EP3379332A1 (en) * 2017-03-24 2018-09-26 ASML Netherlands B.V. Lithographic apparatus being operable to determine pellicle degradation compensation corrections
EP3382606A1 (en) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units
KR102296942B1 (ko) * 2017-05-05 2021-09-01 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
EP3435162A1 (en) * 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
CN110998449B (zh) * 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
EP3444674A1 (en) * 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3447580A1 (en) * 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US10520829B2 (en) * 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
EP3518040A1 (en) * 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3746946A1 (en) * 2018-01-31 2020-12-09 ASML Netherlands B.V. Method to label substrates based on process parameters
US10642161B1 (en) * 2018-10-10 2020-05-05 International Business Machines Corporation Baseline overlay control with residual noise reduction
US20230258446A1 (en) * 2022-02-17 2023-08-17 Kla Corporation Estimating in-die overlay with tool induced shift correction

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126004A1 (en) * 2000-05-31 2004-07-01 Nikon Corporation Evaluation method, position detection method, exposure method and device manufacturing method, and exposure apparatus
US9032342B2 (en) * 2010-02-26 2015-05-12 Mycronic AB Method and apparatus for alignment optimization with respect to plurality of layers
US9442392B2 (en) * 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Also Published As

Publication number Publication date
EP3396458A1 (en) 2018-10-31
US11099487B2 (en) 2021-08-24
US20210349402A1 (en) 2021-11-11
US20200026201A1 (en) 2020-01-23
CN110573966B (zh) 2022-08-16
TWI709824B (zh) 2020-11-11
CN110573966A (zh) 2019-12-13
TW201903534A (zh) 2019-01-16
KR20190137132A (ko) 2019-12-10
WO2018197143A1 (en) 2018-11-01
KR102412940B1 (ko) 2022-06-23
TW202125119A (zh) 2021-07-01
CN115309005A (zh) 2022-11-08

Similar Documents

Publication Publication Date Title
TWI786474B (zh) 最佳化微影製程之方法及裝置
TWI731581B (zh) 判定圖案化製程之校正之方法、元件製造方法、用於微影裝置之控制系統及微影裝置
TWI656413B (zh) 用於控制工業製程的方法與設備
KR102166317B1 (ko) 패터닝 공정의 제어 방법, 디바이스 제조 방법, 리소그래피 장치용 제어 시스템 및 리소그래피 장치
WO2018202361A1 (en) Method to predict yield of a device manufacturing process
KR102451504B1 (ko) 제품 유닛들의 제조를 위한 공정들의 시퀀스의 최적화
EP3312672A1 (en) Methods of determining corrections for a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
TWI685726B (zh) 用於控制基板之定位之方法及用於判定參照基板之特徵之位置的方法
TW202020939A (zh) 判定出自複數個器件之一器件對一參數之一指紋之一貢獻的方法、系統、及程式
US11709432B2 (en) Method to characterize post-processing data in terms of individual contributions from processing stations