CN101707189A - 将多层/多输入/多输出(mlmimo)模型用于金属栅结构 - Google Patents

将多层/多输入/多输出(mlmimo)模型用于金属栅结构 Download PDF

Info

Publication number
CN101707189A
CN101707189A CN200910161199A CN200910161199A CN101707189A CN 101707189 A CN101707189 A CN 101707189A CN 200910161199 A CN200910161199 A CN 200910161199A CN 200910161199 A CN200910161199 A CN 200910161199A CN 101707189 A CN101707189 A CN 101707189A
Authority
CN
China
Prior art keywords
work procedure
etching
etching work
wafer
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910161199A
Other languages
English (en)
Other versions
CN101707189B (zh
Inventor
麦里特·法克
拉哈·桑达拉拉简
山下朝夫
丹尼尔·J·普莱格
李俊华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101707189A publication Critical patent/CN101707189A/zh
Application granted granted Critical
Publication of CN101707189B publication Critical patent/CN101707189B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明公开了将多层/多输入/多输出(MLMIMO)模型用于金属栅结构。本发明提供了一种使用包括一个或多个测量工序、一个或多个多蚀刻(P-E)顺序、和一个或多个金属栅蚀刻顺序的多层处理顺序和多层/多输入/多输出(MLMIMO)模型和库来处理晶片的方法。MLMIMO处理控制使用多层与/或多处理步骤之间动态相互作用行为建模。多层与/或多处理步骤可以与线、沟、通孔、间隔、接触以及栅结构的形成相关,可以使用各向同性与/或各向异性的蚀刻处理来形成线、沟、通孔、间隔、接触以及栅结构。

Description

将多层/多输入/多输出(MLMIMO)模型用于金属栅结构
技术领域
本发明涉及晶片处理,更具体地涉及使用多层/多步处理顺序和相关的多层/多输入/多输出(MLMIMO)模型来在晶片上实时形成金属栅结构的装置和方法。
背景技术
蚀刻处理行为固有地是非线性和互相作用的步骤到步骤(层)或者伴随着处理堆叠被编译(蚀刻/CVD/注入)。利用基于Tokyo ElectronLimited(TEL)室和基本处理的物理建模与来自处理细化的英制数据和测量并调节临界尺寸(CD)的控制相互作用的知识,可以使用多输入多输出非线性模型以递归的方式计算和优化侧壁角度(SWA)、深度、膜厚度、过蚀刻、底切、表面清洁和损坏控制。当前低成本产品使用块硅技术。由于晶体管持续收缩,通道深度的影响正变成临界(超浅源/漏极延伸)。随着绝缘体上的硅(SOI)膜不断收缩,栅与/或间隔的厚度以及SOI膜的厚度的更小的变化可以影响晶体管的性能。当蚀刻过程没有受到控制时,去除栅附近材料影响到电气性能。
目前高性能微处理器使用PD SOI(部分耗尽的绝缘体膜上硅)-给定阈值电压为0.2伏。PD SOI膜约为50nm,同时栅与/或间隔减少量可以占总栅与/或间隔厚度的较大的百分比(10%)。未来一代的SOI膜将被称为FD SOL(全耗尽,给定阈值电压为0.08伏并且厚度为~25nm)。目前这些膜由于厚度控制均匀性和缺陷的限制没有被生产。通道的移动性随着SOI的厚度的减小而下降。对于更薄的膜,金属栅结构的控制变得更关键。
发明内容
本发明可以提供一种设备和方法,其使用多层/多步处理顺序以及相关的多层/多输入/多输出(MLMIMO)模型在晶片上实时形成金属栅结构。
从以下的描述和所附的附图中,本发明的其它方面将变得明显。
附图说明
现在将参照示意性附图仅通过示例描述本发明的实施例,其中相应的参考标号表示相应的部件,其中:
图1示出根据本发明实施例的处理系统的示例性框图;
图2A-2G示出了根据本发明实施例的蚀刻子系统的示例性框图;
图3A-3G示出了根据本发明实施例的附加的蚀刻子系统的示例性框图;
图4示出了根据本发明实施例的示例性多层/多输入/多输出(MLMIMO)模型优化和控制方法的简化框图;
图5示出了根据本发明的实施例用于形成金属栅结构的多步处理顺序的示例视图;
图6示出了根据本发明的实施例用于形成金属栅结构的第二多步建模顺序的示例视图;
图7示出了根据本发明的实施例用于形成金属栅结构的第三多步建模顺序的示例视图;
图8示出了根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的示例性示意图;
图9示出了根据本发明实施例的两部分的多层/多输入/多输出(MLMIMO)模型的示例性框图;
图10示出了用于显影根据本发明实施例的多层/多输入/多输出(MLMIMO)的模型的示例性流程图;
图11示出了用于使用根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的程序的简化流程图;以及
图12示出了用于使用根据本发明实施例的MLMIMO的程序的运行流程图。
具体实施方式
多层/多输入/多输出(MLMIMO)模型可以基于应用需要被分成有限粒度的层。每层可以是物理材料,且层分开由材料变化或者层尺寸界线来表示。各层可以是各层的层组合,诸如金属栅堆叠层,随后对覆盖金属栅层的层进行间隔沉积和蚀刻。各层可以利用时间或者用来分开步骤的端点数据(EPD)映射到蚀刻步骤。此外,持续实时控制器可以以来自计量数据、传感器和蚀刻模型的组合的实时更新来运行。
可以运行DOE以建模每个潜在控制旋纽的处理增益和与每层相关的输入和输出的相互作用,以及处理控制环层到层的相互作用和增益。确定每个控制旋纽和输出之间的相互作用的方法能用来评估和优化诸如相对增益阵列的模型稳定性。此信息还可以驱动建立非相互作用的个别反馈环。
针对一组目标(或者目标输出)使用MLMIMO建模来计算最佳输入。约束可以是诸如时间、气体流量和层温度的处理参数的范围。在MLMIMO模型显影过程中,可以在给定的时间应用一组权重以引导优化器用最值将输出进行区分优先次序到当前处理计算。给定随着优化器以线性或者非线性的方式移动远离目标而有效地处罚的目标和增益约束,目标权重能用在方程式应用到权重计算的情况下。目标可以是中心目标或者限制目标(例如,对于SWA,高于给定值)。
反馈可以采取多环的形式,反馈用于每个目标输出,且基于实际较小的预定误差来计算反馈误差。当使用MLMIMO模型时,每个预定输出误差需要被计算并与反馈测量匹配以确定实际误差。可以使用反馈滤波方法(诸如指数权重移动均值(EWMA)或者卡尔曼滤波器)来滤去噪声。来自与多步顺序相关的控制器的输出可以包括适合度(goodness of fit,GOF),并且此GOF值然后用作层叠控制器的输入。
随着处理步骤被执行,MLMIMO控制器可以包括不同时间点的更新,这允许控制器基于过去的计算、计算的误差、工具状态或者材料状态的改变进行新的更新,然后结合到最近的更新中。
在一些多步顺序中,当抗蚀参数被测量时,它们可以用于正向馈送,并且可以基于之前的晶片反馈和室状态信息被加权.在一批开始时,MLMIMO模型可以被构造为使用图案化软掩模层的熟知的值,并且它们可以被加权到之前批的分布的中心.在批处理中,蚀刻控制层(ECL)或硬掩模层的参数可以被测量并采用如EWMA的加权方法来滤波以修平W2W变化,反馈并翻译成抗蚀SWA,并用于更新目前的正向馈送SWA值.SWA图案分析功能可以将双模态图案分组,因此两条线可以被管理为反馈与/或正向馈送数据.在一个示例中,SWA W2W变量更通常地被扫描器工作台驱动,因此两条正向馈送/反馈的线可以被维持以使性能最佳化。在第二个示例中,来自光刻工具的W2W CD变量可以被热板支配,因此可以观察到2、3或4的变量图案。当在光刻处理后进行IM测量时,晶片上的图案可以在晶片处理之间建立,并且晶片CD和SWA图案可以在晶片被送到蚀刻机之前被建立。当使用多于一个的处理线时,线的数量可以相加作为用于晶片的关联项。此外,当可以获得扫描器与/或轨道单元数、扫描器模块数和热板数时,它们也可以用于将晶片分组并建立从光刻工具到蚀刻工具的正向馈送线。或,也可以使用涂覆机/显影机的其它结合。
当晶片基于关联组被存储时,晶片随后可以基于其组或顺序被处理。当蚀刻工具中的处理顺序与光刻工具中的处理顺序相同时,当前FB控制器可以编程以为W2W调节光刻工具内的漂移和蚀刻工具内的漂移。
本发明提供了用于处理晶片的装置和方法,晶片上具有大量半导体器件,和更大量的晶体管栅与/或间隔结构。在各种实施例中,提供的设备和方法用于产生与/或使用MLMIMO评估库,用于执行可以包括一个或者多个测量工序、一个或者多个沉积工序、一个或者多个局部蚀刻(局部蚀刻)工序、一个或者多个全蚀刻(多蚀刻)工序的MLMIMO处理顺序、以及/或者用于验证MLMIMO模型和相关处理顺序。
一个或者多个周期性结构可以设置在晶片上的各个位置处并可以用来评估和/或者验证MLMIMO模型和相关处理顺序。晶片可以具有与其相关的晶片数据,并且晶片数据可以包括实时和历史的数据。此外,晶片可以具有与其相关的其它数据,并且其它数据可以包括栅结构数据、所需位置号、访问位置号、用于一个或者多个位置的置信数据和/或者风险数据、位置排列数据、转移顺序数据或者有关处理数据或者评估/验证有关数据或者它们的任何组合。与MLMIMO有关晶片相关的数据可以包括可以用来建立转移晶片的时间和地点的转移顺序数据,并且可以使用操作状态数据改变转移顺序。
MLMIMO模型可以基于应用需要分成有限粒度的层。每层可以是物理材料,且层分开由材料变化或者层尺寸界线来表示。各层可以是各层的层组合,诸如金属栅堆叠层,随后对覆盖金属栅层的层进行间隔沉积和蚀刻。
各层可以利用时间或者用来分开步骤的端点数据(EPD)映射到蚀刻步骤。此外,持续实时控制器可以以来自计量数据、传感器和蚀刻模型的组合的实时更新来运行。
基于单环控制与多变量控制的比较,在处理控制多变量应用中使用分析装置;其表示为输入输出变量对之间的的单环行为的测量与在一些多变量控制的理想化的情况下相同输入输出对的行为的有关测量的比率的阵列(对于所有可能的输入输出对)。
针对一组目标(或者目标输出)使用MLMIMO建模来计算最佳输入。约束可以是诸如时间、气体流量和层温度的处理参数的范围。对于MLMIMO,可以在给定的时间应用一组权重以引导优化器用最值将输出进行区分优先次序到当前处理计算。给定随着优化器以线性或者非线性的方式移动远离目标而有效地处罚的目标和增益约束,目标权重能用在方程式应用到权重计算的情况下。目标可以是中心目标或者限制目标(例如,对于SWA,高于给定值)。
反馈可以采取多环的形式,反馈用于每个目标输出,且基于实际较小的预定误差来计算反馈误差。对于MLMIMO,每个预定输出误差需要被计算并与反馈测量匹配以确定实际误差。可以使用反馈滤波方法(诸如指数权重移动均值(EWMA)或者卡尔曼滤波器)来滤去噪声。层控制器的输出可以包括适合度,并且此GOF然后用作层叠层控制器的输入。
晶片可以被分割到一个或者多个上边缘区域、一个或者多个中心区域和一个或者多个下边缘区域。
随着处理步骤被执行,层控制器可以包括不同时间点的更新,这允许控制器基于过去的计算、计算的误差、工具状态或者材料状态的改变进行新的更新,然后结合到最近的更新中。
随着特征尺寸下降到65nm节点以下,精确的处理和/或者测量数据变得更重要和更难以获得。MLMIMO模型和相关处理顺序可以用来更精确地处理和/或者测量这些超小器件和特征。来自MLMIMO工序的数据可以与告警和/或者控制限制比较,当违反运行规则时,产生警报以表示处理问题,并且可以实时地处理校正工序。
图1示出了根据本发明实施例的处理系统的示例性框图。在图示性实施例中,处理系统100包括光刻子系统110、扫描器子系统120、蚀刻子系统130、沉积子系统140、检测子系统150。计量子系统160、转移子系统170、制造执行子系统(MES)180、系统控制器190和存储/数据库195。单个子系统(110、120、130、140、150、160和170)示出在图示的实施例中,但是这不是本发明必需的。在一些实施例中,多个子系统(110、120、130、140、150、160和170)可以用在处理系统100中。此外,子系统(110、120、130、140、150、160和170)中的一个或者多个可以包括一个或者多个可以用在MLMIMO模型和相关处理顺序中的处理元件。
系统控制器190可以使用数据转移子系统191连接到光刻系统110、扫描器子系统120、蚀刻子系统130、沉积子系统140、检测子系统150、计量子系统160和转移子系统170。系统控制器190可以使用数据转移子系统181连接到MES180。可选地,可以使用其它构造。例如,蚀刻子系统130、沉积子系统140、计量子系统160和一部分转移子系统170可以是从Tokyo Electron Limited购买的TactrasTM的部件。
光刻子系统110可以包括一个或者多个转移/存储元件112、一个或者多个处理元件113、一个或者多个控制器114以及一个或者多个评估元件115。转移/存储元件112中的一个或者多个可以连接到处理元件113中的一个或者多个以及/或者评估元件115中的一个或者多个,并且可以连接111到转移子系统170。转移子系统170可以连接111到光刻子系统110,并且一个或者多个晶片105可以实时在转移子系统170和光刻子系统110之间转移。例如,转移子系统170可以连接到转移/存储元件112中的一个或者多个、连接到处理元件113中的一个或者多个,以及/或者连接到评估元件115中的一个或者多个。控制器114中的一个或者多个可以连接到转移/存储元件112中的一个或者多个、连接到处理元件113中的一个或者多个、以及/或者评估元件115中的一个或者多个。
在一些实施例中,光刻子系统110可以使用各工序和/或者各工序在一个或者多个晶片上执行涂覆工序、热处理工序、测量工序、检测工序、对准工序和/或者存储工序.例如,可以使用一个或者多个光刻有关处理来沉积一个或者多个掩膜层,该掩膜层可以包括光刻胶材料和/或者抗反射涂覆(ARC)材料,并可以使用一个或者多个光刻有关处理来对掩膜层中的一个或者多个进行热处理(烘焙).此外,可以使用光刻子系统110来显影、测量和/或者检测在晶片中的一个或者多个上的图案化的掩膜层中的一个或者多个.
扫描器子系统120可以包括一个或者多个转移/存储元件122、一个或者多个处理元件123、一个或者多个控制器124以及一个或者多个评估元件125。转移/存储元件122中的一个或者多个可以连接到处理元件123中的一个或者多个,并且/或者连接到评估元件125中的一个或者多个,并可以连接121到转移子系统170。转移子系统170可以连接121到扫描器子系统170,并且一个或者多个晶片105可以实时地在转移子系统170和扫描器子系统120之间转移121。例如,转移子系统170可以连接到转移/存储元件122中的一个或者多个,连接到处理元件123中的一个或者多个以及连接到评估元件125中的一个或者多个。控制器124中的一个或者多个可以连接到转移/存储元件122中的一个或者多个、连接到处理元件123中的一个或者多个以及连接到评估元件125中的一个或者多个。
在一些实施例中,扫描器子系统120可以用来执行湿式和/或者干式暴露工序,并且在其它情况下,扫描器子系统120可以用来执行超紫外(EUV)暴露工序。
蚀刻子系统130可以包括一个或者多个转移/存储元件132、一个或者多个处理元件133、一个或者多个控制器134以及一个或者多个评估元件135。转移/存储元件132中的一个或者多个可以连接到处理元件133中的一个或者多个,并且/或者连接到评估元件135中的一个或者多个,并可以连接131到转移子系统170。转移子系统170可以连接131到蚀刻子系统130,并且一个或者多个晶片105可以实时在转移子系统170和蚀刻子系统130之间转移。例如,转移子系统170可以连接到转移/存储元件132中的一个或者多个、连接到处理元件133中的一个或者多个、以及/或者连接到评估元件135中的一个或者多个。控制器134中的一个或者多个可以连接到转移/存储元件132中的一个或者多个、连接到处理元件133中的一个或者多个以及/或者连接到评估元件135中的一个或者多个。例如,处理元件133中的一个或者多个可以用来执行等离子体或者非等离子体蚀刻、灰化和清洁工序或者等离子体或者非等离子体蚀刻工序。评估工序和/或者检测工序可以用来测量和/或者检测晶片的一个或者多个表面和/或者层。蚀刻子系统130可以如图2A-2G和图3A-3G中所描述的构造。
沉积子系统140可以包括一个或者多个转移/存储元件142、一个或者多个处理元件143、一个或者多个控制器144和一个或者多个评估元件145。转移/存储元件142中的一个或者多个可以连接到处理元件143中的一个或者多个,并且/或者连接到评估元件145中的一个或者多个,并连接连接141到转移子系统170。转移子系统170可以连接141到沉积子系统140,并且一个或者多个晶片105可以实时在转移子系统170和沉积子系统140之间转移141。例如,转移子系统170可以连接到转移/存储元件142中的一个或者多个、连接到处理元件143中的一个或者多个、以及/或者连接到评估元件145中的一个或者多个。控制器144中的一个或者多个可以连接到转移/存储元件142中的一个或者多个、连接到处理元件143中的一个或者多个、以及/或者连接到评估元件145中的一个或者多个。例如,处理元件143中的一个或者多个可以用来执行物理蒸气沉积(PVD)工序、化学气相沉积(CVD)工序、离子化物理蒸气沉积(iPVD)工序、原子层沉积(ALD)工序、等离子体增强原子层沉积(PEALD)和/或者等离子体增强化学气相沉积(PECVD)工序。评估工序和/或者检测工序可以用来测量和/或者检测晶片中的一个或者多个。
检测子系统150可以包括一个或者多个转移/存储元件152、一个或者多个处理元件153、一个或者多个控制器154以及一个或者多个评估元件155。转移.存储元件152中的一个或者多个可以连接到处理元件153中的一个或者多个,并且/或者连接到评估元件155中的一个或者多个,并且可以连接151到转移子系统170。转移子系统170可以连接151到检测子系统150,并且一个或者多个晶片105可以实时在转移子系统170和检测子系统150之间转移151。例如,转移子系统170可以连接到转移/存储元件152中的一个或者多个、连接到处理元件153中的一个或者多个、以及/或者连接到评估元件155中的一个或者多个。控制器154中的一个或者多个可以连接到转移/存储元件152中的一个或者多个、连接到处理元件153中的一个或者多个、以及/或者连接到评估元件155中的一个或者多个。
计量子系统160可以包括一个或者多个转移/存储元件162、一个或者多个处理元件163、一个或者多个控制器164以及一个或者多个评估元件165。转移/存储元件162中的一个或者多个可以连接到处理元件163中的一个或者多个,以及/或者连接到评估元件165中的一个或者多个,并且可以连接161到转移子系统170。转移子系统170可以连接161到计量子系统160,并且一个或者多个晶片105可以实时在转移子系统170和计量子系统160之间转移161。例如,转移子系统170可以连接到转移/存储元件162中的一个或者多个、连接到处理元件163中的一个或者多个、以及/或者评估元件165中的一个或者多个。控制器164中的一个或者多个可以连接到转移/存储元件162中的一个或者多个、连接到处理元件163中的一个或者多个、以及/或者评估元件165中的一个或者多个。计量子系统160可以包括一个或者多个处理元件163,该处理元件163可以用来执行实时光学计量工序,该实时光学计量工序可以用来使用基于库的或者基于回归的技术在晶片上的一个或者多个位置处测量目标结构。例如,晶片上的位置可以包括MLMIMO位置、目标位置、覆盖位置、对准位置、测量位置、验证位置、检测位置或者损坏评定位置、或者它们的任何组合。例如,一个或者多个“金色晶片”或者基准芯片可以被周期性地存储和使用以验证处理元件163中的一个或者多个元件和/或者评估元件165中的一个或者多个元件的性能。
在一些实施例中,计量子系统160可以包括集成光学数字轮廓测定(iODP)元件(未示出),并且iODP元件/系统可从Tombre TechnologiesInc.(TEL公司)购得。可选地,可以使用其它计量系统。例如,可以使用iODP技术来获得实时数据,该实时数据可以包括临界尺寸(CD)数据、栅结构数据和厚度数据,并且用于iODP数据的波长范围可以从小于约200nm到大于约900nm。示例性iODP元件可以包括ODP轮廓库元件、轮廓应用服务器(PAS)元件和ODP轮廓软件元件。ODP轮廓库元件可以包括光谱的特定应用数据库和器相应的半导体轮廓、CD和膜厚度。PAS元件可以包括至少一个计算机,该计算机与光学硬件和计算机网络连接。PAS元件可以被构造成提供数据通信、ODP库操作、测量处理、结果产生、结果分析和结果输出。ODP轮廓软件元件可以包括安装在PAS元件上以管理测量管理配方、ODP轮廓库元件、ODP轮廓数据、ODP轮廓搜索/匹配结果、ODP轮廓计算/分析结果、数据通信以及到各种计量元件和计算机网络的PAS接口的软件。
计量子系统160可以使用极化反射计、光谱分析椭圆测量计、反射计、或者其它光学测量技术以测量精确的器件轮廓、精确的CD和晶片的多层膜厚度.可以执行集成计量处理(iODP)作为集成组的子系统的集成处理.此外,集成处理消除了损坏晶片的需要以为来自外部系统的数据执行分析或者等待长的时段.可以将iODP技术用于现有的薄膜计量系统以进行成线轮廓(inline profile)和CD测量,并且能与TEL处理系统和/或者光刻系统集成以提供实时处理监视和控制。可以通过应用麦克斯维尔方程式和使用数值分析技术来求解麦克斯维尔方程式来产生模拟计量数据。
转移子系统170可以包括转移元件174,该转移元件174连接到可以用来接收晶片、转移晶片、对准晶片、存储晶片和/或者延迟晶片的的转移轨道(175、176和177)。例如,转移元件174可以支撑两个或者更多个晶片。可选地,可以使用其它转移装置。转移子系统170可以基于MIMIMO模型、MLMIMO有关处理顺序、转移顺序、操作状态、晶片和/处理状态、处理时间、当前时间、晶片数据、晶片上位置号、晶片上位置的类型、所需位置号、完成位置号。剩余位置号或者置信数据或者它们的任何组合来加载、转移、存储和/或者卸载晶片。
在一些示例中,转移子系统170可以使用加载数据来确定转移晶片的时间和地点。在其它示例中,转移系统可以使用MLMIMO建模数据来确定转移晶片的时间和地点。可选地,可以使用其它工序。例如,当晶片的第一数量小于或者等于可用处理元件的第一数量时,可以使用转移系统170将第一数量的子系统转移到子系统中的一个或者多个子系统中的第一数量的可用处理元件。当晶片的第一数量大于可用处理元件的第一数量时,使用转移/存储元件(112、122、132、142、152和162)中的一个或者多个以及/或者转移子系统170来存储和/或者延迟一些晶片。
此外,当执行光刻有关工序、扫描器有关工序、检测有关工序、测量有关工序、评估有关工序、蚀刻有关工序、沉积有关工序、热处理有关工序、涂覆有关工序、对准有关工序、研磨有关工序、存储有关工序、转移工序、清洁有关工序、再加工有关工序、氧化有关工序、氮化有关工序或者外部处理元件或者它们的任何组合时使用一个或者多个子系统(110、120、130、140、150、160和170)。
可以针对子系统(110、120、130、140、150、160和170)建立操作状态数据,并且可以通过MLMIMO有关处理顺序使用和/或者更新操作状态数据。此外,可以针对转移/存储元件(112、122、132、142、152和162)、处理元件(113、123、133、143、153和163)和评估元件(115、125、135、145、155和165)建立操作状态数据并可以通过MLMIMO有关工序来更新操作状态数据。例如,用于处理元件的操作状态数据可以包括可用数据、用于处理元件的匹配数据、用于一些处理步骤和/或者位置的预期处理时间、用于处理元件的置信数据和/或者风险数据或者用于一个或者多个MLMIMO有关工序的置信数据和/或者风险数据。可以通过实时查询一个或者多个处理元件和/或者一个或者多个子系统获得更新的操作状态。可以通过实时查询一个或者多个转移元件和/或者一个或者多个转移子系统来获得更新的加载数据。
控制器(114、124、134、144、154和164)中的一个或者多个可以使用数据转移子系统191连接到系统控制器190,并且/或者连接到彼此。可选地,可以使用其它连接构造。控制器可以串联和/或者并联连接,并可以具有一个或者多个输入端口和/或者一个或者多个输出端口。例如,控制器可以包括具有一个或者多个核心处理元件的微处理器。
此外,子系统(110、120、130、140、150、160和170)可以使用企业内部互联网、互联网、有线和/或者无线连接来连接到彼此和连接到其它器件.控制器(114、124、134、144和190)可以根据需要连接到外部器件.
当执行实时MLMIMO有关工序时可以使用控制器(114、124、134、144、154、164和190)中的一个或者多个。控制器可以从MLMIMO模型接收实时数据以更新子系统、处理元件、处理、配方、轮廓、图像、图案、模拟、顺序数据和/或者模型数据。控制器(114、124、134、144、154、164和190)中的一个或者多个可以用来与管理执行系统(MES)180或者其它系统(未示出)交换一个或者多个半导体设备通信标准(SECS)信息、读取和/或者去除信息、正向输出和/或者反馈信息和/或者发送作为SECS信息的信息。格式化的信息中的一个或者多个可以在控制器之间交换,并且控制器可以实时处理信息并提取新的数据。当新的数据可用时,可以实时地使用新的数据以更新模型和/或者当前被用于晶片的工序和/或者批次。例如,当在查看当前布局之前可以更新模型和/或者工序时,可以使用更新的模型和/或者工序来查看当前布局。当在处理当前布局之前未执行更新时,可以使用未更新的模型和/或者工序来查看当前布局。此外,当改变光刻胶时,当改变光刻胶模型时,当改变处理顺序时,当改变设计规则时或者当改变布局时,可以使用格式化信息。
在一些示例中,MES180可以被构造成实时监视一些子系统和/或者系统处理,工厂水平干涉和/或者判断规则可以用来确定监视哪个处理以及可以使用哪个数据。例如,工厂水平干涉和/或者判断规则可以用来判断当发生MLMIMO有关错误条件时如何管理数据。MES180可以提供建模数据、处理顺序数据和/或者晶片数据。
此外,控制器(114、124、134、144、154、164和190)可以根据需要包括存储器(未示出)。例如,存储器(未示出)可以用于要由控制器执行的信息和指令,并且可以用于在处理系统100中的各种计算机/处理器执行指令过程中存储暂时变量或者其它中间信息。控制器(114、124、134、144、154、164和190)或者其它系统部件中的一个或者多个可以包括用于从计算机可读介质读取数据和/或者指令的装置,并可以包括用于将数据和/或者指令写到计算机可读介质的装置。
处理系统100可以响应于处理系统中的计算机/处理器执行包含在存储器中并且/或者接收在信息中的一个或者多个指令中的一个或者多个顺序来执行本发明的处理步骤的一部分或者所有部分。这样的指令可以从另一计算机、计算机可读介质或者网络连接中接收。
在一些实施例中,使用来自Tokyo Electron Limited(TEL)的系统部件构造集成系统,并且外部子系统和/或者工具可以包括在内。例如,测量元件可以设置成可以包括CD扫描电子显微镜检测(CDSEM)系统、传输电子显微镜检测(TEM)系统、聚焦离子束(FIB)系统、光学数字轮廓测定(ODP)系统、原子力显微镜检测(AFM)系统或者另一光学计量系统。子系统和/或者处理元件可以具有不同的接口要求,并且控制器可以被构造成满足这些不同的接口要求。
子系统(110、120、130、140、150、160和170)中的一个或者多个可以执行控制应用、图形使用者接口(GUI)应用、和/或者数据库应用。此外,子系统(110、120、130、140、150、160和170)和/或者控制器(114、124、134、144、154、164和190)中的一个或者多个可以包括实验设计(DOE)应用、先进的处理控制(APC)应用、缺陷检测和分类(FDC)应用和/或者从运行到运行(R2R)应用。
来自MLMIMO建模过程的输出数据和/或者信息可以用在随后工序以优化处理精度和准确度.数据可以实时传递到MLMIMO有关工序作为实时变量参数,覆盖当前模型值并简化DOE表.实时数据可以用于基于库的系统、或者基于回归的系统或者它们的任何组合以优化MLMIMO有关工序.
当使用基于回归的库形成工序时,可以将测量的MLMIMO模型有关数据与模拟的MLMIMO模型有关数据进行比较。可以基于处理有关参数组迭代产生模拟MLMIMO数据以获得用于该组处理有关参数的收敛值,与测量的MLMIMO模型有关数据相比,该组处理有关参数产生最接近匹配的模拟MLMIMO模型有关数据。当使用基于库的处理时,可以使用MLMIMO模型有关工序、配方、轮廓和/或者模型来产生和/或者增强MLMIMO模型有关库。例如,MLMIMO模型有关库可以包括模拟和/或者测量的MLMIMO有关数据和相应组的处理顺序数据。可以实时执行基于回归和/或者基于库的处理。用于产生用于MLMIMO有关库的数据的可选工序可以包括使用机械学习系统(MLS)。例如,在产生MLMIMO有关库之前数据之前,可以使用公知的输入和输出数据训练MLS,并且可以用MLMIMO有关库数据的子集训练MLS。
MLMIMO模型可以包括遇到匹配情形的任何时候都执行的干涉和/或者判断规则。可以基于历史工序、基于客户的经验或者处理知识建立或者从主机计算机获得干涉和/或者判断规则和/或者限制。在缺陷检测和分类(FDC)工序中可以使用规则以确定如何响应警报情况、错误情况、缺陷情况和/或者警告情况。基于规则的FDC工序对缺陷区分优先次序和分类、预测系统性能、预测预防性维护安排、降低停修时间和延长系统中消耗部件的工作寿命。响应于警报/缺陷可以发生各种作用,并且在警报/缺陷上发生的作用可以是基于情形的,并且情形数据可以由规则、系统/处理配方、室类型、识别号、负载端口号、盒子号、批次号、控制任务ID、处理任务ID、槽号和/或者数据的类型来指定。
当超过限制时,不成功的工序或者处理顺序可以报告失败,并且当正接近限制时,成功的工序可以形成警告信息。用于工序错误的预指定失败动作可以存储在数据库中,并且当发生错误时可以从数据库恢复。例如,当测量工序失败时,MLMIMO有关工序可以抛弃用于晶片的一个或者多个位置的数据。
MLMIMO模型可以用来在不同的时间和/或者位置形成、修改和/或者评估隔离的和/或者嵌套的结构。例如,栅堆叠尺寸和晶片厚度数据可以在隔离和/或者嵌套结构附近不同,并且栅堆叠尺寸和晶片厚度数据可以在开口区域和/或者沟槽阵列区域附近不同。MLMIMO模型可以为隔离和/或者嵌套结构形成优化数据以更新和/或者优化处理配方和/或者处理时间。
MLMIMO模型可以使用端点检测(EPD)数据和处理时间数据以改进精度。当EPD数据用来停止蚀刻工序时,EPD时间数据和处理率数据可以用来估计蚀刻量和/或者用来估计厚度。
在各种示例中,MLMIMO模型有关限制可以通过在“金色”处理室执行MLMIMO模型有关工序来获得,可以是存储在库中的历史数据,可以通过执行验证的沉积工序来获得,可以从MES180获得,可以是模拟数据,并且可以是预测的数据.局部蚀刻工序限制,可以通过在“金色”处理室中执行局部蚀刻工序来获得,可以是存储在库中的历史数据,可以通过执行验证的局部蚀刻工序来获得,可以从MES180获得,可以是模拟数据并且可以是预测的数据.局部蚀刻工序限制,可以通过在“金色”处理室中执行COR蚀刻工序来获得,可以是存储在库中的历史数据,可以通过执行验证的局部蚀刻工序来获得,可以从MES180来获得,可以是模拟数据,并且可以是预测的数据.
图2A-2G示出了根据本发明实施例的蚀刻子系统的示例性框图。
第一示例性蚀刻子系统200A在图2A中示出,并且图示的蚀刻子系统200A包括等离子体处理室210、晶片保持器220,要被处理的晶片225附着在该晶片保持器220上、气体喷射系统240以及真空泵送系统257。例如,晶片保持器220可以使用基体229连接到等离子体处理室210,并从等离子体处理室210隔离。晶片225可以例如是半导体晶片、工作件或者液晶显示器(LCD)。例如,等离子体处理室210可以被构造成促进在晶片225的表面邻近的处理区245中产生等离子体,在那里等离子体经由加热的电子和可电离的气体之间的碰撞而形成。可电离的气体或者气体混合物经由气体喷射系统240引入,并且处理压力得到调节。期望地,利用等离子体来形成预定材料处理专用的材料,并且辅助该材料沉积到晶片225或者材料从暴露的晶片225的表面去除。例如,控制器255可以用来控制真空泵送系统257和气体喷射系统240。
晶片225可以例如通过槽阀(未示出)和室给进孔(chamber feed-through)(未示出)经由机械手转移系统转移进出等离子体处理室210,在机械手转移系统,晶片被容纳在晶片保持器220内的晶片升降销(未示出)接收并由容纳在其中的装置以机械的方式平移。在晶片225从转移系统接收之后,晶片降低到晶片保持器220的上表面。
例如,晶片225可以经由静电夹持系统(未示出)附着到晶片保持器220。此外,晶片保持器220还可以包括温度控制系统228。此外,气体可以经由双(中心/边缘)背侧气体系统226输送到晶片的背侧以提高晶片225和晶片保持器220之间间隙-间隙导热性。当在升高或者降低的温度下需要附加的晶片温度控制时,利用双(中心-边缘)背侧气体系统。例如,晶片的温度控制可以在温度超过由于从等离子体输送到晶片225的热通量和通过到晶片保持器220的传导而从晶片225移开的热通量之间的平衡而实现的稳态温度时有用。在其它实施例中,诸如电阻加热元件的加热元件或者热电加热器/冷却器可以包括在内。
如图2A所示,晶片保持器220包括下电极221,射频(RF)功率可以通过下电极221耦合到处理区245中的等离子体。例如,下电极221可以在RF电压下经由从RF产生器230通过电抗匹配网络232到下电极221的RF功率的传输而被电气偏差。RF偏差可以用于加热电子以形成和维持等离子体。用于RF偏差的通常的频率可以从1MHz到100MHz的范围,并且优选为13.56MHz。
可选地,RF功率可以多重频率施加到下电极221。此外,电抗匹配网络232用于通过使反射的功率最小化来使RF功率到处理室210中的等离子体的传输最大化。可以利用各种匹配网络拓扑和自动控制方法。
继续参照图2A,处理气体可以通过气体喷射系统240引入到处理区245中的一个或者多个区域。处理气体例如可以包括诸如氩、CF4和O2或者用于氧化蚀刻应用的氩、C4F8和O2的气体或者诸如例如O2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、Hbr的其它化学物质的混合物.气体喷射系统240可以被构造使引入到晶片225的污染物降低或者最小化,并可以包括气体喷射增压室241和多孔喷头气体喷射板242.例如,处理气体可以从气体输送系统(未示出)供应.气体喷射系统240可以提供不同的流率到处理区245的不同区域.可选地,气体喷射系统240可以提供不同的处理气体到处理区245的不同的区域.
例如,真空泵送系统257可以包括涡轮分子真空泵(TMP)258,其能具有每秒高达5000升的泵送速度(和更大),还包括用于控制室压力的门阀259。在用于干燥等离子体蚀刻处理的传统等离子体处理装置中,通常采用每秒1000至3000升TMP。TMP用于低压处理,通常低于50mTorr。在更高的压力,TMP泵送速度急剧下降。对于高压处理(即,大于100mTorr),可以使用机械增压泵和干燥初级泵。此外,用于监视室压力(未示出)的装置可以连接到处理室210。压力测量装置例如可以是从MKS Instruments,Inc.(Andover,MA)购买的628B Baraton类型的绝对电容压力计。
如图2A所述,蚀刻子系统200A可以包括连接到等离子体处理室210以获得性能数据的一个或者多个传感器250以及连接到传感器250以接收性能数据的控制器255。传感器250可以包括等离子体处理室210内部的传感器和等离子体处理室210内部的传感器两者。内部的传感器可以包括属于等离子体处理室210的功能(诸如,氦背侧气体压力、氦背侧流量、静电夹持(ESC)电压、ESC电流、晶片保持器220温度(或者下电极(LEL)温度)、冷却剂温度、上电极(UEL)温度、正向RF功率、反射RF功率、RF自感应DC偏差、RF峰对峰电压、室壁温度、处理气体流率、处理气体起始压力、室压力、电容器设定(即,C1和C2的位置)、聚焦环厚度、RF小时、聚焦环RF小时和它们的任何统计的测量)的那些传感器。可选地,外部的传感器可以包括用于监视从图2A所示的处理区245中的等离子体发射的光的一个或者多个光学装置234和/或者用于监视图2A所示的等离子体处理室210的电气系统的一个或者多个电气测量装置236。光学装置234可以包括能用作端点检测器(EPD)并能提供EPD数据的光学传感器。例如,可以使用光学发射光谱(OES)传感器。
电气测量装置236可以包括电流和/或者电压探针、功率计或者光谱分析仪。例如,电气测量装置236可以包括RF电抗分析仪。此外,诸如电压或者电流的时间轨迹的电气信号的测量允许使用离散的傅立叶系列表示将该信号转换成频域(假定周期信号)。此后,傅立叶光谱(或者对于时间变化的信号,频率光谱)可以被监视和分析以表征等离子体的状态。在可选的实施例中,电气测量装置236可以包括用于测量等离子体处理室210外部的辐射RF场的宽带RF天线。
控制器255可以包括微处理器、存储器和数字I/O端口(潜在地包括D/A和/或者A/D转换器),I/O端口能产生足以通信和启动到蚀刻子系统200的输入以及监视来自蚀刻子系统200的输出的控制电压。如图2A所示,控制器255可以连接到第一RF产生器230、电抗匹配网络232、气体喷射系统240、真空泵送系统257、背侧输送系统226、温度控制系统228、光学装置234、电气测量装置236和传感器250,并与它们交换信息。存储在存储器中的程序被用来根据存储的处理配方与蚀刻子系统200的前述部件互相作用。
在图2B所示的示例性实施例中,蚀刻子系统200B可以类似于图2A的实施例,并且除了参照图2A描述的那些部件之外还包括静止的以机械方式或者电气方式旋转的磁场系统260,以为了潜在地增大等离子体密度和/或者提高等离子体处理均匀性。此外,控制器255可以连接到磁场系统260以为了调节旋转的速度和场强度。旋转磁场的设计和实施对本领域的技术人员是公知的。
在图2C所示的实施例中,蚀刻子系统200C可以类似于图2A或者图2B的实施例,并且还可以包括上电极270,RF功率可以从RF产生器272通过可选的电抗匹配网络274耦合到上电极270.用于RF功率施加到上电极的频率可以从约0.1MHz到约200MHz的范围.此外,用于功率施加到下电极221的频率可以从约0.1MHz到约100MHz的范围.此外,控制器255可以耦合到RF产生器272和电抗匹配网络274,以为了控制RF功率施加到上电极170.上电极的设计和实施对本领域的技术人员是公知的.上电极270和气体分配系统240可以如所示连接到彼此.
在图2D所示的实施例中,蚀刻子系统200D可以类似于图2A混入图2B的实施例,并且还可以包括感应线圈280,RF功率可以经由RF产生器282通过可选的电抗匹配网络284耦合到感应线圈280。RF功率从感应线圈280通过介电窗(未示出)感应耦合到等离子体处理区245。用于RF功率施加到感应线圈280的频率可以在从约10MHz到约100MHz的范围。类似地,用于功率施加到下电极221的频率可以在从约0.1MHz到约100MHz的范围。此外,可以采用槽形法拉第屏蔽(未示出)来降低感应线圈280和等离子体之间的电容耦合。此外,控制器255可以连接到RF产生器282和电抗匹配网络284,以为了控制功率施加到感应线圈280。
在可选的实施例(未示出)中,“螺旋”线圈或者“扁平”线圈构造可以用于感应线圈。感应耦合等离子体(ICP)源或者变压器耦合等离子体(TCP)源的设计和实施对于本领域的技术人员是公知的。
在图2E所示的实施例中,蚀刻子系统200E可以例如类似于图2A、图2B、图2C和图2D的实施例,并且还可以包括构造成将RF功率通过另一可选的电抗匹配网络237耦合到晶片保持器220的第二RF产生器235。用于RF功率施加到晶片保持器220的通常频率是在从约0.1MHz到约200MHz的范围以用于第一RF产生器230或者第二RF产生器235或者两者。用于第二RF产生器235的RF频率可以比用于第一RF产生器230的RF频率比较大。此外,从第一RF产生器230到晶片保持器220的RF功率可以被幅度调制,从第二RF产生器235到晶片保持器220的RF功率可以被幅度调制,或者两个RF功率可以被幅度调制。期望地,更高RF频率处的RF功率被幅度调制。此外,控制器255可以连接到第二RF产生器235和电抗匹配网络237,以为了控制RF功率施加到晶片保持器220。用于晶片保持器的RF系统的设计和实施对本领域的技术人员是公知的。
在图2F所示的实施例中,蚀刻子系统200F可以类似于图2A和图2E的实施例,并且还包括表面波等离子体(SWP)源285。SWP源285可以包括诸如径向线槽缝天线(RLSA)的槽缝天线,微波功率经由微波产生器286通过可选的电抗匹配网络287耦合到槽缝天线。
在图2G所示的实施例中,蚀刻子系统200G可以类似于图2C的实施例,并且还可以包括分割的上电极(270a、270b),RF功率可以从RF产生器272通过阻抗匹配网络274和功率分配器290耦合到分割的上电极。RF功率施加到分割的上电极(270a、270b)的频率可以从约0.1MHz到约200MHz的范围。此外,功率施加到下电极221的频率可以从约0.1MHz到约100MHz的范围。此外,控制器255可以耦合到RF产生器272和阻抗匹配网络274,以控制RF功率施加到上电极270。功率分配器和分割的上电极可以被设计并构造为对处理区245的中心和边缘提供不同的RF功率水平,促进在邻近晶片225的表面的处理区245中等离子体的产生和控制。分割的上电极(270a、270b)和气体分配系统240可以如所示连接到彼此,或者可以采用其它结构。
图3A至图3G示出了用于根据本发明实施例的蚀刻子系统的附加实施例.图3A至图3G图示了类似于图2A至图2G所示的示例性蚀刻子系统200A-200G的示例性蚀刻子系统300A-300G,但是蚀刻子系统300A-300G包括至少一个DC电极305和至少一个DC源306.
在图案化蚀刻过程中,通常利用干式等离子体蚀刻处理,并且通过将诸如射频(RF)功率的电磁(EM)能量耦合到处理气体以为了加热电子并造成随后的处理气体的原子和/或者分子的成分的电离化和分裂来从处理气体形成等离子体。此外,负高压直流(DC)电力可以耦合到等离子体处理系统中以为了形成在RF循环的一部分(即,耦合RF功率的正半个循环)期间撞击晶片表面的高能(弹道的)电子束。已经观测到,弹道的电子束可以通过例如提高下覆的薄膜(要被蚀刻)和掩膜层之间的蚀刻选择性,降低诸如电子遮蔽损失的充电损失等来增强干式等离子体蚀刻处理的性能。关于弹道电子束的产生的附加细节在序列号为11/156,559、题为“Plasma processing apparatus and mehod”并作为美国专利申请号2006/0037701A1出版的审查未决的美国专利中公开;其全部内容通过引用全部结合于此。一般地,弹道电子束可以用如图3A至图3G所示的各种类型的等离子体处理系统来实现。
DC电极305可以包括含硅材料和/或者掺杂含硅材料。DC源306可以包括可变DC电源。附加地,DC源306可以包括双极DC电源。DC源306还可以包括构造成执行监视、调节或者控制极性、电流、电压和/或者DC电源306的开/关状态中至少一者的系统。一旦形成等离子体,DC源306促进弹道电子束的形成。可以利用电滤波器来从DC源306对RF功率解耦合。
例如,DC源306施加到DC电极305的DC电压可以在从约-2000伏特(V)到约1000V的范围。期望地,DC电压的绝对值具有等于或者大于约100V的值,并且更期望地,DC电压的绝对值具有等于或者大于约500V的值。附加地,期望地,DC电压具有负极性。此外,期望地,DC电压是具有大于自偏差电压的绝对值的负压。
在可选的实施例中,化学氧化去除(COR)子系统(未示出)可以用来去除或者修整氧化的多硅材料。此外,COR子系统可以用来去除或者修整氧化物掩膜层。例如,COR子系统可以包括化学处理模组(未示出),其用于在晶片上化学处理暴露表面层(诸如氧化表面层),由此在暴露的表面上处理化学的吸收影响表面层的化学性质的改变。附加地,COR子系统可以包括热处理模组(未示出),其用于热处理晶片,由此晶片温度被升高,以解除吸收(或者蒸发)晶片上化学性质被改变的暴露表面层。
图4示出了根据本发明实施例的示例性多层/多输入/多输出(MLMIMO)模型优化和控制方法的简化框图。在图示的MLMIMO模型方法中,示出了一部分第一图案化栅堆叠401和处理后的栅堆叠405的示例性视图。第一图案化的栅堆叠401的软掩模层可以包括一个或多个软掩模特征CD402和一个或多个软掩模特征侧壁角(SWA)403。第一图案化的栅堆叠401特征在于使用第一组参数404,其可以包括中心和边缘轮廓数据项、中心和边缘厚度(厚度C/E)数据项、CD中心数据项、CD边缘数据项、SWA中心数据项和SWA边缘数据项。可选地,可以使用另一组参数。处理后的栅堆叠405可以包括一个或多个CD406以及一个或多个SWA407。处理后的栅堆叠405特征在于使用第二组参数408,其可以包括中心和边缘金属栅数据项、中心和边缘硬掩模数据项、一个或多个含硅层的中心和边缘数据项、SWA中心数据项和SWA边缘数据项。可选地,可以使用另一组参数。
在图示的方法中,第一集成计量(IM)工具(第一ODP-IM)控制器/模型10可以连接到一个或者多个多蚀刻(P-E)工具控制器/模型20。P-E控制器/模型420中的一个或者多个可以连接到一个或多个清洁/灰化工具控制器/模型421。清洁/灰化工具控制器/模型421中的一个或多个可以连接到一个或多个金属栅蚀刻(MGE)工具控制/模型422。金属栅蚀刻(MGE)工具控制/模型422中的一个或多个可以连接到一个或多个输出计量工具(第二ODP-IM)控制/模型430。
第一计量工具(第一ODP-IM)控制器/模型10可以接收数据412,并且可以提供正向馈送数据15。第二计量工具(第一ODP-IM)控制器/模型30可以发送数据431,并且可以提供反馈数据435。在一些示例中,晶片到晶片的正向馈送数据(W2WFF)415可以与第二ODP-IM控制器/模型430相关联。此外,控制器/模型(420、421和422)中的一个或者多个可以用来425基于晶片到晶片(WAW)控制栅堆叠轮廓并基于晶片内(WiW)控制栅堆叠轮廓。
数据项416可以发送到第一计算元件440,第一计算元件440可以用来计算晶片中心处和晶片边缘处的栅堆叠偏差。第一计算元件440可以用来计算晶片中心处和晶片边缘处的SWA偏差。第一组目标参数41可以提供到第一计算元件440,并且第一组滤波输出471可以提供到第一计算元件440。来自第一计算元件440的输出数据项442可以提供到一个或者多个MLMIMO模型优化器50。
MLMIMO模型优化器450中的一个或者多个可以设置有一个或者多个约束参数51,该约束参数51可以包括工具限制、配方限制和/或者时间限制。在所示出的示例中,约束参数51可以包括基于步骤的晶片温度限制或者处理气体限制。MLMIMO模型优化器450中的一个或者多个可以确定可以发送到工具控制器/模型(420、421和422)中一个或者多个的一组或者多组配方参数456。
工具控制器/模型(420、421和422)中的一个或者多个可以用来计算预测的数据项427,该预测的数据项427可以包括一个或者多个预测的蚀刻偏差、一个或者多个预测的SWA偏差、针对一个或者多个蚀刻配方的一个或者多个预测的步骤时间、以及针对一个或者多个蚀刻配方的一个或者多个预测的处理气体流量。
第二ODP-IM控制器/模型430中的一个或者多个可以向一个或者多个比较元件60提供一个或者多个实际输出433,并且实际输出33的一个或者多个可以与预测的数据项427中的一个或者多个比较。来自比较元件460中的一个或者多个的误差值465中的一个或者多个可以向EWWA滤波器470中的一个或者多个提供。
EWMA滤波器470中的一个或者多个可以向第一计算元件440提供一个或者多个第一滤波的输出471,并且EWMA滤波器470中的一个或者多个可以向权重控制器/模型480中一个或者多个提供一个或者多个第二滤波的输出472.EWMA滤波器70的每个可以滤波和提供用于单个参数或者误差值的反馈数据,可选地,EWMA滤波器470的每个可以滤波和提供用于多个参数或者误差值的反馈数据.权重控制器/模型480中的一个或者多个可以从MLMIMO模型优化器450中的一个或者多个接收一个或者多个目标数据项445和一个或者多个反馈数据项455.此外,权重控制器/模型480中的一个或者多个可以向MLMIMO模型优化器450中的一个或者多个提供一个或者多个动态变化的权重输出481.基于反馈误差使用动态权重的原理是以更好地控制最重要的CV-在运行时间控制系统的手动调节的自动化为目标强制优化器对权重(再平衡)区分优先次序.
在一些实施例中,用于控制的操纵变量和/或干扰变量可以包括计算值,其可以在通过以下方法进行处理的运行时间期间被动态地建模和更新:1)建模顺序可以始于将OES传感器数据“配对”到受控变量(CV)的基本模型关系。例如,原子O或F的量可以通过使用来自OES的微量气体数据来计算,并且消耗的原子O或F的量可以用于预测CD或SWA。这可以是反馈更新环,或是在每个步骤中的实施调整。2)当执行湿清洁之后,在调节或生产中处理的第一图案化的栅堆叠用于计算并更新该微量气体模型。3)RGA方法可以在运行时间用于生产图案化的晶片以评估何时对CV反馈使用传感器数据,而不是只计算数值,给定CV值的RGA矩阵将被重新评估以确定基于MV的传感器的值是否比光刻进入的CV更适于用作实时CV值。4)此外,使用OES信号进行中心到边缘传感器探测一变化率也可以被用作通常理解的示例以通过调节过度蚀刻步骤中心到边缘旋钮,如O2气流、温度、最大功率、压力来调节过度蚀刻配方设置来改善均匀性(改正之前步骤蚀刻的非均匀性)。IM CV将是进入的晶片的膜厚,以将进入的BARC厚度从当前室中心至边缘的蚀刻速度分离。
在一些实施例中,与多蚀刻(P-E)顺序或金属栅蚀刻(MGE)顺序形成的不同特征相关的控制变量可以是中心CD及SWA值、中间CD及SWA值、边缘CD及SWA值和极限边缘CD及SWA值,并且这可以要求在晶片上四个或更多位置处总共八个IM测量。前后的IM测量可以采用动态抽样执行。
在其它实施例中,操纵变量可以包括背侧气体到晶片保持器中的一个或多个区域的流量,并且背侧气体的流量可以在处理中被动态控制,以通过基于进入的CV要求调节原本非放射状的晶片区域来提供用于改善的晶片内处理均匀性的动态背侧气体温度控制。
在其它实施例中,操纵变量可以包括边缘气体喷射的流速。该方法也可以用于减小晶片边缘处的饥饿问题(starvation problem),并且使边缘饥饿成为基于进入的信号和室状态的可控的变量。
在一些MLMIMO模型中,相互作用项可以在离线触发计算更新工序过程中在批之间被更新。例如,可以通过检查当前系统对交叉项改变的灵敏度并且通过运行一组预定的增量偏移来观察调节交叉项是否改善了平均控制,以触发交叉项计算更新。RGA也可以用在该计算中,并且触发事件可以用于执行MLMIMO模型的适应反馈更新。例如,在从室到室拷贝MLMIMO模型并允许MLMIMO模型适应新的室行为时可以使用适应反馈。当新产品释放时出现另一使用,并且旧的产品公式可以用于起动模型,然后在许多晶片以后模型更新被触发并且新的模型被调节,并且得到的模型可以继而被使用并被监视性能。
图5示出了根据本发明的实施例用于生产金属栅结构的多步处理顺序的示例视图。在所示的实施例中,示出了六个示例性的栅堆叠(501-506),但这并不是发明所必须的。抑或,可以使用不同数量的具有不同构造的栅堆叠。
在一些实施例中,多层金属栅堆叠(501,502,503,504,505和506,图5)可以使用多蚀刻(PE)处理顺序和金属栅蚀刻(MGE)处理顺序被生产。例如,P-E处理顺序可以包括Si-ARC层蚀刻工序、蚀刻控制层(ECL)蚀刻工序、TEOS层蚀刻工序、TEOS过蚀刻(OE)蚀刻工序以及灰化工序。此外,金属栅蚀刻(MGE)处理顺序可以包括“贯穿”(BT)蚀刻工序、主蚀刻(ME)蚀刻工序、过蚀刻(OE)蚀刻工序、氮化钛(TiN)蚀刻工序以及HK蚀刻工序。
所示的第一栅堆叠501包括晶片层510、金属栅层515、第三硬掩模层520、第一含硅层525、第二含硅层530、第二硬掩模层535、栅宽度控制层540、第一硬掩模层545以及软掩模特征550的图案.例如,晶片层510可以包括半导体材料,金属栅层515可以包括HfO2,第三硬掩模层520可以包括TiN,第一含硅层525可以包括非定形硅(a-Si),第二含硅层530可以包括SiN,第二硬掩模层535可以包括四乙基、(TEOS)[Si(OC2H5)4],栅宽度控制层540可以包括ODL,第一硬掩模层545可以包括Si-ARC材料,并且软掩模特征550可以包括光刻胶材料。
第一MLMS处理顺序可以采用模型(560-570)被建模,并且模型(560-570)可以使用传送装置575交换测量变量(MV)数据,可以使用传送装置580交换干扰变量(DV)数据,并且可以使用传送装置585交换控制变量(CV)数据。模型(560-570)可以接收、处理与/或发送与这里描述的蚀刻工序相关的MV数据、DV数据和CV。
第一模型560可以是用于第一栅堆叠501的第一集成计量(IM)模型,并且可以包括第一ODP模型。第一模型560可以被用于确定软掩模(光刻胶材料)特征550的轮廓数据。
所示的第二栅堆叠502包括晶片层510、金属栅层515、第三硬掩模层520、第一含硅层525、第二含硅层530、第二硬掩模层535、栅宽度控制层540、第一硬掩模特征545a以及被蚀刻的软掩模特征550a。例如,晶片层510可以包括半导体材料,金属栅层515可以包括HfO2,第三硬掩模层520可以包括TiN,第一含硅层525可以包括非定形硅(a-Si),第二含硅层530可以包括SiN,第二硬掩模层535可以包括TEOS,栅宽度控制层540可以包括蚀刻控制材料,第一硬掩模层545a可以包括被蚀刻过的Si-ARC材料,并且被蚀刻的软掩模特征550a可以包括被蚀刻的光刻胶材料。在第一蚀刻工序中,软掩模特征550的图案可以被用于形成第一硬掩模层545a的图案。
其上具有第一栅堆叠501的图案化的晶片可以采用第一蚀刻工序被蚀刻来形成其上具有第二栅堆叠502的图案化的晶片。在一些实施例中,可以使用Si-ARC层蚀刻工序。抑或,也可以使用其它蚀刻工序。一个或多个第一蚀刻模型561可以被生产用于第一蚀刻工序。
在Si-ARC层蚀刻工序中,室压力可以在从约12mT到约18mT的范围,最大功率可以从约450瓦到约550瓦变化,最小功率可以从约90瓦到约110瓦变化,ESC电压可以被设定在约2500V,四氟甲烷(CF4)流速可以在约60sccm到约100sccm之间变化,三氟化碳氢(CHF3)流速可以在约40sccm到约60sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约10摄氏度到约30摄氏度变化,晶片保持器中心的温度可以从约12摄氏度到约20摄氏度变化,晶片保持器边缘的温度可以从约8摄氏度到约12摄氏度变化,晶片保持器的中心背侧压力可以从约15Torr到约25Torr变化,晶片保持器的边缘背侧压力可以从约27Torr到约33Torr变化,并且处理时间可以从约60秒到约90秒变化。
第三模型562可以是用于第二栅堆叠502的第二集成计量(IM)模型,并且可以包括第二ODP模型。第二ODP模型562可以被用于确定被蚀刻的光刻胶特征550a和第一硬掩模层545a的轮廓数据。
所示的第三栅堆叠503包括晶片层510、金属栅层515、第三硬掩模层520、第一含硅层525、第二含硅层530、第二硬掩模层535、栅宽度控制特征540b以及被蚀刻的第一硬掩模特征545b.例如,晶片层510可以包括半导体材料,金属栅层515可以包括HfO2,第三硬掩模层520可以包括TiN,第一含硅层525可以包括非定形硅(a-Si),第二含硅层530可以包括SiN,第二硬掩模层535可以包括TEOS,栅宽度控制特征540b可以包括被蚀刻的ODL,并且被蚀刻的第一硬掩模特征545b可以包括被蚀刻过的Si-ARC材料。在第二蚀刻工序中,被蚀刻的第一硬掩模特征545a的图案可以被用于形成被蚀刻的栅宽度控制特征540b的图案。
其上具有第二栅堆叠502的图案化的晶片可以采用第二蚀刻工序被蚀刻来形成其上具有第三栅堆叠503的图案化的晶片。在一些实施例中,可以使用蚀刻控制层(ECL)蚀刻工序。抑或,也可以使用其它蚀刻工序。一个或多个第二蚀刻模型563可以被生产用于第二蚀刻工序。
在蚀刻控制层(ECL)蚀刻工序中,室压力可以在从约15mT到约25mT的范围,最大功率可以从约450瓦到约550瓦变化,最小功率可以从约90瓦到约110瓦变化,ESC电压可以被设定在约2500V,O2流速可以在约30sccm到约50sccm之间变化,CO2流速可以在约70sccm到约90sccm之间变化,HBr流速可以在约25sccm到约35sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约10摄氏度到约30摄氏度变化,晶片保持器中心的温度可以从约12摄氏度到约20摄氏度变化,晶片保持器边缘的温度可以从约8摄氏度到约12摄氏度变化,晶片保持器的中心背侧压力可以从约15Torr到约25Torr变化,晶片保持器的边缘背侧压力可以从约27Torr到约33Torr变化,并且处理时间可以从约90秒到约130秒变化。
第五模型564可以是用于第三栅堆叠503的第三集成计量(IM)模型,并且可以包括第三ODP模型。第三ODP模型564可以被用于确定栅宽度控制特征540b和被蚀刻的第一硬掩模特征545b的轮廓数据。
所示的第四栅堆叠504包括晶片层510、金属栅层515、第三硬掩模层520、第一含硅层525、第二含硅层530以及第二硬掩模特征535c。例如,晶片层510可以包括半导体材料,金属栅层515可以包括HfO2,第三硬掩模层520可以包括TiN,第一含硅层525可以包括非定形硅(a-Si),第二含硅层530可以包括SiN,第二硬掩模特征535c可以包括TEOS。在第三蚀刻工序中,栅宽度控制特征540b的图案可以被用于形成第二硬掩模特征535c。
第七模型566可以是用于第四栅堆叠504的第四集成计量(IM)模型,并且可以包括第四ODP模型。第四ODP模型566可以被用于确定第二硬掩模特征535c的轮廓数据。
其上具有第三栅堆叠503的图案化的晶片可以采用第三蚀刻工序被蚀刻来形成其上具有第四栅堆叠504的图案化的晶片。在一些实施例中,可以使用TEOS蚀刻工序,可以包括TEOS层蚀刻工序、TEOS OE蚀刻工序以及灰化工序。抑或,也可以使用其它蚀刻、灰化或清洁工序。一个或多个第三蚀刻模型565可以被生产用于TEOS蚀刻工序。
在TEOS层蚀刻工序中,室压力可以在从约35mT到约45mT的范围,最大功率可以从约550瓦到约650瓦变化,最小功率可以从约90瓦到约110瓦变化,ESC电压可以被设定在约2500V,CF4流速可以在约40sccm到约60sccm之间变化,CHF3流速可以在约40sccm到约60sccm之间变化,O2流速可以在约3sccm到约7sccm之间变化,最大室温度可以从约30摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约30摄氏度到约50摄氏度变化,晶片保持器中心的温度可以从约25摄氏度到约35摄氏度变化,晶片保持器边缘的温度可以从约8摄氏度到约12摄氏度变化,晶片保持器的中心背侧压力可以从约15Torr到约25Torr变化,晶片保持器的边缘背侧压力可以从约27Torr到约33Torr变化,并且处理时间可以从约50秒到约90秒变化.
在TEOS OE蚀刻工序中,室压力可以在从约35mT到约45mT的范围,最大功率可以从约550瓦到约650瓦变化,最小功率可以从约90瓦到约110瓦变化,ESC电压可以被设定在约2500V,CF4流速可以在约40sccm到约60sccm之间变化,CHF3流速可以在约40sccm到约60sccm之间变化,O2流速可以在约3sccm到约7sccm之间变化,最大室温度可以从约30摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约30摄氏度到约50摄氏度变化,晶片保持器中心的温度可以从约25摄氏度到约35摄氏度变化,晶片保持器边缘的温度可以从约8摄氏度到约12摄氏度变化,晶片保持器的中心背侧压力可以从约15Torr到约25Torr变化,晶片保持器的边缘背侧压力可以从约27Torr到约33Torr变化,并且处理时间可以从约5秒到约10秒变化。
在灰化工序中,室压力可以在从约125mT到约175mT的范围,最大功率可以从约350瓦到约450瓦变化,最小功率可以从约20瓦到约30瓦变化,ESC电压可以被设定在约2500V,O2流速可以在约430sccm到约470sccm之间变化,最大室温度可以从约30摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约70摄氏度到约80摄氏度变化,晶片保持器中心的温度可以从约70摄氏度到约80摄氏度变化,晶片保持器边缘的温度可以从约8摄氏度到约12摄氏度变化,晶片保持器的中心的背侧压力可以从约15Torr到约25Torr变化,晶片保持器的边缘的背侧压力可以从约27Torr到约33Torr变化,并且处理时间可以从约150秒到约210秒变化。
所示的第五栅堆叠505包括晶片层510、金属栅层515、被蚀刻的第三硬掩模层特征520d、被蚀刻的第一含硅层特征525d、被蚀刻的第二含硅层特征530d以及被蚀刻的第二硬掩模特征535d。例如,晶片层510可以包括半导体材料,金属栅层515可以包括HfO2,被蚀刻的第三硬掩模层特征520d可以包括TiN,被蚀刻的第一含硅层特征525d可以包括非定形硅(a-Si),被蚀刻的第二含硅层特征530d可以包括SiN,并且被蚀刻的第二硬掩模特征535d可以包括TEOS。在第四蚀刻工序中,清洁工序可以被执行,并且残留的栅宽度控制层材料540c可以被移除。
其上具有第四栅堆叠504的图案化的晶片可以采用第四蚀刻工序被蚀刻来形成其上具有第五栅堆叠505的图案化的晶片。在一些实施例中,可以使用第一硬掩模蚀刻工序,可以包括“贯穿”(BT)蚀刻工序、主蚀刻(ME)蚀刻工序、过蚀刻(OE)蚀刻工序以及氮化钛(TiN)蚀刻工序。抑或,也可以使用其它蚀刻、灰化或清洁工序。一个或多个第四蚀刻模型567可以被生产用于第一硬掩模蚀刻工序。
在BT蚀刻工序中,室压力可以在从约8mT到约12mT的范围,最大功率可以从约600瓦到约700瓦变化,最小功率可以从约175瓦到约200瓦变化,ESC电压可以被设定在约2500V,CF4流速可以在约120sccm到约150sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约10摄氏度到约30摄氏度变化,晶片保持器的温度可以从约60摄氏度到约70摄氏度变化,晶片保持器的中心的背侧压力可以从约8Torr到约12Torr变化,晶片保持器的边缘的背侧压力可以从约8Torr到约12Torr变化,并且处理时间可以从约5秒到约15秒变化。
在ME蚀刻工序中,室压力可以在从约8mT到约12mT的范围,最大功率可以从约120瓦到约150瓦变化,最小功率可以从约90瓦到约110瓦变化,ESC电压可以被设定在约2500V,O2流速可以在约2sccm到约6sccm之间变化,HBr流速可以在约220sccm到约280sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约10摄氏度到约30摄氏度变化,晶片保持器的温度可以从约60摄氏度到约70摄氏度变化,晶片保持器的中心的背侧压力可以从约8Torr到约12Torr变化,晶片保持器的边缘的背侧压力可以从约8Torr到约12Torr变化,并且处理时间可以从约50秒到约70秒变化。
在OE蚀刻工序中,室压力可以在从约8mT到约12mT的范围,最大功率可以从约120瓦到约150瓦变化,最小功率可以从约20瓦到约40瓦变化,ESC电压可以被设定在约2500V,O2流速可以在约2sccm到约6sccm之间变化,HBr流速可以在约220sccm到约280sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约60摄氏度到约80摄氏度变化,晶片保持器的温度可以从约60摄氏度到约70摄氏度变化,晶片保持器的中心的背侧压力可以从约8Torr到约12Torr变化,晶片保持器的边缘的背侧压力可以从约8Torr到约12Torr变化,并且处理时间可以从约20秒到约30秒变化。
在TiN蚀刻工序中,室压力可以在从约8mT到约12mT的范围,最大功率可以从约180瓦到约220瓦变化,最小功率可以从约40瓦到约60瓦变化,ESC电压可以被设定在约2500V,氯气(Cl2)流速可以在约12sccm到约18sccm之间变化,Ar流速可以在约180sccm到约220sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约50摄氏度到约70摄氏度变化,最低室温度可以从约60摄氏度到约80摄氏度变化,晶片保持器的温度可以从约60摄氏度到约70摄氏度变化,晶片保持器的中心的背侧压力可以从约8Torr到约12Torr变化,晶片保持器的边缘的背侧压力可以从约8Torr到约12Torr变化,并且处理时间可以从约50秒到约80秒变化。
第九模型568可以是用于第五栅堆叠505的第五集成计量(IM)模型,并且可以包括第五ODP模型。第五ODP模型568可以被用于确定被清洁的第三硬掩模层特征520d、被清洁的第一含硅层特征525d、被清洁的第二含硅层特征530d、被清洁的第二硬掩模特征535d的轮廓数据。
所示的第六栅堆叠506包括晶片层510和金属栅层特征515e。在第五蚀刻过程中,首先的第三掩模层特征520d、第一含硅层特征525d、第二含硅层特征530d以及第二硬掩模特征535d可以被蚀刻以形成金属栅层特征515e的图案。
其上具有第五栅堆叠504的图案化的晶片可以采用第五蚀刻工序被蚀刻来形成其上具有第六栅堆叠506的图案化的晶片。在一些实施例中,可以使用第二硬掩模蚀刻工序,可以包括金属层(HK)蚀刻工序。抑或,也可以使用其它蚀刻、灰化或清洁工序。一个或多个第五蚀刻模型569可以被生产用于第二硬掩模蚀刻工序。
在HK蚀刻工序中,HK室压力可以在从约8mT到约12mT的范围,最大功率可以从约550瓦到约650瓦变化,ESC电压可以被设定在约500V,三氯化硼(BCl3)流速可以在约120sccm到约180sccm之间变化,最大室温度可以从约70摄氏度到约90摄氏度变化,室壁温度可以从约40摄氏度到约60摄氏度变化,最低室温度可以从约60摄氏度到约80摄氏度变化,并且处理时间可以从约30秒到约40秒变化.
第十一模型570可以是用于第六栅堆叠505的第六集成计量(IM)模型,并且可以包括第六ODP模型。第六ODP模型570可以被用于确定金属栅层特征515e的轮廓数据。
在处理进行过程中,实验设计(DOE)技术可以被用于检验初步的模型(560-570)集,并用于显影简化的MLMIMO模型集。
图6示出了根据本发明的实施例用于生产金属栅结构的第二多步处理顺序的示例视图。在所示的实施例中,示出了三个示例性的栅堆叠(601-603),但这并不是发明所必须的。抑或,可以使用不同数量的栅堆叠、不同数量的模型以及不同的构造。
在一些实施例中,可以使用第一多层多步骤(MLMS)处理顺序和第二多层多步骤(MLMS)处理顺序生产多层金属栅堆叠(601,602和603,图6)。例如,第一多层多步骤(MLMS)处理顺序可以包括上述的Si-ARC层蚀刻工序和上述的蚀刻控制层(ECL)蚀刻工序。此外,第二多层多步骤(MLMS)处理顺序可以包括上述的TEOS层蚀刻工序、上述的TEOS过蚀刻(OE)蚀刻工序、灰化工序、上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序。
所示的第一栅堆叠601包括晶片层610、金属栅层615、第三硬掩模层620、第一含硅层625、第二含硅层630、第二硬掩模层635、栅宽度控制层640、第一硬掩模层645以及软掩模特征650的图案。例如,晶片层610可以包括半导体材料,金属栅层615可以包括HfO2,第三硬掩模层620可以包括TiN,第一含硅层625可以包括非定形硅(a-Si),第二含硅层630可以包括SiN,第二硬掩模层635可以包括TEOS,栅宽度控制层640可以包括ODL,第一硬掩模层645可以包括Si-ARC材料,并且软掩模特征650可以包括光刻胶材料。
第一ODP模型660可以被建立来用于第一栅堆叠601,并且第一ODP模型660可以被用于确定光刻胶特征650的轮廓数据和与其它层相关的数据。第一ODP模型660可以向MLMIMO模型661提供DV数据。
其上具有第一栅堆叠601的图案化的晶片可以采用第一MLMS处理顺序被蚀刻来形成其上具有第二栅堆叠602的图案化的晶片。例如,第一MLMS处理顺序可以包括上述的Si-ARC层蚀刻工序以及上述的蚀刻控制层(ECL)蚀刻工序。
可以采用MLMIMO模型661建模第一MLMS处理顺序,并且MLMIMO模型661可以使用传送装置675交换操纵变量(MV)数据,可以使用传送装置680交换干扰变量(DV)数据,并且可以使用传送装置685交换控制变量(CV)数据。MLMIMO模型661可以包括与上述的Si-ARC层蚀刻工序以及上述的蚀刻控制层(ECL)蚀刻工序相关的MV数据、DV数据和CV。模型(660-664)可以接收、处理、产生与/或发送与这里描述的工序相关的MV数据、DV数据和CV。
所示的第二栅堆叠602包括晶片层610、金属栅层615、第三硬掩模层620、第一含硅层625、第二含硅层630、第二硬掩模层635、被蚀刻的栅宽度控制特征640a以及被蚀刻的第一硬掩模特征645a。例如,晶片层610可以包括半导体材料,金属栅层615可以包括HfO2,第三硬掩模层620可以包括TiN,第一含硅层625可以包括非定形硅(a-Si),第二含硅层630可以包括SiN,第二硬掩模层635可以包括TEOS,栅宽度控制特征640a可以包括被蚀刻的ECL材料,并且被蚀刻的第一硬掩模特征645a可以包括被蚀刻的Si-ARC材料.在第一MLMS处理顺序中,软掩模特征650的图案可以被用于形成被蚀刻的第一硬掩模特征645a的图案和被蚀刻的栅宽度控制特征640a的图案.
第二ODP模型662可以被建立来用于第二栅堆叠602,并且第二ODP模型662可以被用于确定栅宽度控制特征640a和被蚀刻的第一硬掩模特征645a的轮廓数据和与其它层相关的数据。
其上具有第二栅堆叠602的图案化的晶片可以采用第二MLMS处理顺序被蚀刻来形成其上具有第三栅堆叠603的图案化的晶片。例如,第二MLMS处理顺序可以包括上述的TEOS层蚀刻工序、上述的TEOS过蚀刻(OE)蚀刻工序、灰化工序、上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序。
可以采用MLMIMO模型663建模第二MLMS处理顺序,并且MLMIMO模型663可以使用传送装置675交换操纵变量(MV)数据,可以使用传送装置680交换干扰变量(DV)数据,并且可以使用传送装置685交换控制变量(CV)数据。MLMIMO模型663可以包括与上述的TEOS层蚀刻工序、上述的TEOS过蚀刻(OE)蚀刻工序、灰化工序、上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序相关的MV数据、DV数据和CV。
所示的第三栅堆叠603包括晶片层610和金属栅层特征615b的图案。例如,晶片层610可以包括半导体材料,金属栅层特征615b可以包括HfO2。在第二MLMS处理顺序中,被蚀刻的第一硬掩模特征645a的图案和被蚀刻的栅宽度控制特征640a的图案可以被用于形成金属栅层特征615b的图案。
第三ODP模型664可以被建立来用于第三栅堆叠603,并且第三ODP模型664可以被用于确定金属栅层特征615b的轮廓数据和与其它层相关的数据。
在MLMIMO模型显影过程中,操纵变量(MV)可以被建立并可以使用各种通路675被正向馈送与/或反馈,干扰变量(DV)可以被建立并可以使用各种通路680被正向馈送与/或反馈,并且控制变量(CV)可以被建立并可以使用各种通路685被正向馈送与/或反馈。此外,实际在MLMIMO模型中使用的正向馈送与反馈通路(675、680和685)的数目可以被优化。DOE技术可以被用于检验该模型(660-664)集,并用于显影简化的正向馈送与反馈通路/变量集。三个示例性栅堆叠(601-603)中的一个或多个与模型(660-664)中的一个或多个可以在模型显影和DOE程序中被使用。用于三个示例性栅堆叠(601-603)中的一个或多个的配方数据与/或处理数据以及用于模型(660-664)中的一个或多个的建模数据可以被存储在库中,并且在MLMIMO建模过程中被使用。此外,第一和第二MLMS处理顺序可以使用这里图2A-2G和图3A-3G中描述的一个或多个蚀刻子系统被执行。
图7示出了根据本发明的实施例用于生产金属栅结构的第三多步处理顺序的示例视图。在其它实施例中,多层金属栅结构(701,702和703,图7)可以使用第一多层多步骤(MLMS)处理顺序和第二多层多步骤(MLMS)处理顺序被生产。例如,第一MLMS处理顺序可以包括上述的Si-ARC层蚀刻工序、上述的蚀刻控制层(ECL)蚀刻工序、上述的TEOS层蚀刻工序、上述的TEOS过蚀刻(OE)蚀刻工序、上述的灰化工序。此外,第二MLMS处理顺序可以包括上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序。
所示的第一栅堆叠701包括晶片层710、金属栅层715、第三硬掩模层720、第一含硅层725、第二含硅层730、第二硬掩模层735、栅宽度控制层740、第一硬掩模层745以及软掩模特征750的图案.例如,晶片层710可以包括半导体材料,金属栅层715可以包括HfO2,第三硬掩模层720可以包括TiN,第一含硅层725可以包括非定形硅(a-Si),第二含硅层730可以包括SiN,第二硬掩模层735可以包括TEOS,栅宽度控制层740可以包括蚀刻控制材料,第一硬掩模层745可以包括Si-ARC材料,并且软掩模特征750可以包括光刻胶材料。
第一ODP模型760可以被建立来用于第一栅堆叠701,并且第一ODP模型760可以被建立用于确定光刻胶特征750的轮廓数据和与其它层相关的数据。
其上具有第一栅堆叠701的图案化的晶片可以采用第一MLMS处理顺序被处理来形成其上具有第二栅堆叠702的图案化的晶片。例如,第一MLMS处理顺序可以包括上述的Si-ARC层蚀刻工序、上述的蚀刻控制层(ECL)蚀刻工序、上述的TEOS层蚀刻工序、上述的TEOS过蚀刻(OE)蚀刻工序、上述的灰化工序。
可以采用模型(760-764)建模第三MLMS处理顺序,并且模型(760-764)可以使用传送装置775交换操纵变量(MV)数据,可以使用传送装置780交换干扰变量(DV)数据,并且可以使用传送装置785交换控制变量(CV)数据。模型(760-764)可以接收、产生、处理与/或发送与这里描述的工序相关的MV数据、DV数据和CV。
所示的第二栅堆叠702包括晶片层710、金属栅层715、第三硬掩模层720、第一含硅层725、第二含硅层730、被蚀刻的第二硬掩模特征735a。例如,晶片层710可以包括半导体材料,金属栅层715可以包括Hf02,第三硬掩模层720可以包括TiN,第一含硅层725可以包括非定形硅(a-Si),第二含硅层730可以包括SiN,并且第二硬掩模特征735a可以包括TEOS。在第一MLMS处理顺序中,软掩模特征750的图案可以被用于形成被蚀刻的硬掩模特征735a的图案。
第二ODP模型762可以被建立来用于第二栅堆叠702,并且第二ODP模型762可以被用于确定被蚀刻的硬掩模特征735a的的轮廓数据和与其它层相关的数据。
其上具有第二栅堆叠702的图案化的晶片可以采用第二MLMS处理顺序被蚀刻来形成其上具有第三栅堆叠703的图案化的晶片。例如,第二MLMS处理顺序可以包括上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序。
第二MLMS处理顺序可以采用第二MLMIMO模型763被建模,并且MLMIMO模型763可以使用传送装置775交换操纵变量(MV)数据,可以使用传送装置780交换干扰变量(DV)数据,并且可以使用传送装置785交换控制变量(CV)数据。MLMIMO模型763可以包括与上述的“贯穿”(BT)蚀刻工序、上述的主蚀刻(ME)蚀刻工序、上述的过蚀刻(OE)蚀刻工序、上述的氮化钛(TiN)蚀刻工序以及上述的HK蚀刻工序相关的MV数据、DV数据和CV。
第二ODP模型762可以被建立来用于第二栅堆叠702,并且第二ODP模型762可以被用于确定栅宽度控制特征740a、被蚀刻的第一硬掩模特征715b的轮廓数据和与其它层相关的数据。
在MLMIMO模型显影过程中,操纵变量(MV)可以被建立并可以使用各种通路775被正向馈送与/或反馈,干扰变量(DV)可以被建立并可以使用各种通路780被正向馈送与/或反馈,并且控制变量(CV)可以被建立并可以使用各种通路785被正向馈送与/或反馈.此外,DOE技术可以被用于检验该模型(760-764)集,并用于建立最优的MLMIMO模型集.三个示例性栅堆叠(701-703)中的一个或多个与模型(760-764)中的一个或多个可以在模型显影和DOE程序中被使用.用于三个示例性栅堆叠(701-703)中的一个或多个的配方数据与/或处理数据以及用于模型(760-764)中的一个或多个的建模数据可以被存储在库中,并且在MLMIMO建模过程中被使用.此外,第一和第二MLMS处理顺序可以使用这里图2A-2G和图3A-3G中描述的一个或多个蚀刻子系统被执行.
图8示出了根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的示例性示意图。示例性示意图800包括第一栅堆叠810、第二栅堆叠820和第三栅堆叠830。第一处理顺序815可以被用于从第一栅堆叠810形成第二栅堆叠820,第二处理顺序825可以被用于从第二栅堆叠820形成第三栅堆叠830,并且第三处理顺序835可以被用于测量第三栅堆叠830。
第一处理顺序815可以包括第一测量工序(Meas1)和第一蚀刻工序Etcha,第二处理顺序825可以包括第二测量工序(Meas2)和第二蚀刻工序Etchb,第三处理顺序835可以包括第三测量工序(Meas3)。
第一model1可以被用于建模第一处理顺序815并且可以包括第一组干扰变量DV1a-na、第一组操纵变量MV1a-na和第一组控制变量CV1a-na。第二model2可以被用于建模第二处理顺序825并且可以包括第二组干扰变量DV1b-nb、第二组操纵变量MV1b-nb和第二组控制变量CV1b-nb
图9示出了根据本发明实施例的两部分的多层/多输入/多输出(MLMIMO)模型的示例性框图。
所示的第一通用模型910可以与多蚀刻(P-E)顺序相关并包括第一组MV(1a-na)、第一组DV(1a-na)和第一组CV(1a-na)。所示的第一组示例性MV911包括可以与模型910相关的八个操纵变量{MV(1a)-MV(8a)}。抑或,不同数量的不同操纵变量可以与模型910相关。所示的第一组示例性DV912包括可以与模型910相关的六个操纵变量{DV(1a)-DV(6a)}。抑或,不同数量的不同干扰变量可以与模型910相关。所示的第一组示例性CV913包括可以与模型910相关的六个操纵变量{CV(1a)-CV(6a)}。抑或,不同数量的不同控制变量可以与模型910相关。此外,示出了可以与模型910相关的第一组示例性方程915。抑或,其它方程可以与模型910相关。
所示的第二通用模型920可以与金属栅蚀刻(MGE)顺序相关并包括第二组MV(1b-nb)、第二组DV(1b-nb)和第二组CV(1b-nb)。所示的第二组示例性MV921包括可以与模型920相关的八个操纵变量{MV(1b)-MV(8b)}。抑或,不同数量的不同操纵变量可以与模型920相关。所示的第二组示例性DV922包括可以与模型920相关的六个操纵变量{DV(1b)-DV(6b)}。抑或,不同数量的不同干扰变量可以与模型920相关。所示的第二组示例性CV923包括可以与模型920相关的六个操纵变量{CV(1b)-CV(6b)}。抑或,不同数量的不同控制变量可以与模型920相关。此外,示出了可以与模型920相关的第二组示例性方程925。抑或,其它方程可以与模型920相关。
与第一模型910相关的一个或多个变量(911、912或913)可以被正向馈送930到第二模型920,并且与第二模型920相关的一个或多个变量(921、922或923)可以被反馈935到第一模型910。
图10图示了用于显影根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的工序的示例性流程图.在图示的实施例中,工序700示出具有步骤号.可选地,可以使用不同的交替步骤号.
在1010,一个或者多个多层处理顺序可以识别为用于多层/多输入/多输出建模分析工序的候选。在一些示例中,一个或者多个MLMIMO模型可以建立以形成一个或者多个多层栅结构(601、602和603,图6)和(701、702和703,图7)。
在1015中,可以确定第一组控制输出变量(CV)和与CV相关的范围。CV中的一个或者多个由末端使用者或者客户指定。CV可以包括一个或者多个临界尺寸(CD)和/或者与多层栅结构(601、602和603,图6)和(701、702和703,图7)中的一个或者多个相关的一个或者多个侧壁角。在一些示例中,可以使用多蚀刻(PE)处理顺序和金属栅蚀刻(MGE)处理顺序执行形成多层金属栅结构(601,602和603,图6)以及(701,702和703,图7)。例如,可以执行金属栅蚀刻(MGE)顺序来在栅堆叠中形成一个或多个金属栅特征,并且可以针对pFET器件、nFET器件、Tri栅器件和FinFET器件执行不同的金属栅蚀刻顺序。
在1020,可以使用一个或者多个候选配方为与MLMIMO相关的操纵变量(MV)确定第一组候选。MV可以包括WiW操纵变量(WiW-MV),并且WiW-MV可以包括“快的”MV,其可以在晶片正被处理的同时受到控制。MV可以包括W2W操纵变量(W2W-MV),并且W2W-MV可以包括“慢的”MV,其当一批次晶片正被处理时受到控制。可以针对候选配方中的每个步骤查看用于MV的范围。
当使用具有快的响应时间的两区域晶片保持器时,晶片保持器的中心温度和边缘温度可以被用为(WiW-MV),并且可以逐步地被改变。当具有快的响应时间的RF源被与分割的上电极和功率分配器一起使用时,等离子体的中心RF功率和边缘RF功率可以被用为(WiW-MV),并且可以逐步地被改变。当使用低温度冷却器(-10C)时,可以有更大的中心到边缘的温度增量。此外,压力、时间和气流可以被用为MV。
干扰变量(DV)可以包括中心和边缘处的抗蚀CD和SWA、中心和边缘处的蚀刻控制层CD和SWA、中心和边缘处的层厚度、不同层的化学和蚀刻率特性、对室的维护事件、室至室的数据以及IM至IM数据。
在1025,可以执行实验设计(DOE)程序以分析MLMIMO模型。使用物理分析和工程经验,可以执行实验设计(DOE)程序以建立能将MV与每个CV连接的统计模型。当实验次数增多,可以获得更精确的模型,但是代价是附加的材料和时间。因而,成本和可获得能力可以限制DOE晶片的数量。为了尽可能减小它们并防止不精确,设计优良的DOE是至关重要的。对于这种DOE的最关键因素是预测的模型的格式。可以选择一个或多个模型类型,并且可以为CV和MV提供范围,并且诸如(来自SAS研究院的统计软件)可以用来建立一个或多个DOE表格。DOE数据数据可以被用于建立可以与第一多蚀刻(P-E)顺序和金属栅蚀刻(MGE)顺序相关的候选MV、CV和DV。在其它分析程序中,可以使用其它MV、CV和DV。在一些实施例中,用于蚀刻室和IM室的室状态数据可以被用作为操纵变量。抑或,处理建模可以假设室状态在晶片与/或批次之间是稳定的。
在一些实施例中,PE处理顺序可以包括Si-ARC层蚀刻工序、蚀刻控制层(ECL)蚀刻工序、TEOS层蚀刻工序、TEOS过蚀刻(OE)蚀刻工序以及灰化工序.此外,金属栅蚀刻(MGE)处理顺序可以包括“贯穿”(BT)蚀刻工序、主蚀刻(ME)蚀刻工序、过蚀刻(OE)蚀刻工序、氮化钛(TiN)蚀刻工序以及HK蚀刻工序.可以获得DOE数据用于PE处理顺序并用于金属栅蚀刻(MGE)处理顺序.
在1030,在执行填入一个或多个DOE表所需的P-E顺序和金属栅蚀刻顺序之后,通过使用最小平方技术和统计软件可以形成具有二次方和相互作用项的非线性模型。在一些模型中,可以删除与之具有极其小的相关系数的项。
在1035,可以使用DOE数据形成一个或多个线性增益矩阵(G)。例如,
λij = [ ∂ CV i ∂ MV j ] MV K , K ≠ J [ ∂ CV i ∂ MV j ] CV K , K ≠ J = Gain ( open - loop ) Gain ( closed - loop )
其中,i=1,2,......,n和j=1,2......,n。符号表示除了MVj以外的所有操纵变量均为常数而求的局部求导,并且该项是CVi和MVj之间的开环增益。此外,符号
Figure G2009101611995D0000403
可以理解为表示当所有的控制环关闭时MVj和CVi的影响的闭环增益。
当获得非方形矩阵时,消除一些MV和CV以形成方形矩阵。此外,当MV比CV多时,可以使用非方形RGA分析非方形矩阵。例如,
NRGA = G ⊗ ( G - 1 ) T
使用伪倒数G+来代替通常的倒数G-1。NRGA提供了用于选择方阵系统的若干标准,但是它们的标准在一些非方形系统中不总是有效,因而需要考虑子系统的方形配对的所有组合。将一个子系统与其它比较,RGA配对规则可以用作为度量。这样产生子结合,然后可以被比较用于最佳的方形矩阵。
在1040,可以使用一个或多个线性增益矩阵(G)来计算一个或多个相对增益阵列(RGA)。例如,当使用方形矩阵时,
RGA = G ⊗ ( G - 1 ) T
其中(G)是增益矩阵,G-1是倒数增益矩阵。
在1045,可以使用RGA中的配对规则来研究MV和CV的最佳组合。RGA分析可以用于被测量的模型参数的选择,并且可以选择MV-CV对,使它们之和最接近一。此外,可以避免负项上的配对。此外,RGA分析可以用于确定一些候选模型和用于识别最佳求解。当CV比MV更多时,RGA分析可以用于选择最可控制的CV(CV对MV的敏感性分析)。
在1050,可以确定系统稳定性和条件。例如,Niederlinski稳定性定理陈述:如果
Figure G2009101611995D0000412
则由于对角配对所得的闭环系统不稳定。
其中,G是增益矩阵,并且gii是增益矩阵的对角项。增益矩阵(G)的条件可以使用以下关系被确定:
G=USVT
其中,G、U、S和V是使用单值分解(SVD)确定的矩阵。此外,可以使用S矩阵中较大的值与较小的值的比率来确定条件号(CN)。与Niederlinski定理相关的其它信息可以在由Jean Pierre Corriou所著的题为“Process Control:Theory and Applications”一书(ISBN 1852337761)中找到,在此引用其全文。例如,当CN大于五十时,系统几乎是单一的并将具有差的控制性能。
在1055,可以使用实际设备与/或性能约束来优化MLMIMO模型。在一些示例中,可以查看和选择测量位置以优化性能,可以建立之前与/或之后的测量工序的数量以优化性能,可以查看多室顺序以优化产量。通过调节EWMA滤波器可以优化反馈。可以确定用于MV的时间常数,并且时间参数的更新频率可以基于批次到批次(L2L)、W2W、WiW和处理步骤值。此外,可以查看处理中心点、CV中心点和MV中心点以优化性能。可以使用历史数据以执行模拟。
晶片可以包括一个或多个层,该层可以包括半导体材料、碳材料、介电材料、玻璃材料、陶瓷材料、金属材料、氧化材料、掩膜材料或平整化材料或它们的组合。
在其它实施例中,可以使用被验证的MLMIMO模型和被验证的处理顺序处理一个或多个晶片。当使用被验证的MLMIMO模型时,可以在测试晶片上形成一个或多个被验证的金属栅结构;并且当查看测试晶片时,可以使用测试基准周期结构。在查看期间,可以从测试基准周期结构获得查看数据。可以从包括被验证的金属栅结构和相关数据的MLMIMO库选择最佳估计结构和相关的最佳估计数据。可以在测试基准周期结构和来自库的最佳估计结构之间计算一个或多个差值,该差值可以与匹配标准、形成标准或产品要求或它们的组合比较。当使用匹配标准时,如果匹配标准被满足或超过,则测试基准周期结构可以被识别为MLMIMO库的构件,并且测试晶片可以被识别为基准“黄金”晶片。当使用形成标准时,如果形成标准被满足,则测试基准周期结构可以被识别为MLMIMO库的新的组件,并且测试晶片可以被识别为被验证的基准晶片。当使用产品要求时,如果一个或多个产品要求没有被满足,则测试基准周期结构可以被识别为被验证的结构,并且测试晶片可以被识别为被验证的产品晶片。如果标准或产品要求中的一个或多个没有被满足,则可以施加校正动作。可以使用测试基准结构数据和最佳估计结构数据针对测试基准结构建立MLMIMO相关置信数据与/或风险数据。
当生产与/或查看金属栅相关结构时,可以使用精度与/或公差限制。当没有校正这些限制时,可以执行细化过程。可选地,可以执行其它过程,可以使用其它位置或可以使用其它晶片。当使用细化过程时,细化过程可以利用双线性细化、拉格朗日细化、三次样条细化、Aitken细化、加权平均细化、多二次细化、双立方细化、Turran细化、小波细化、贝塞耳细化、Everett细化、有限差分细化、高斯细化、Hermite细化、牛顿除差细化、密切细化或Thiele细化算法或它们的组合。
图11示出了用于使用根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的程序的简化流程图。
在1110,处理系统可以接收第一组图案化的晶片和相关的晶片数据,并且每个图案化的晶片可以包括第一图案化的软掩模层和多个附加层。第一图案化的软掩模层可以包括多个栅相关的软掩模特征和至少一个第一周期评估结构。晶片数据可以包括用于第一图案化的软掩模层中的至少一个周期结构的实时集成计量(IM)数据。
在1115,可用使用第一多层蚀刻顺序形成第二组图案化的晶片,并且第一多层蚀刻顺序可以被构造为,通过使用第一图案化的软掩模层使第一组附加层图案化,来在受控制的掩模层中形成第一中间图案。
在1120,可以使用用于第一多层蚀刻顺序的第一多层/多输入/多输出(MLMIMO)模型确定用于第一多层蚀刻顺序的第一模拟数据。第一MLMIMO模型可以包括第一控制变量(CV1a、CV2a...CVNa)的第一数量(Na)、第一操纵变量(MV1a、MV2a...MVMa)的第一数量(Ma)以及第一干扰变量(DV1a、DV2a...DVLa)的第一数量(La),其中(La、Ma和Na)是大于1的整数。
在1125,可用使用第二多层蚀刻顺序形成第三组图案化的晶片,并且第二多层蚀刻顺序可以被构造为,通过使用控制掩模层中的第一中间图案使第二组附加层图案化,来形成金属栅的第一图案。
在1130,可以使用用于第二多层蚀刻顺序的第二MLMIMO模型形成用于第二多层蚀刻顺序的第二模拟数据。第二MLMIMO模型可以包括第二控制变量(CV1b、CV2b...CVNb)的第二数量(Nb)、第二操纵变量(MV1b、MV2b...MVMb)的第二数量(Mb)以及第二干扰变量(DV1b、DV2b...DVLb)的第二数量(Lb),其中(Lb、Mb和Nb)是大于1的整数。
在1135,可以获得用于第三组图案化的晶片中的至少一个的评估数据。
在1140,可以执行查询,以判定评估数据是否在一个或多个限制内。当评估数据在一个或多个限制内,程序1100可以分支到1145。当评估数据不在一个或多个限制内,程序1100可以分支到1150。
在1145,当评估数据小于第一金属栅限制时,第三组图案化的晶片可以被识别为被验证的晶片。
在1150,当评估数据不小于第一金属栅限制时,可以执行校正动作。
图12示出了用于使用根据本发明实施例的MLMIMO的程序的运行流程图。当数据被收集时,可以使用多个晶片,并且候选干扰变量可以被识别。在数据收集期间,与一个或多个CV相关的变量可以被最小化,并且收集的数据可以用于模拟。该模拟可以执行与在生产中使用的栅蚀刻处理相同的顺序。
在1210中,在集成计量室中测量一个或多个晶片,并且可以获得用于第一数量(I)的干扰变量D(I)的值。此外,可以接收和分析其它传感器数据。IM数据可以包括来自每个进入的晶片上的图案化掩膜层中多个位置的CD和SWA数据。可以建立第二数量(m)的操纵变量MV(m)。
在一些实施例中,可以使用IM工具测量与晶片状态相关的进入的干扰变量,并且IM数据可以包括晶片上多个位置处的轮廓数据、CD数据、SWA数据和BARC膜厚度。例如,可以选择8-10个能代表晶片的中心的中心位置,并且可以选择半径相同的8-10个能代表边缘半径特性并且对于每个控制为最优的边缘位置。可以针对晶片的每个区域选择相同数量的位置以为所有的区域提供相同的加权精度。应该选择栅密度和晶体管类型以与最关键的芯片水平性能度量(诸如P或N通道晶体管类型)相关联,因为每个晶体管结构可以具有与蚀刻轮廓控制要求相关的一些变化。
CD DV可以是临界DV,并且可以具有相关的DV,其在局部蚀刻(P-E)工序中由于处于工作的机构而修改测量。SWA可以是主要修改器,其随着角度变成小于九十度而增大灵敏度。此外,如果能给出与最终CD的最精确的相关,则可以使用中间CD。中间CD在简单方面执行得最好,因为它将顶部和底部CD测量的变化平均化。
CD的第二修改器可以是晶片上的和晶片到晶片的BARC厚度变化.如果由于在BARC蚀刻期间光刻胶被连续蚀刻而使厚度非均匀,则BARC厚度可以影响CD.更薄的BARC可以给予更短的蚀刻时间,并且更厚的BARC可以给予更长的蚀刻时间,并且更长的蚀刻时间将造成更小的CD.因而,BARC的非均匀性可以直接导致中心到边缘CD的变化增大,其需要被建模用于局部和最终蚀刻期间的控制.
在建立显影工序之后可以获得IM数据,并且可以使用光刻子系统中的IM单元、蚀刻子系统中的IM单元或单独的IM单元来获得IM数据。
此外,传感器和状态数据可以用于表示预测的等离子体室状态的DV。例如,当批次(晶片)在没有使用调节的晶片的情况下被处理时,室状态会受漂移影响。有助于室状态正向馈送DV的变化可以包括诸如室清洁、部件更换、化学改变、空闲时间、调节晶片、室停机、手动调节、晶片材料改变和产品密度改变的事件。
在1215,接收到的数据可以被滤波与/或被评定。例如,可以使用boxand whisker算法对测量DV进行滤波,该算法消除未在统计上不出现为具有相同数目的位置,并且其余位置可以被平均以代表晶片的物理区域。
在1220,可以计算CV中的一个或多个,并且可以确定用于多蚀刻顺序的CDs、SWA、均匀性值与/或轮廓变化。在一些示例中,可以使用以下表达式建立第三数量(Na)的控制变量:
CV(Na)=fNa{MV(1a),......MV(Ma-1),MV(Ma),DV(1a),......DV(La-1),DV(La)}+offsetNa
其中,La、Ma和Na是大于二的整数。
例如,当四个CV、六个MV和四个DV被识别时,具有更高阶和相互作用项的四个非线性模型可以被识别为:
CV(1a)=f1a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+offset1a
CV(2a)=f2a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+offset2a
CV(3a)=f3a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+offset3a
CV(4a)=f4a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+offset4a
此外,可以使用二次目标函数计算优化的处理设定,并且目标偏差CV可以被限定为:
t(Na)={DV(La)-targetCV(Na)}
当Na=4并且La=4时,可以获得以下公式:
t(1a)={DV(1a)-targetCV(1a)}
t(2a)={DV(2a)-targetCV(2a)}
t(3a)={DV(3a)-targetCV(3a)}
t(4a)={DV(4a)-targetCV(4a)}
使用模型和目标项,可以用于与多蚀刻顺序相关的非线性编程的二次目标函数可以限定为:
min MV ( 1 a ) , MV ( 2 a ) , MV ( Ma - 1 ) , MV ( Ma ) { ( t ( 1 a ) - CV ( 1 a ) t ( 1 a ) ) 2 w 1 a + ( t ( 2 a ) - CV ( 2 a ) t ( 2 a ) ) 2 w 2 a . . . 公式1
. . . . . . + ( t ( Ma - 1 ) - CV ( Ma - 1 ) t ( Ma - 1 ) ) 2 w ja - 1 + ( t ( Ma ) - CV ( Ma ) t ( Ma ) ) 2 w ja }
并且当Na=4时,可以获得以下简化的公式
min MV ( 1 a ) , MV ( 2 a ) , MV ( 3 a ) , MV ( 4 a ) { ( t ( 1 a ) - CV ( 1 a ) t ( 1 a ) ) 2 w 1 a + ( t ( 2 a ) - CV ( 2 a ) t ( 2 a ) ) 2 w 2 a 公式1a
+ ( t ( 3 a ) - CV ( 3 a ) t ( 3 a ) ) 2 w 3 a + ( t ( 4 a ) - CV ( 4 a ) t ( 4 a ) ) 2 w 4 a }
并且Wja是加权因子。此外,操纵变量MV(la)可以具有上和下极限,其可以被包含为不等式约束,并且当(la=4)时,建立以下关系:
a1≤MV(1a)≤b1
c1≤MV(2a)≤d1      公式2
e1≤MV(3a)≤f1
g1≤MV(4a)≤h1
其中,a1-h1是取决于设备约束的常数。优化器单元可以使用测量的CD和SWA值来计算MV,并且优化器可以通过使用非线性编程将公式(1a)和公式(2)最小化来确定蚀刻配方。例如,MATLAB优化工具箱可以用于该模拟。
此外,可以计算一个或多个CV,并且可以确定用于金属栅蚀刻顺序的CDs、SWA、均匀性值与/或轮廓变化。在一些示例中,可以使用以下表达式建立第三数量(Nb)的控制变量:
CV(Nb)=fNb{MV(1b),......MV(Mb-1),MV(Mb),DV(1b),......DV(Lb-1),DV(Lb)}+offsetNb
其中,Lb、Mb和Nb是大于二的整数。
例如,当四个CV、六个MV和四个DV被识别时,具有更高阶和相互作用项的四个非线性模型可以被识别为:
CV(1b)=f1b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+offset1b
CV(2b)=f2b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+offset2b
CV(3b)=f3b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+offset3b
CV(4b)=f4b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+offset4b
在1225,可以使用二次目标函数计算优化的处理设定,并且目标偏差CV可以被限定为:
t(Nb)={DV(Lb)-targetCV(Nb)}
当Nb=4并且Lb=4时,可以获得以下公式:
t(1b)={DV(1b)-targetCV(1b)}
t(2b)={DV(2b)-targetCV(2b)}
t(3b)={DV(3b)-targetCV(3b)}
t(4b)={DV(4b)-targetCV(4b)}
使用模型和目标项,用于与多蚀刻顺序相关的非线性编程的二次目标函数可以限定为:
min MV ( 1 b ) , MV ( 2 b ) , MV ( Mb - 1 ) , MV ( Mb ) { ( t ( 1 b ) - CV ( 1 b ) t ( 1 b ) ) 2 w 1 b + ( t ( 2 b ) - CV ( 2 b ) t ( 2 b ) ) 2 w 2 b . . . 公式3
. . . . . . + ( t ( Mb - 1 ) - CV ( Mb - 1 ) t ( Mb - 1 ) ) 2 w jb - 1 + ( t ( Mb ) - CV ( Mb ) t ( Mb ) ) 2 w jb }
并且当Nb=4时,可以获得以下简化的公式
min MV ( 1 b ) , MV ( 2 b ) , MV ( 3 b ) , MV ( 4 b ) { ( t ( 1 b ) - CV ( 1 b ) t ( 1 b ) ) 2 w 1 b + ( t ( 2 b ) - CV ( 2 b ) t ( 2 b ) ) 2 w 2 b 公式3a
+ ( t ( 3 b ) - CV ( 3 b ) t ( 3 b ) ) 2 w 3 b + ( t ( 4 b ) - CV ( 4 b ) t ( 4 b ) ) 2 w 4 b }
并且Wjb是加权因子。此外,操纵变量MV(lb)可以具有上和下极限,其可以被包含为不等式约束,并且当(lb=4)时,建立以下关系:
a2≤MV(1b)≤b2
c2≤MV(2b)≤d2公式4
e2≤MV(3b)≤f2
g2≤MV(4b)≤h2
其中,a2-h2是取决于设备约束的常数。优化器单元可以使用测量的CD和SWA值来计算MV,并且优化器可以通过使用非线性编程将公式(3a)和公式(4)最小化来确定蚀刻配方。例如,MATLAB优化工具箱可以用于该模拟。
在1230,使用由优化器建立的一个或多个MV来限定用于多蚀刻顺序和金属栅蚀刻顺序的处理配方,并且可以使用用于MV的新值来调节处理配方。非线性优化可以用来处理与蚀刻处理相关的非线性关系和约束以通过在每个运行之后调节配方来使多蚀刻顺序和金属栅蚀刻顺序的性能最大化。
IM数据可以正向馈送到优化器以计算操纵变量(MV)的值。与每个控制的变量(CV)相关的非线性模型公式可以使用每个CV目标值。二次目标函数可以利用加权因子来为目标函数中的每个CV项区分优先次序,并且MLMIMO中的优化器可以用来通过使用非线性编程使具有MV约束的目标函数最小化或最大化来确定蚀刻配方。
在1235,可以使用调节的配方处理一个或多个晶片。例如,用于多蚀刻顺序和金属栅蚀刻顺序的调节配方可以包括从优化器优化的MV。
在1240,可以获得用于一个或多个被处理的晶片的测量数据。例如,测量可以在晶片上的一个或多个位置进行。在多蚀刻顺序与/或金属栅蚀刻顺序被执行后,可以使用IM工具测量输出CV。
在1245,从多蚀刻顺序与/或金属栅蚀刻顺序获得的数据可以被滤波与/或评定。
在1250,可以计算用于多蚀刻顺序与/或金属栅蚀刻顺序的处理误差。例如,可以针对每个CV计算误差(实际输出减去模型输出)。
在1255,可以计算用于多蚀刻顺序与/或金属栅蚀刻顺序的反馈数据项。例如,可以使用指数加权移动平均(EWWA)滤波器来用误差更新MLMIMOI模型CV偏差。
在1260,可以更新用于多蚀刻顺序与/或金属栅蚀刻顺序的新的模型偏差。这些偏差值可以被提供到优化器单元以为下次运行补偿干扰。该偏差值在新的更新出现前被使用。可以执行此过程,直到最终晶片被处理。
当使用前面传送的晶片,可以在多蚀刻顺序和金属栅蚀刻顺序的中间点处获得IM数据。当需要新的与/或附加测量数据、检测数据与/或评估数据时,可以从晶片上的一个或多个位置获得附加的MLMIMO数据。例如,可以在一个或多个位置处测量诸如晶片上的周期栅、周期阵列与/或其它周期结构的测量结构。
在第一替代实施例中,第一多层蚀刻顺序还可以包括:a1)使用连接到第一蚀刻室的传送子系统将第一图案化传送到第一蚀刻室中的第一多区域温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层;a2)执行第一多层蚀刻顺序中的第一蚀刻工序,其中第一蚀刻工序被构造为使用第一图案化的软掩模层形成第一组图案化的层,第一组图案化的层包括被蚀刻的具有多个第一硬掩模特征的第一硬掩模层以及被蚀刻的具有多个被蚀刻的第一软掩模特征的软掩模层,其中第一硬掩模层包括含硅抗反射涂层(ARC)材料;a3)执行第一多层蚀刻顺序中的第二蚀刻工序,其中第二蚀刻工序被构造为使用被蚀刻的第一硬掩模层在第二组图案化的层中形成第一中间图案,第二组图案化的层包括被再次蚀刻的具有多个被蚀刻的第一硬掩模特征的第一硬掩模层以及被蚀刻的具有多个栅宽度控制特征的栅宽度控制层,其中第一中间图案包括至少一个第二周期评估结构,其中栅宽度控制层包括被改变的光刻胶材料;a4)使用至少一个第二周期评估结构获得用于第一图案化的第一评估数据。
在第二替代实施例中,第一多层蚀刻顺序还可以包括:b1)使用连接到第二蚀刻室的传送子系统将第一图案化传送到第二蚀刻室中的第二温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层,在第一多层蚀刻顺序后被传送的第一图案化被识别为被验证的多层蚀刻顺序;b2)执行第三蚀刻工序,其中第三蚀刻工序被构造为使用被再次蚀刻的第一硬掩模层与/或被蚀刻的栅宽度控制层在第三组图案化的层中形成第二中间图案,第三组图案化的层包括:被再次蚀刻的具有多个被蚀刻的栅宽度控制特征的栅宽度控制层、被蚀刻的具有多个第二硬掩模特征的第二硬掩模层(氮化钛(TiN)层)、被蚀刻的具有多个氮化硅(SiN)特征的氮化硅(SiN)层、被蚀刻的具有多个非定形硅(a-Si)特征的非定形硅(a-Si)层以及被蚀刻的具有多个第二硬掩模特征的第二硬掩模(TEOS)层;b3)使用连接到第一清洁室的传送子系统将第一图案化传送到第一清洁室中的第三温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层;b4)执行第二多层蚀刻顺序中的第一清洁工序,其中形成第四组图案化的掩模层,其中第四组图案化的掩模层包括:被清洁的具有多个被清洁的第二硬掩模特征的第二硬掩模(TEOS)层、被清洁的具有多个被清洁的氮化硅(SiN)特征的氮化硅(SiN)层、被清洁的具有多个被清洁的非定形硅(a-Si)特征的非定形硅(a-Si)层、被清洁的具有多个被清洁的第三硬掩模特征的第三硬掩模层(氮化钛(TiN)层);b5)使用连接到第四蚀刻室的传送子系统将第一图案化传送到第四蚀刻室中的第四温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层;b6)执行第二多层蚀刻顺序中的第四蚀刻工序,其中第四蚀刻工序被构造为使用被清洁的第二硬掩模(TEOS)层、被清洁的氮化硅(SiN)层、被清洁的非定形硅(a-Si)层、或被清洁的第三硬掩模层(氮化钛(TiN)层)或它们的组合在第四组图案化的层中形成第四中间图案,第四组图案化的层包括:多个栅堆叠(每个栅堆叠包括含金属的特征)、氮化钛(TiN)特征、非定形硅特征、氮化硅(SiN)特征以及TEOS特征;b7)使用至少一个附加的周期评估结构获得用于第一图案化的附加的评估数据,其中第四中间图案包括至少一个附加的周期评估结构;b8)当附加的评估数据小于第一附加的多蚀刻限制时,将第二多层蚀刻顺序识别为第二被验证的多层蚀刻顺序;以及b9)当附加的评估数据不小于第一附加的多蚀刻限制时,执行附加的校正动作.
在第三替代实施例中,第二多层蚀刻顺序还可以包括:c1)使用连接到第二蚀刻室的传送子系统将第二组图案化的晶片中的第一图案化传送到第二蚀刻室中的第二温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层,在第一多层蚀刻顺序后执行的第二多层蚀刻顺序被验证,第二多层蚀刻顺序中第三蚀刻工序,其中第二组图案化的晶片包括多个被部分蚀刻的晶片;c2)使用第二多层蚀刻顺序中的第三蚀刻工序执行第二多层蚀刻顺序中的第三蚀刻工序,在第一被部分蚀刻的晶片上蚀刻第二组附加的层,第三蚀刻工序通过使用第二组掩模层中的中间图案形成第三组图案化的掩模层,其中第二组附加的层包括:TEOS层、氮化硅(SiN)层、非定形硅层、氮化钛(TiN)层;c3)使用连接到第一清洁室的传送子系统将第一图案化传送到第一清洁室中的第三温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层;c4)执行第一清洁工序,其中形成第四组图案化的掩模层;c5)使用连接到第四蚀刻室的传送子系统将第一图案化传送到第四蚀刻室中的第四温度受控的晶片保持器,其中传送子系统被构造为防止传送过程中在第一图案化上形成氧化层;c6)执行第二多层蚀刻顺序中的第四蚀刻工序,其中第四蚀刻工序被构造为使用第四组图案化的掩模层形成第五组图案化的掩模层,第五组图案化的掩模层包括包括:多个栅堆叠(每个栅堆叠包括包含金属的特征、氮化钛(TiN)特征、非定形硅特征、氮化硅(SiN)特征以及TEOS特征;c7)使用至少一个附加的周期评估结构获得用于第一图案化的附加的评估数据;c8)当附加的评估数据小于第一附加的多蚀刻限制时,将第二多层蚀刻顺序识别为第二被验证的多层蚀刻顺序;以及c9)当附加的评估数据不小于第一附加的多蚀刻限制时,执行附加的校正动作。
在一些实施例中,历史与/或实时数据可以包括MLMIMO图。晶片相关图、处理相关图、损坏评价图、基准图、测量图、预测图、风险图、检测图、验证图、评估图、颗粒图与/或针对一个或多个晶片的置信图。此外,一些MLMIMO过程可以使用晶片图,该晶片图可以包括一个或多个适合度(GOF)图、一个或多个厚度图、一个或多个栅相关图、一个或多个临界尺寸(CD)图、一个或多个CD轮廓图、一个或多个材料相关图、一个或多个结构相关图、一个或多个侧壁角图、一个或多个不同宽度图或它们的组合。
当形成与/或修改晶片图时,一些值对于整个晶片可以不计算与/或需要,并且晶片图可以包括用于一个或多个位置、一个或多个芯片/管芯、一个或多个不同区域、与/或一个或多个不同形状区域的数据.例如,处理室可以具有独特的特性,其可以影响在晶片的特定区域中处理结果的品质.此外,制造商可以允许在晶片的一个或多个区域中的对芯片/管芯的精度较低的处理与/或评估数据以使产量最大化.当图中的值接近限制时,置信值可以低于当图中的值没有接近限制时的值.此外,可以针对不同的芯片/管芯与/或晶片的不同的区域对精度值进行加权.例如,可以对与之前被使用的一个或多个评估位置相关的精度计算与/或精度数据分配更高的置信加权.
此外,可以使用与一个或多个处理相关的处理结果、测量、检测、验证、评估与/或预测图来计算用于晶片的置信图。例如,可以使用来自另一图的值作为加权因子。
尽管以上仅详细地描述了本发明的某些实施例,但是本领域的技术人员容易理解,在不实质上脱离本发明的新型性的教导和优点的情况下,实施例中的许多修改是可行的。相应地,所有这样的修改旨在被包括在本发明的范围内。
因而,此描述不意在限制本发明,并且在此处给出的详细水平理解到的本实施例的修改和变化是可行的情况下,描述了本发明的构造、操作和行为。相应地,前述详细的描述不意味着或不意在以任何方式限制本发明-相反,本发明的范围由权利要求限定。

Claims (32)

1.一种使用多层/多输入/多输出(MLMIMO)模型的方法,包括:
接收第一组图案化的晶片以及相关的晶片数据,每个所述图案化的晶片具有第一图案化的软掩模层和多个附加的层,所述第一图案化的软掩模层包括多个金属栅相关的软掩模特征以及至少一个第一周期评估结构,所述晶片数据包括用于所述第一图案化的软掩模层中的至少一个第一周期评估结构的实时集成计量(IM)数据;
建立第一多层多步(MLMS)处理顺序,其中,所述第一MLMS处理顺序包括第一组多蚀刻工序并被构造为使用所述第一图案化的软掩模层在第一组附加的层中建立第一栅宽度控制图案;
使用所述第一MLMS处理顺序形成第二组图案化的晶片;
使用用于所述第一MLMS处理顺序的第一多层/多输入/多输出(MLMILO)模型形成用于所述第一MLMS处理顺序的第一模拟数据,其中,所述第一MLMILO模型包括第一数量(Na)的第一控制变量(CV1a,CV2a...CVNa)、第一数量(Ma)的第一操纵变量(MV1a,MV2a...MVMa)以及第一数量(La)的第一干扰变量(DV1a,DV2a...DVLa),其中,(La,Ma和Na)是大于1的整数;
建立第二MLMS处理顺序,其中,所述第二MLMS处理顺序被构造为通过使用所述第一栅宽度控制图案对第二组附加的层进行图案化来形成金属栅结构的第一控制图案;
使用所述第二MLMS处理顺序形成第三组图案化的晶片;
使用用于所述第二MLMS处理顺序的第二MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据,其中,所述第二MLMILO模型包括第二数量(Nb)的第二控制变量(CV1b,CV2b...CVNb)、第二数量(Mb)的第二操纵变量(MV1b,MV2b...MVMb)以及第二数量(Lb)的第二干扰变量(DV1b,DV2b...DVLb),其中,(Lb,Mb和Nb)是大于1的整数;
获得用于所述第三组图案化的晶片中的至少一个的评估数据;
当所述评估数据小于第一金属栅限制时,将所述第三组图案化的晶片识别为被验证的晶片;并且
当所述评估数据不小于所述第一金属栅限制时,执行校正动作。
2.根据权利要求1所述的方法,其中,使用所述第一组图案化的晶片、所述第二组图案化的晶片或所述第三组图案化的晶片或它们的组合执行清洁顺序。
3.根据权利要求1所述的方法,还包括:
使用与所述第一组图案化的晶片相关的实时IM数据或附加的测量数据建立第一数量(La)的第一干扰变量(DV1a,DV2a...DVLa);
使用第一蚀刻室建立第一数量(Ma)的第一操纵变量(MV1a,MV2a...MVMa),其中,第一组(MV1a,MV2a...MVMa)包括一个或多个被构造为在晶片被处理时改变的晶片内操纵变量(WiW-MV)以及一个或多个被构造为在所述晶片已经被处理之后改变的晶片到晶片操纵变量(W2W-MV);并且
计算第一数量(Na)的第一控制变量(CV1a,CV2a...CVNa),其中
CV(Na)=fNa{MV1a...MVMa-1,MVMa,DV1a...DVLa-1,DVLa}+OFFSET(Na)。
4.根据权利要求1所述的方法,还包括:
使用与所述第二组图案化的晶片相关的实时IM数据建立第二数量(Lb)的第二干扰变量(DV1b,DV2b...DVLb);
使用第二蚀刻室建立第二数量(Mb)的第二操纵变量(MV1b,MV2b...MVMb),其中第二组第二(MV1b,MV2b...MVMb)包括一个或多个被构造为在晶片被处理时改变的晶片内操纵变量(WiW-MV)以及一个或多个被构造为在晶片已经被处理之后改变的晶片到晶片操纵变量(W2W-MV);并且
计算第二数量(Nb)的第二控制变量(CV1b,CV2b...CVNb),其中
CV(Nb)=fNb{MV1b...MVMb-1,MVMb,DV1b...DVLb-1,DVLb}+OFFSET(Nb)。
5.根据权利要求1所述的方法,其中,所述第一干扰变量(DV1,1,DV1,2...DV1,N1)包括与晶片边缘相关的第一评估特征的第一临界尺寸(CD)、与晶片中心相关的第二评估特征的第二CD、与晶片边缘相关的第一评估特征的第一侧壁角、与晶片中心相关的第二评估特征的第二侧壁角、软掩模层厚度、至少一个所述附加的层的层厚、所述软掩模层的蚀刻速率、一个或多个所述附加的层的蚀刻速率、至少一个蚀刻室参数、至少一个集成计量(IM)装置参数,以及至少一个室维护参数。
6.根据权利要求1所述的方法,所述第一MLMS处理顺序还包括:
使用连接到第一蚀刻室的传送子系统将第一图案化传送到所述第一蚀刻室中的第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行第一硬掩模层蚀刻工序,其中,第一硬掩模层包括含硅抗反射涂层(ARC)材料,并且所述第一硬掩模层蚀刻工序包括;
在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约12mT到约18mT;
在所述第一硬掩模层蚀刻工序中首次建立用于第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约12摄氏度到20摄氏度之间,所述第一边缘温度在约8摄氏度到12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在首次过程中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约15Torr到25Torr之间,所述第一边缘背侧压力在约27Torr到33Torr之间;
在所述第一硬掩模层蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括CF4和CHF3,CF4流速在约60sccm到约100sccm之间变化,并且第一CHF3流速在约40sccm到约60sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述第一硬掩模层蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约450瓦到约550瓦,第二RF功率的范围从约10瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述第一硬掩模层蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,第一RF功率的范围从约450瓦到约550瓦,较低RF功率的范围从约90瓦到约110瓦.
7.根据权利要求6所述的方法,还包括:
使用用于所述第一硬掩模层蚀刻工序的第一简化MLMILO模型形成用于所述第一MLMS处理顺序的第一模拟数据子集,其中,第一简化MLMILO模型包括第一简化数量(Na1)的第一控制变量(CV1a1,CV2a1...CVNa1)、第一简化数量(Ma1)的第一操纵变量(MV1a1,MV2a1...MVMa1)以及第一简化数量(La1)的第一干扰变量(DV1a1,DV2a1...DVLa1),其中,(La1,Ma1和Na1)是大于1的整数;
获得用于用于所述第一硬掩模层蚀刻工序的评估数据;
使用第一模拟数据子集和用于所述第一硬掩模层蚀刻工序的评估数据之间的差值确定用于所述第一硬掩模层蚀刻工序的风险数据;
当第一风险数据小于第一风险限制时,将所述第一硬掩模层蚀刻工序识别为被验证的工序;并且
当所述第一风险数据不小于所述第一风险限制时,将所述第一硬掩模层蚀刻工序识别为未被验证的工序。
8.根据权利要求1所述的方法,所述第一MLMS处理顺序还包括:
使用连接到第一蚀刻室的传送子系统将第一图案化传送到第一蚀刻室中的第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行Si-ARC层蚀刻工序;
执行第一蚀刻控制层(ECL)蚀刻工序,其中,所述ECL包括栅宽度控制材料,并且所述ECL蚀刻工序包括;
在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约15mT到约25mT;
在所述ECL蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约12摄氏度到20摄氏度之间,所述第一边缘温度在约8摄氏度到12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约15Torr到20Torr之间,所述第一边缘背侧压力在约27Torr到33Torr之间;
在所述ECL蚀刻工序中将第二处理气体提供到所述第一蚀刻室中,其中,所述第二处理气体包括O2、CO2和HBr,第二O2流速在约30sccm到约50sccm之间变化,第二CO2流速在约70sccm到约90sccm之间变化,并且第二HBr流速在约25sccm到约35sccm之间变化,其中,气体喷射系统被构造为将所述第二处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述第一硬掩模层蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约450瓦到约550瓦,第二RF功率的范围从约10瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述第一硬掩模层蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约90瓦到约110瓦。
9.根据权利要求8所述的方法,还包括:
使用用于所述第一ECL蚀刻工序的第一简化MLMILO模型形成用于所述第一MLMS处理顺序的第一模拟数据子集,其中,所述第一简化MLMILO模型包括第一简化数量(Na1)的第一控制变量(CV1a1,CV2a1...CVNa1)、第一简化数量(Ma1)的第一操纵变量(MV1a1,MV2a1...MVMa1)以及第一简化数量(La1)的第一干扰变量(DV1a1,DV2a1...DVLa1),其中,(La1,Ma1和Na1)是大于1的整数;
获得用于用于所述第一ECL蚀刻工序的评估数据;
使用所述第一模拟数据子集和用于所述第一ECL蚀刻工序的评估数据之间的差值确定用于所述第一ECL蚀刻工序的风险数据;
当第一风险数据小于第一风险限制时,将所述第一ECL蚀刻工序识别为被验证的工序;并且
当所述第一风险数据不小于所述第一风险限制时,将所述第一ECL蚀刻工序识别为未被验证的工序。
10.根据权利要求1所述的方法,所述第二MLMS处理顺序还包括:
使用连接到第一蚀刻室的传送子系统将第一图案化传送到所述第一蚀刻室中的第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行Si-ARC层蚀刻工序和/或蚀控制层(ECL)蚀刻工序;
执行第二硬掩模层蚀刻工序,其中,第二硬掩模层包括四乙基正硅酸盐(TEOS)材料,并且所述第二硬掩模层蚀刻工序包括;
在所述第二硬掩模层蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约35mT到约45mT;
在第一硬掩模层蚀刻工序中首次建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约25摄氏度到约35摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在首次过程中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约15Torr到25Torr之间,所述第一边缘背侧压力在约27Torr到33Torr之间;
在所述第二硬掩模层蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括CF4、CHF3和O2,第一CF4流速在约40sccm到约60sccm之间变化,第一CHF3流速在约40sccm到约60sccm之间变化,并且第一O2流速在约3sccm到约7sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述第二硬掩模层蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约550瓦到约650瓦,第二RF功率的范围从约50瓦到约150瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述第二硬掩模层蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约90瓦到约110瓦。
11.根据权利要求10所述的方法,还包括:
使用用于所述第二硬掩模层蚀刻工序的第一简化MLMILO模型形成用于所述第一MLMS处理顺序的第一模拟数据子集,其中,所述第一简化MLMILO模型包括第一简化数量(Na1)的第一控制变量(CV1a1,CV2a1...CVNa1)、第一简化数量(Ma1)的第一操纵变量(MV1a1,MV2a1...MVMa1)以及第一简化数量(La1)的第一干扰变量(DV1a1,DV2a1...DVLa1),其中,(La1,Ma1和Na1)是大于1的整数;
获得用于用于所述第二硬掩模层蚀刻工序的评估数据;
使用所述第一模拟数据子集和用于所述第二硬掩模层蚀刻工序的评估数据之间的差值确定用于所述第二硬掩模层蚀刻工序的风险数据;
当第一风险数据小于第一风险限制时,将所述第二硬掩模层蚀刻工序识别为被验证的工序;并且
当所述第一风险数据不小于所述第一风险限制时,将所述第二硬掩模层蚀刻工序识别为未被验证的工序。
12.根据权利要求1所述的方法,还包括:
使用连接到第一蚀刻室的传送子系统将第一图案化传送到所述第一蚀刻室中的第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行Si-ARC层蚀刻工序,或蚀控制层(ECL)蚀刻工序,或第二硬掩模层蚀刻工序,或它们的任意组合;
执行TEOS过蚀刻(OE)蚀刻工序,其中,TEOS OE蚀刻工序包括;
在所述TEOS OE蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约35mT到约45mT;
在所述TEOS OE蚀刻工序中首次建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约25摄氏度到约35摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在首次过程中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约15Torr到25Torr之间,所述第一边缘背侧压力在约27Torr到33Torr之间;
在所述TEOS OE蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括CF4、CHF3和O2,第一CF4流速在约40sccm到约60sccm之间变化,第一CHF3流速在约40sccm到约60sccm之间变化,并且第一O2流速在约3sccm到约7sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述TEOS OE蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约550瓦到约650瓦,第二RF功率的范围从约50瓦到约150瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述TEOS OE蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约90瓦到约110瓦。
13.根据权利要求12所述的方法,还包括:
使用用于所述TEOS OE蚀刻工序的第一简化MLMILO模型形成用于所述第一MLMS处理顺序的第一模拟数据子集,其中,所述第一简化MLMILO模型包括第一简化数量(Na1)的第一控制变量(CV1a1,CV2a1...CVNa1)、第一简化数量(Ma1)的第一操纵变量(MV1a1,MV2a1...MVMa1)以及第一简化数量(La1)的第一干扰变量(DV1a1,DV2a1...DVLa1),其中,(La1,Ma1和Na1)是大于1的整数;
获得用于所述TEOS OE蚀刻工序的评估数据;
使用所述第一模拟数据子集和用于所述TEOS OE蚀刻工序的评估数据之间的差值确定用于所述TEOS OE蚀刻工序的风险数据;
当第一风险数据小于第一风险限制时,将所述TEOS OE蚀刻工序识别为被验证的工序;并且
当所述第一风险数据不小于所述第一风险限制时,将所述TEOS OE蚀刻工序识别为未被验证的工序。
14.根据权利要求1所述的方法,还包括:
使用连接到第一蚀刻室的传送子系统将第一图案化传送到所述第一蚀刻室中的第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行Si-ARC层蚀刻工序,或蚀控制层(ECL)蚀刻工序,或第二硬掩模层蚀刻工序,或过蚀刻(OE)蚀刻工序,或它们的任意组合;
使用连接到第一灰化室的传送子系统将所述第一图案化传送到所述第一灰化室中的所述第一多区域温度受控的晶片保持器;
执行灰化工序,其中,所述灰化工序包括;
在所述灰化工序中在所述第一灰化室中建立第一室压力,其中,所述第一室压力的范围从约125mT到约175mT;
在所述灰化工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约70摄氏度到约80摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在所述灰化工序中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约15Torr到25Torr之间,所述第一边缘背侧压力在约27Torr到33Torr之间;
在所述灰化工序中将第一处理气体提供到所述第一灰化室中,其中,所述第一处理气体包括O2,第一O2流速在约430sccm到约470sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一灰化室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一灰化室的中心区域并将第二RF功率提供到所述第一灰化室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述灰化工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约350瓦到约450瓦,第二RF功率的范围从约10瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述灰化工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约20瓦到约30瓦。
15.根据权利要求14所述的方法,还包括:
使用用于所述灰化工序的第一简化MLMILO模型形成用于所述第一MLMS处理顺序的第一模拟数据子集,其中,所述第一简化MLMILO模型包括第一简化数量(Na1)的第一控制变量(CV1a1,CV2a1...CVNa1)、第一简化数量(Ma1)的第一操纵变量(MV1a1,MV2a1...MVMa1)以及第一简化数量(La1)的第一干扰变量(DV1a1,DV2a1...DVLa1),其中,(La1,Ma1和Na1)是大于1的整数;
获得用于用于所述灰化工序的评估数据;
使用所述第一模拟数据子集和用于所述灰化工序的评估数据之间的差值确定用于所述灰化工序的风险数据;
当第一风险数据小于第一风险限制时,将所述灰化工序识别为被验证的工序;并且
当所述第一风险数据不小于所述第一风险限制时,将所述灰化工序识别为未被验证的工序。
16.根据权利要求1所述的方法,还包括:
执行第一多层多步MLMS处理顺序,其中,形成所述第二组图案化的晶片;
使用连接到第一蚀刻室的传送子系统将所述第二组图案化的晶片中的第一个传送到所述第一蚀刻室中的所述第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行贯穿(BT)蚀刻工序,其中,所述BT蚀刻工序包括;
在所述BT蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约8mT到约12mT;
在所述BT蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约70摄氏度到约80摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在所述BT蚀刻工序中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约8Torr到12Torr之间,所述第一边缘背侧压力在约8Torr到12Torr之间;
在所述BT蚀刻工序中将第一处理气体提供到第一蚀刻室中,其中,所述第一处理气体包括CF4,第一CF4流速在约120sccm到约150sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述BT蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约600瓦到约700瓦,第二RF功率的范围从约10瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述BT蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约175瓦到约200瓦。
17.根据权利要求16所述的方法,还包括:
使用用于所述BT蚀刻工序的第二简化MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据子集,其中,所述第二简化MLMILO模型包括第二简化数量(Nb1)的第一控制变量(CV1b1,CV2b1...CVNb1)、第二简化数量(Mb1)的第二操纵变量(MV1b1,MV2b1...MVMb1)以及第二简化数量(Lb1)的第二干扰变量(DV1b1,DV2b1...DVLb1),其中,(Lb1,Mb1和Nb1)是大于1的整数;
获得用于所述BT蚀刻工序的第二评估数据;
使用所述第二模拟数据子集和用于所述BT蚀刻工序的第二评估数据之间的差值确定用于所述BT蚀刻工序的第二风险数据;
当第二风险数据小于第二风险限制时,将所述BT蚀刻工序识别为被验证的工序;并且
当所述第二风险数据不小于所述第二风险限制时,将所述BT蚀刻工序识别为未被验证的工序。
18.根据权利要求1所述的方法,还包括:
执行第一多层多步MLMS处理顺序,其中,形成所述第二组图案化的晶片;
使用连接到第一蚀刻室的传送子系统将所述第二组图案化的晶片中的第一个传送到所述第一蚀刻室中的所述第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行贯穿(BT)蚀刻工序,
执行主蚀刻(ME)蚀刻工序,其中,所述ME蚀刻工序包括;
在所述ME蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约8mT到约12mT;
在所述ME蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约70摄氏度到约80摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在所述ME蚀刻工序中,所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约8Torr到12Torr之间,所述第一边缘背侧压力在约8Torr到12Torr之间;
在所述ME蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括CF4,第一CF4流速在约120sccm到约150sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述ME蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约120瓦到约150瓦,第二RF功率的范围从约0瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述ME蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约0瓦到约10瓦。
19.根据权利要求18所述的方法,还包括:
使用用于所述ME蚀刻工序的第二简化MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据子集,其中,所述第二简化MLMILO模型包括第二简化数量(Nb1)的第一控制变量(CV1b1,CV2b1...CVNb1)、第二简化数量(Mb1)的第二操纵变量(MV1b1,MV2b1...MVMb1)以及第二简化数量(Lb1)的第二干扰变量(DV1b1,DV2b1...DVLb1),其中,(Lb1,Mb1和Nb1)是大于1的整数;
获得用于所述ME蚀刻工序的第二评估数据;
使用所述第二模拟数据子集和用于所述ME蚀刻工序的第二评估数据之间的差值确定用于所述ME蚀刻工序的第二风险数据;
当第二风险数据小于第二风险限制时,将所述ME蚀刻工序识别为被验证的工序;并且
当所述第二风险数据不小于所述第二风险限制时,将所述ME蚀刻工序识别为未被验证的工序。
20.根据权利要求1所述的方法,还包括:
执行第一多层多步MLMS处理顺序,其中,形成所述第二组图案化的晶片;
使用连接到第一蚀刻室的传送子系统将所述第二组图案化的晶片中的第一个传送到所述第一蚀刻室中的所述第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行贯穿(BT)蚀刻工序与/或执行主蚀刻(ME)蚀刻工序,
执行过蚀刻(OE)蚀刻工序,其中,所述OE蚀刻工序包括;
在所述OE蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约8mT到约12mT;
在所述OE蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约70摄氏度到约80摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在所述OE蚀刻工序中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约8Torr到12Torr之间,所述第一边缘背侧压力在约8Torr到12Torr之间;
在所述OE蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括O2和HBr,第一O2流速在约2sccm到约6sccm之间变化,第一HBr流速在约220sccm到约280sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述OE蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约120瓦到约150瓦,第二RF功率的范围从约0瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述OE蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约20瓦到约40瓦。
21.根据权利要求20所述的方法,还包括:
使用用于所述OE蚀刻工序的第二简化MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据子集,其中,所述第二简化MLMILO模型包括第二简化数量(Nb1)的第一控制变量(CV1b1,CV2b1...CVNb1)、第二简化数量(Mb1)的第二操纵变量(MV1b1,MV2b1...MVMb1)以及第二简化数量(Lb1)的第二干扰变量(DV1b1,DV2b1...DVLb1),其中,(Lb1,Mb1和Nb1)是大于1的整数;
获得用于所述OE蚀刻工序的第二评估数据;
使用所述第二模拟数据子集和用于所述OE蚀刻工序的第二评估数据之间的差值确定用于所述OE蚀刻工序的第二风险数据;
当第二风险数据小于第二风险限制时,将所述OE蚀刻工序识别为被验证的工序;并且
当所述第二风险数据不小于所述第二风险限制时,将所述OE蚀刻工序识别为未被验证的工序。
22.根据权利要求1所述的方法,还包括:
执行第一多层多步MLMS处理顺序,其中,形成所述第二组图案化的晶片;
使用连接到第一蚀刻室的传送子系统将所述第二组图案化的晶片中的第一个传送到所述第一蚀刻室中的所述第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行贯穿(BT)蚀刻工序,或主蚀刻(ME)蚀刻工序,或OE蚀刻工序,或它们的任意组合;
执行氮化钛(TiN)蚀刻工序,其中,所述TiN蚀刻工序包括;
在所述TiN蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约8mT到约12mT;
在所述TiN蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一边缘温度和第一中心温度,所述第一中心温度在约70摄氏度到约80摄氏度之间,所述第一边缘温度在约8摄氏度到约12摄氏度之间,并且其中,低温冷却器被连接到所述第一多区域温度受控的晶片保持器,在所述TiN蚀刻工序中所述低温冷却器在约-20摄氏度到10摄氏度之间运行;
在所述第一多区域温度受控的晶片保持器中使用双背侧气体系统建立边第一边缘背侧压力和第一中心背侧压力,所述第一中心背侧压力在约8Torr到12Torr之间,所述第一边缘背侧压力在约8Torr到12Torr之间;
在所述TiN蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括Cl2,第一Cl2流速在约12sccm到约18sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到第一功率分配器,在所述TiN蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约180瓦到约220瓦,第二RF功率的范围从约0瓦到约100瓦;并且
使用RF产生器和阻抗匹配网络将较低射频(RF)功率提供到所述第一多区域温度受控的晶片保持器中的下电极,在所述TiN蚀刻工序中,所述RF产生器在从约0.1MHz到约200MHz的范围运行,较低RF功率的范围从约40瓦到约60瓦。
23.根据权利要求22所述的方法,还包括:
使用用于所述TiN蚀刻工序的第二简化MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据子集,其中,所述第二简化MLMILO模型包括第二简化数量(Nb1)的第一控制变量(CV1b1,CV2b1...CVNb1)、第二简化数量(Mb1)的第二操纵变量(MV1b1,MV2b1...MVMb1)以及第二简化数量(Lb1)的第二干扰变量(DV1b1,DV2b1...DVLb1),其中,(Lb1,Mb1和Nb1)是大于1的整数;
获得用于所述TiN蚀刻工序的第二评估数据;
使用所述第二模拟数据子集和用于所述TiN蚀刻工序的第二评估数据之间的差值确定用于所述TiN蚀刻工序的第二风险数据;
当第二风险数据小于第二风险限制时,将所述TiN蚀刻工序识别为被验证的工序;并且
当所述第二风险数据不小于所述第二风险限制时,将所述TiN蚀刻工序识别为未被验证的工序。
24.根据权利要求1所述的方法,还包括:
执行第一多层多步MLMS处理顺序,其中,形成所述第二组图案化的晶片;
执行贯穿(BT)蚀刻工序,或主蚀刻(ME)蚀刻工序,或OE蚀刻工序,或氮化钛(TiN)蚀刻工序,或它们的任意组合;
使用连接到第一蚀刻室的传送子系统将第二组图案化的晶片中的至少一个传送到所述第一蚀刻室中的所述第一多区域温度受控的晶片保持器,其中,所述传送子系统被构造为防止传送过程中在所述第一图案化上形成氧化层;
执行高-K(HK)蚀刻工序,其中,所述HK蚀刻工序包括;
在所述HK蚀刻工序中在所述第一蚀刻室中建立第一室压力,其中,所述第一室压力的范围从约8mT到约12mT;
在所述HK蚀刻工序中建立用于所述第一多区域温度受控的晶片保持器的第一温度,所述第一温度在约350摄氏度到约390摄氏度之间;
在所述HK蚀刻工序中将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括BCl3,第一BCl3流速在约130sccm到约180sccm之间变化,其中,气体喷射系统被构造为将所述第一处理气体提供到处理区中的一个或多个区域;并且
使用被连接到所述第一蚀刻室中的两个上电极的第一功率分配器将第一射频(RF)功率提供到所述第一蚀刻室的中心区域并将第二RF功率提供到所述第一蚀刻室的边缘区域,其中,第一RF源被连接到所述第一功率分配器,在所述HK蚀刻工序中,所述第一RF源在从约0.1MHz到约200MHz的第一频率范围内运行,第一RF功率的范围从约550瓦到约650瓦,第二RF功率的范围从约0瓦到约100瓦。
25.根据权利要求24所述的方法,还包括:
使用用于所述HK蚀刻工序的第二简化MLMILO模型形成用于所述第二MLMS处理顺序的第二模拟数据子集,其中,所述第二简化MLMILO模型包括第二简化数量(Nb1)的第一控制变量(CV1b1,CV2b1...CVNb1)、第二简化数量(Mb1)的第二操纵变量(MV1b1,MV2b1...MVMb1)以及第二简化数量(Lb1)的第二干扰变量(DV1b1,DV2b1...DVLb1),其中,(Lb1,Mb1和Nb1)是大于1的整数;
获得用于所述HK蚀刻工序的第二评估数据;
使用所述第二模拟数据子集和用于所述HK蚀刻工序的第二评估数据之间的差值确定用于所述HK蚀刻工序的第二风险数据;
当第二风险数据小于第二风险限制时,将所述HK蚀刻工序识别为被验证的工序;并且
当所述第二风险数据不小于所述第二风险限制时,将所述HK蚀刻工序识别为未被验证的工序。
26.根据权利要求1所述的方法,其中,金属栅结构包括pFET结构、nFET结构、Tri栅结构和FinFET结构。
27.一种使用多层/多输入/多输出(MLMIMO)模型以在多个晶片上形成栅结构的方法,所述方法包括:
a)接收第一组向前传送的晶片和相关的晶片数据,所述晶片数据包括实时和历史数据;
b)使用与一个或多个所述向前传送的晶片上的图案化光刻胶层相关的实时集成计量(IM)数据,建立用于第一多层蚀刻顺序的第一数量(La)的干扰变量DV(La)以及用于第二多层蚀刻顺序的第二数量(Lb)的干扰变量DV(Lb),其中,实时IM数据包括临界尺寸(CD)数据、侧壁角度(SWA)数据、厚度数据、光刻胶数据、BARC数据、晶片数据和来自每个进入的晶片上的图案化光刻胶层中的多个位置的折射信号数据,其中,(La)和(Lb)是大于二的整数;
c)建立用于所述第一多层蚀刻顺序的第一数量(Ma)的操纵变量MV(Ma)以及用于第二多层蚀刻顺序的第二数量(Mb)的操纵变量MV(Mb),其中,(Ma)和(Mb)是大于二的整数;
d)建立用于所述第一多层蚀刻顺序的第一数量(Na)的控制变量以及用于所述第二多层蚀刻顺序的第二数量(Nb)的控制变量,其中,(Na)和(Nb)是大于二的整数,其中,CV(Na)被限定为CV(Na)=fNa{MV(1a),......MV(Ma-1),MV(Ma),DV(1),......DV(La-1),DV(La)}+offsetNa并且CV(Nb)被限定为CV(Nb)=fNb{MV(1b),......MV(Mb-1),MV(Mb),DV(1),......DV(Lb-1),DV(Lb)}+offsetNb
e)使用第一二次目标函数计算优化的处理设置,其中,用于所述第一多层蚀刻顺序的第一目标偏差t(Na)被限定为:
t(Na)={DV(Na)-targetCV(Na)};
f)使用第二二次目标函数计算优化的处理设置,其中,用于所述第二多层蚀刻顺序的第二目标偏差t(Nb)被限定为:
t(Nb)={DV(Nb)-targetCV(Nb)};
g)使用在非线性编程过程中建立的一个或多个被计算出的操纵变量,限定用于所述第一多层蚀刻顺序与/或用于所述第二多层蚀刻顺序的被调节的处理配方;
h)使用被调节的处理配方处理所述第一组向前传送的晶片中的一个或多个;
i)获得用于所述向前传送的晶片中的一个或多个的附加测量数据,其中,获得并滤波新的控制变量数据;
j)使用所述新的控制变量数据和预测的控制变量数据之间的差值计算一个或多个处理误差;
k)计算反馈数据项,其中,使用指数加权移动平均(EWMA)滤波器来用误差更新用于所述第一多层蚀刻顺序的offsetNa与/或用于所述第二多层蚀刻顺序的offsetNb
l)在优化器单元中更新用于所述第一多层蚀刻顺序的offsetNa与/或用于所述第二多层蚀刻顺序的offsetNb;并且
m)使用所述第一组向前传送的晶片中的每个晶片重复步骤a)-l)。
28.根据权利要求27所述的方法,其中,使用如下限定的第一二次目标函数,通过执行非线性编程来计算用于所述第一多层蚀刻顺序的第一操纵量,
min MV ( 1 a ) , MV ( 2 a ) , MV ( Ma - 1 ) , MV ( Ma ) { ( t ( 1 a ) - CV ( 1 a ) t ( 1 a ) ) 2 w 1 a + ( t ( 2 a ) - CV ( 2 a ) t ( 2 a ) ) 2 w 2 a . . .
. . . . . . + ( t ( Ma - 1 ) - CV ( Ma - 1 ) t ( Ma - 1 ) ) 2 w ja - 1 + ( t ( Ma ) - CV ( Ma ) t ( Ma ) ) 2 w ja }
其中,Wja是加权因子,并且操纵变量MV(Ma)可以具有被限定为以下不等式约束的上限值和下限值,
下限值(Ma)≤MV(Ma)≤上限值(Ma)
并且,可以使用多个设备约束确定下限值(Ma)和上限值(Ma)。
29.根据权利要求28所述的方法,其中,使用如下限定的第二二次目标函数,通过执行非线性编程来计算用于所述第二多层蚀刻顺序的第二操纵变量,
min MV ( 1 b ) , MV ( 2 b ) , MV ( 3 b ) , MV ( 4 b ) { ( t ( 1 b ) - CV ( 1 b ) t ( 1 b ) ) 2 w 1 b + ( t ( 2 b ) - CV ( 2 b ) t ( 2 b ) ) 2 w 2 b
+ ( t ( 3 b ) - CV ( 3 b ) t ( 3 b ) ) 2 w 3 b + ( t ( 4 b ) - CV ( 4 b ) t ( 4 b ) ) 2 w 4 b }
其中,Wjb是加权因子,并且操纵变量MV(Mb)可以具有被限定为以下不等式约束的上限值和下限值,
下限值(Mb)≤MV(Mb)≤上限值(Mb)
并且,可以使用多个设备约束确定下限值(Mb)和上限值(Mb)。
30.根据权利要求29所述的方法,其中,加权因子Wja和Wjb基于一个或多个反馈误差而被动态地更新。
31.根据权利要求29所述的方法,其中,当一个或多个操纵变量在可允许的处理窗之外时对一个或多个控制变量目标区分优先次序。
32.根据权利要求29所述的方法,其中,使用处理状态数据与/或室状态数据限定被调节的处理配方。
CN2009101611995A 2008-08-06 2009-08-06 将多层/多输入/多输出(mlmimo)模型用于金属栅结构 Expired - Fee Related CN101707189B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/186,619 US7894927B2 (en) 2008-08-06 2008-08-06 Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US12/186,619 2008-08-06

Publications (2)

Publication Number Publication Date
CN101707189A true CN101707189A (zh) 2010-05-12
CN101707189B CN101707189B (zh) 2011-04-13

Family

ID=41653679

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101611995A Expired - Fee Related CN101707189B (zh) 2008-08-06 2009-08-06 将多层/多输入/多输出(mlmimo)模型用于金属栅结构

Country Status (5)

Country Link
US (1) US7894927B2 (zh)
JP (1) JP2010041051A (zh)
KR (1) KR101530098B1 (zh)
CN (1) CN101707189B (zh)
TW (1) TWI417754B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102622481A (zh) * 2012-03-06 2012-08-01 中国航天科技集团公司第九研究院第七七一研究所 互补数据冗余结构型cmos标准单元电路物理库模型设计方法
CN102930101A (zh) * 2012-11-01 2013-02-13 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
CN104040679A (zh) * 2011-03-28 2014-09-10 东京毅力科创株式会社 自适应配方选择器
CN103942393B (zh) * 2014-04-23 2016-11-30 中国航天科技集团公司第九研究院第七七一研究所 一种基于硅通孔的立体集成电路多物理域协同设计方法
CN111162006A (zh) * 2018-11-07 2020-05-15 东京毅力科创株式会社 处理方法和基板处理装置
CN111344577A (zh) * 2017-11-16 2020-06-26 三菱电机株式会社 探针板、半导体测定装置及半导体测定系统

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8532796B2 (en) * 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9588441B2 (en) * 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
US8799848B1 (en) 2013-01-15 2014-08-05 International Business Machines Corporation Methods for modeling of FinFET width quantization
US9224368B2 (en) * 2013-02-20 2015-12-29 Google Inc. Merging three-dimensional models of varying resolution
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
WO2015084523A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct current superposition freeze
EP3087586B1 (en) 2013-12-23 2021-09-29 Intel Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) * 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
KR101832561B1 (ko) * 2015-05-21 2018-02-27 삼성전기주식회사 공진기 패키지 및 이의 제조방법
US10367471B2 (en) 2015-05-21 2019-07-30 Samsung Electro-Mechanics Co., Ltd. Resonator package and method of manufacturing the same
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10861677B2 (en) * 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
US11761969B2 (en) 2020-01-21 2023-09-19 Kla Corporation System and method for analyzing a sample with a dynamic recipe based on iterative experimentation and feedback
KR20230124043A (ko) * 2020-12-21 2023-08-24 램 리써치 코포레이션 반도체 제작 장비의 프로세스 제어를 위한 적응형 모델 트레이닝 (adaptive model training)
TWI803047B (zh) * 2021-11-11 2023-05-21 南亞科技股份有限公司 形成半導體結構的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166873A (en) * 1989-09-13 1992-11-24 Yokogawa Electric Corporation Process control device
EP0518651B1 (en) * 1991-06-11 1996-08-14 Kabushiki Kaisha Toshiba Process control system
US5329443A (en) * 1992-06-16 1994-07-12 Praxair Technology, Inc. Two-phase method for real time process control
JP3909654B2 (ja) * 2001-05-10 2007-04-25 ソニー株式会社 ルールベースopcの評価方法およびシミュレーションベースopcモデルの評価方法並びにマスクの製造方法
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7425391B2 (en) * 2001-10-02 2008-09-16 Guobiao Zhang Highly-corrected mask
TW591448B (en) * 2002-12-09 2004-06-11 Univ Feng Chia Method for determining parasitic inductance by using new metal oxide semiconductor FET transmission line equivalence circuit model
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
KR100655155B1 (ko) * 2004-07-05 2006-12-08 (주) 미코티엔 반도체 검사용 프로브 카드
CN1673909A (zh) * 2004-07-23 2005-09-28 上海宝信软件股份有限公司 过程设定控制系统及其控制方法
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
JP4952338B2 (ja) * 2006-03-30 2012-06-13 東京エレクトロン株式会社 半導体装置の製造方法、エッチング装置及び記憶媒体
US7442591B2 (en) * 2006-04-19 2008-10-28 Freescale Semiconductor, Inc. Method of making a multi-gate device
US7801635B2 (en) * 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104040679A (zh) * 2011-03-28 2014-09-10 东京毅力科创株式会社 自适应配方选择器
CN104040679B (zh) * 2011-03-28 2016-12-07 东京毅力科创株式会社 自适应配方选择器
CN102622481A (zh) * 2012-03-06 2012-08-01 中国航天科技集团公司第九研究院第七七一研究所 互补数据冗余结构型cmos标准单元电路物理库模型设计方法
CN102622481B (zh) * 2012-03-06 2014-03-12 中国航天科技集团公司第九研究院第七七一研究所 互补数据冗余结构型cmos标准单元电路物理库模型设计方法
CN102930101A (zh) * 2012-11-01 2013-02-13 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
CN102930101B (zh) * 2012-11-01 2015-05-20 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
CN103942393B (zh) * 2014-04-23 2016-11-30 中国航天科技集团公司第九研究院第七七一研究所 一种基于硅通孔的立体集成电路多物理域协同设计方法
CN111344577A (zh) * 2017-11-16 2020-06-26 三菱电机株式会社 探针板、半导体测定装置及半导体测定系统
CN111162006A (zh) * 2018-11-07 2020-05-15 东京毅力科创株式会社 处理方法和基板处理装置

Also Published As

Publication number Publication date
JP2010041051A (ja) 2010-02-18
CN101707189B (zh) 2011-04-13
TW201009625A (en) 2010-03-01
KR101530098B1 (ko) 2015-06-19
TWI417754B (zh) 2013-12-01
KR20100018478A (ko) 2010-02-17
US20100036518A1 (en) 2010-02-11
US7894927B2 (en) 2011-02-22

Similar Documents

Publication Publication Date Title
CN101707189B (zh) 将多层/多输入/多输出(mlmimo)模型用于金属栅结构
CN101551834B (zh) 多层/多输入/多输出(mlmimo)模型和使用方法
CN110832400B (zh) 通过边缘位置误差预测设计布局图案邻近校正
US8501499B2 (en) Adaptive recipe selector
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
CN107526864B (zh) 经边缘放置误差预测的光致抗蚀剂设计布局图案邻近校正
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
CN102804933A (zh) 可切换中性束源
US20080311687A1 (en) Method and Apparatus for Optimizing a Gate Channel
US20090082983A1 (en) Method and Apparatus for Creating a Spacer-Optimization (S-O) Library
Le Variation reduction in plasma etching via run-to-run process control and endpoint detection

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110413

Termination date: 20170806