JP2010041051A - 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法 - Google Patents

金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法 Download PDF

Info

Publication number
JP2010041051A
JP2010041051A JP2009176455A JP2009176455A JP2010041051A JP 2010041051 A JP2010041051 A JP 2010041051A JP 2009176455 A JP2009176455 A JP 2009176455A JP 2009176455 A JP2009176455 A JP 2009176455A JP 2010041051 A JP2010041051 A JP 2010041051A
Authority
JP
Japan
Prior art keywords
etching
data
chamber
during
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009176455A
Other languages
English (en)
Inventor
Merritt Funk
ファンク メリット
Radha Sundararajan
サンダララヤン ラジャ
Asao Yamashita
朝夫 山下
Daniel J Prager
ジェイ プラガー ダニエル
Hyung Joo Lee
ジョー リー ヒュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010041051A publication Critical patent/JP2010041051A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】 本発明はウエハ処理に関する。
【解決手段】 本発明は、1つ以上の測定処理、1つ以上のポリエッチング(P-E)処理及び1つ以上の金属ゲートエッチング処理を有する多層処理手順並びに多層/多入力/多出力(MLMIMO)モデル及びライブラリを用いてウエハを処理する方法を供する。前記MLMIMO処理制御は、多数の層及び/又は多数の処理工程間での動的に相互作用する挙動のモデル化を用いる。前記多数の層及び/又は多数の処理工程は、等方性及び/又は異方性エッチング処理を用いて作製可能なライン、溝、ビア、スペーサ、コンタクト、及びゲート構造の作製に関連づけられて良い。
【選択図】 図11

Description

本発明はウエハ処理に関し、より詳細には、多層多工程処理手順及び関連する多層/多入力/多出力(MLMIMO)モデルを用いることによってリアルタイムでウエハ上に金属ゲート構造を作製する装置及び方法に関する。
エッチングプロセスの挙動は本質的に非線形で、ステップ(層)間の相互作用すなわち処理された積層体がそのまま1つになる。東京エレクトロン株式会社(TEL)製チャンバの物理的モデル化、基礎となるプロセス、並びに、プロセスの改良及び調節からえられる経験的データ及び測定に基づくプロセス相互作用の知識によって、限界寸法(CD)、側壁角度(SWA)、深さ、膜厚、オーバーエッチング、アンダーカット、表面洗浄、及び損傷制御の制御は、多入力多出力非線形モデルを用いて帰納的に計算及び最適化可能である。現在の低コスト製品はバルクシリコン技術を用いている。トランジスタのサイズが縮小し続けているので、チャネル深さの効果は重要になってきている(非常に浅いソース/ドレイン拡張部)。SOI膜が薄くなることで、ゲート及び/又はスペーサの厚さの変化、並びにSOI(絶縁体上のシリコン)膜の厚さの変化が小さくなることで、トランジスタの性能に影響を及ぼすと考えられる。エッチング処理が制御されなければ、ゲート付近での材料の除去はその電気的特性に影響を及ぼす。
米国特許出願第11/156559号明細書 米国特許出願公開第2006/0037701号明細書
ジーン・ピール・コリウ(Jean Pierre Corriu)「プロセス制御:理論と応用」(Process Control:Theory and Applications)、
現在の高性能マイクロプロセッサは、PD SOI(部分的に空乏化したSOI)膜を用いることで、0.2[V]の閾値電圧を与えている。PD SOI膜が約50nmである一方で、ゲート及び/又はスペーサの減少量は、ゲート及び/又はスペーサの合計厚さに対して大きな割合(10%)にもなる。次世代のSOI膜はFD SOI(0.08[V]の閾値電圧と〜25nmの厚さを与える完全に空乏化したSOI)と呼ばれる。現在これらの膜は生産されていない。均一性及び欠陥に係る厚さの制御に限界があるためである。チャネル移動度はSOIの厚さと共に劣化する。SOI膜が薄くなることで、金属ゲート構造の制御がより重要になる。
本発明は、多層多工程処理手順及び関連する多層/多入力/多出力(MLMIMO)モデルを用いることによってリアルタイムでウエハ上に金属ゲート構造を作製する装置及び方法を供する。
本発明の他の態様は以降の記載及び添付の図面から明らかになる。
本発明の実施例による処理システムの典型的ブロック図を図示している。 A-Gは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を図示している。 A-Gは、本発明の実施例による別なエッチングサブシステムの典型的ブロック図を図示している。 本発明の実施例による典型的な多層/多入力/多出力(MLMIMO)モデルの最適化及び制御方法の単純化されたブロック図を図示している。 本発明の実施例による金属ゲート構造を作製する多工程処理の典型図を示している。 本発明の実施例による金属ゲート構造を作製する第2多工程処理の典型図を示している。 本発明の実施例による金属ゲート構造を作製する第3多工程処理の典型図を示している。 本発明の実施例による多層/多入力/多出力(MLMIMO)モデルの典型的概略図を示している。 本発明の実施例による2つの部分からなる多層/多入力/多出力(MLMIMO)モデルの典型的ブロック図を示している。 本発明の実施例によるMLMIMOの開発手順の典型的フローダイアグラムを示している。 本発明の実施例によるMLMIMOを用いる手順の単純化されたフローダイアグラムを示している。 本発明の実施例によるMLMIMOを用いる手順のランタイムフローダイアグラムを示している。
ここで本発明の実施例について、単なる例示でしかない添付図面を参照しながら説明する。図中、対応する参照番号は対応する部品を指すものとする。
本発明は、上に多数の半導体デバイス、並びにさらに多数のトランジスタゲート及び/又はスペーサ構造を有するウエハを処理する装置と方法を供する。様々な実施例では、MLMIMO評価ライブラリを生成及び/若しくは使用し、1つ以上の測定手順、1つ以上の堆積手順、1つ以上の部分エッチング手順、1つ以上の完全エッチング(ポリエッチング)手順を有するMLMIMOプロセス手順を実行し、並びに/又はMLMIMOモデル及び関連するプロセス手順を検証する装置と方法が供される。
1つ以上の評価部がウエハ上の様々な場所に供されて良い。そしてその1つ以上の評価部は、MLMIMOモデル及び関連するプロセス手順の評価及び/又は検証に用いられて良い。ウエハは関連するウエハデータを有して良い。そのウエハデータはリアルタイムデータと履歴データを有して良い。それに加えて、ウエハは関連する他のデータを有しても良い。そしてその他のデータは、ゲート構造データ、必要なサイト数、アクセスサイト数、前記サイトについての信頼性及び/若しくは危険性データ、サイトのランキングデータ、搬送手順データ、若しくはプロセス関連データ、若しくは評価/検証関連データ、又はこれらの結合データを有して良い。MLMIMO関係ウエハに関連するデータは、いつどこにウエハを搬送するのかを決定するのに用いることができる搬送手順を有して良い。搬送手順は動作状態データを用いることによって変更可能である。
DOEは、各電位制御ノブのプロセスゲイン、各層に関連する入力と出力との相互作用、及び、層と層とのプロセス制御ループの相互作用とゲインをモデル化するように実行されて良い。各制御ノブと出力との間での相互作用を決定する方法は、モデル安定性-たとえば相対ゲインアレイ-の評価及び最適化に用いられて良い。この情報は、相互作用しない個々のフィードバックループの設定を起動しても良い。
MLMIMOモデル化は、1組の目標(すなわち目標とされた出力)についての最適な入力を計算するのに用いられる。制約条件は、プロセスパラメータ-たとえば時間、気体流、及び層の側での温度-の範囲であって良い。MLMIMOによって、1組の重み付けが、最適化器が、ある所与の時間での現在のプロセス計算よりも、ほとんどの値での出力を優先させるために操作するように与えられる。標的の重み付けが用いられて良い。ここで方程式が重み付けの計算に適用される。この計算は、最適化器が直線的又は非直線的に標的から離れる用に移動することで、実効的に不利益となるような標的及び利得定数を与える。標的は中央の標的又は極限の標的であって良い(所与の値を超えた値-たとえばSWAによる)。
フィードバックは、多重ループの形式をとって良い。フィードバックエラーの計算による目標出力の各々は、実際に予測できないエラーに基づく。MLMIMOによって、各予測出力エラーは、実際のエラーを決定するフィードバック測定により計算され、かつ適合される必要がある。フィードバックフィルタリング法-たとえば指数重み付け移動平均(EWMA)又はカルマンフィルタ-が雑音のフィルタリングに用いられて良い。層の制御装置の出力は適合度を含んで良い。この適合度の値は、カスケード層制御装置の出力として用いられて良い。
MLMIMO制御装置は様々な回数の更新を含んで良い。その理由は、処理工程が実行されることで、その制御装置は、過去の計算、計算誤差、装置の状態変化、又は最新の更新に組み込まれる材料の状態に基づいて新たな更新を作成することが可能となるからである。
多工程手順では、レジストパラメータが測定されるとき、そのパラメータは、フィードフォワード用に用いられて良く、かつ、過去のウエハフィードバック及びチャンバ状態の情報に基づいて重み付けされて良い。ロット初期では、MLMIMOモデルはパターニングされた軟マスク層について既知の値のうち最高の値を用いるように備えられて良く、かつ、これらはこれまでのロットの分布の中心に重みづけられて良い。ロット処理中、エッチング制御層(ECL)又はハードマスク層のパラメータが、重み付け法-たとえばW2Wのばらつきを滑らかにするEWMA-を用いて測定及びフィルタリングされ、フィードバックされ、レジストSWAへ変換され、かつ現時点でのフィードフォワードSWA値を更新するのに用いられて良い。SWAパターン解析関数は2モードパターンをグループ化できるので、2つのスレッドが、データのフィードバック及び/又はフィードフォワードを行うのに用いられてよい。一例では、SWAのW2Wのばらつきはスキャナ台によって一般的に引き起こされる。よって2つのフィードバック/フィードフォワードスレッドが、性能を最適化させるのに維持されて良い。第2例では、リソグラフィ装置からのW2WのCDのばらつきは、ホットプレートによって支配されると考えられる。よって2、3、又は4のばらつきパターンを観察することができる。IM測定がリソグラフィプロセス後に行われるとき、ウエハ処理前にウエハ全体にわたるパターンが確立され、かつウエハがエッチャーへ送られる前に、ウエハCD及びSWAパターンを確立されて良い。2つ以上の処理スレッドが用いられるとき、そのスレッド数は、ウエハの状態に関する項目として加えられて良い。それに加えて、スキャナ及び/又はトラックセル数、スキャナモジュール数、及びホットプレート数が十分なときには、これらの数はまた、ウエハのグループ化、及びリソグラフィ装置からエッチング装置へのフィードフォワードスレッドを設定するのに用いられても良い。あるいはその代わりに、コーター/現像装置の他の組合せが用いられても良い。
状態のグループに基づいてウエハが分類されるとき、そのウエハは、これらのグループ又は手順に基づいて処理されて良い。エッチング装置での処理順序がリソグラフィ装置での処理順序と同一であるとき、現時点でのFB制御装置は、W2Wについてのリソグラフィ装置内部に生じるドリフトとエッチング装置内部でのドリフトを調節するようにプログラムされて良い。
本発明は、上に多数の半導体デバイスを有し、並びに、さらに多数のトランジスタゲート及び/又はスペーサ構造を有するウエハを処理する装置と方法を供する。様々な実施例では、MLMIMO評価ライブラリを生成及び/若しくは使用し、1つ以上の測定手順、1つ以上の堆積手順、1つ以上の部分エッチング手順、1つ以上の全体エッチング(多結晶エッチング)手順を有するMLMIMO処理手順を実行し、並びに/又はMLMIMOモデル及び関連する処理手順を確認する装置と方法が供される。
1つ以上の周期構造が、ウエハ上の様々な位置に供されて良く、かつMLMIMOモデル及び関連する処理手順の評価及び/又は確認に用いられて良い。ウエハは、MLMIMOモデル及び関連する処理手順に関連するウエハデータを有して良い。ウエハデータはリアルタイム及び履歴データを有して良い。それに加えて、ウエハはMLMIMOモデル及び関連する処理手順に関連する他のデータを有して良い。他のデータは、ゲート構造データ、必要なサイト数、アクセスしたサイト数、信頼性データ、1つ以上のサイトについての危険性データ、サイト順位データ、搬送手順データ、若しくは処理関連データ、若しくは評価/確認関連データ、又はこれらの組合せを有して良い。MLMIMO関連のウエハに関連するデータは搬送手順データを有して良い。この搬送手順データは、いつどこでウエハを搬送するのかを設定するのに用いられて良い。搬送手順は、動作状態データを用いることによって変化して良い。
MLMIMOモデルは、必要な用途に基づいて、非常に細かい層に分割されて良い。各層は物理的材料であって良い。層の分離は、材料の変化又は層の境界によって表される。層は複数の層を組み合わせたものであって良い。たとえば、複数の層からなる金属ゲート積層体に、金属ゲート層を覆う層のスペーサを堆積して金属ゲート層を覆う層をエッチングしたものである。
層は、複数の工程を分離するのに用いられる時間又は終点データ(EPD)によってエッチング工程をマッピングして良い。それに加えて、連続的なリアルタイム制御装置は、計測データ、センサ、及びエッチングモデルの組合せからのリアルタイム更新によって動作して良い。
多変数用途のプロセス制御に用いられる解析装置は、単一ループ制御と多変数制御との比較に基づき、ある程度理想化された状況下で、(すべての可能な入出力対について)同一の入出力対の振る舞いに関連する指標と、入出力変数対の間での単一ループ振る舞いの指標との比のアレイとして表される。
MLMIMOモデルは、1組のゴール(標的となる出力)についての最適入力を計算するのに用いられる。制約は、プロセスパラメータ-たとえば時間、気体流、及び層の付近での温度-の範囲であって良い。MLMIMOによって、所与の時間でのプロセス計算へほとんどの値を出力するため、最適化器を優先させるように1組の重みが適用されて良い。最適化器が標的から線形又は非線型的に遠ざかるように移動する場合に実効的に警告する標的及びゲイン定数が与えられるような標的の重みが用いられて良い。その重みの計算には重みの計算に方程式が用いられる。標的は中心標的及び/又は限界標的であって良い(所与の値を超えた値-たとえばSWA)。
フィードバックは多重ループの形態をとって良い。フィードバック誤差の計算による各標的出力の1つは実際に予測しにくい誤差に基づく。MLMIMOによって、予測出力誤差の各々は、計算され、かつ実際の誤差を決定するフィードバック測定と一致する必要がある。フィードバックフィルタリング法-たとえば指数重み付け移動平均(EWMA)又はカルマンフィルタ-が雑音のフィルタリングに用いられて良い。層の制御装置の出力は適合度(GOF)を含んで良い。このGOF値は、カスケード層制御装置の入力として用いられて良い。
ウエハは、1つ以上の上側端部領域、1つ以上の中央領域、及び1つ以上の下側端部領域に区分けされて良い。
プロセス工程が実行されることで、直前の更新に取り入れられている過去の計算、計算エラー、装置状態又は材料状態の変化に基づいて新たな更新が可能となるので、層の制御装置はそれぞれ異なる回数の更新を含んで良い。
部位のサイズが65nmノード未満にまで減少することで、正確な処理及び/又は測定データが、より重要となり、かつより取得することが難しくなる。MLMIMOモデル及び関連する処理手順は、これらの極小デバイス及び部位の正確な処理及び/又は測定に用いられて良い。MLMIMO処理から得られるデータは、警告及び/又は制御限界と比較することが可能で、実行規則が破られるときには処理に問題が生じたことを示す警告が発生し、かつ補正処理がリアルタイムで実行可能となる。
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、MLMIMOモデル及び関連する処理手順で使用可能な1つ以上の処理要素を有して良い。
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、データ搬送サブシステム181を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、計測サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているTactras(商標)の一部であって良い。
リソグラフィサブシステム110は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、1つ以上の制御装置114、及び1つ以上の評価要素115を有して良い。1つ以上の搬送/格納要素112は、1つ以上の処理要素113及び/又は1つ以上の評価要素115と結合して良く、かつ111を介して搬送サブシステム170と結合して良い。搬送サブシステム170は111を介してリソグラフィサブシステム110と結合し、かつ1枚以上のウエハ105は111を介して搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。
実施例によっては、リソグラフィサブシステム110は、1枚以上のウエハに対して、コーティング処理、測定処理、検査処理、位置合わせ処理、及び/又は格納処理を実行して良い。たとえば1つ以上のリソグラフィ関連プロセスは、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の堆積に用いられて良く、かつ1層以上のマスク層の熱処理(ベーキング)に用いられても良い。それに加えて、リソグラフィサブシステム110は、1枚以上のウエハ上の1層以上のマスク層の現像、測定、及び/又は検査に用いられて良い。
スキャナサブシステム120は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、1つ以上の制御装置124、及び1つ以上の評価要素125を有して良い。1つ以上の搬送/格納要素122は、1つ以上の処理要素123及び/又は1つ以上の評価要素125と結合して良く、かつ121を介して搬送サブシステム170と結合して良い。搬送サブシステム170は121を介してスキャナサブシステム120と結合し、かつ1枚以上のウエハ105は121を介して搬送サブシステム170とスキャナサブシステム120との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。
実施例によっては、スキャナサブシステム120は、湿式及び/又は乾式露光処理を実行するのに用いられて良く、また別な実施例では、スキャナサブシステム120は、極紫外(EUV)露光処理を実行するのに用いられて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上のウエハ105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上のウエハ及び/又はウエハの1層以上の層の測定及び/又は検査に用いられて良い。エッチングサブシステム130は、図2A-2F及び図3A-3Fで記載されるように配置されて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上のウエハ105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上のウエハ及び/又はウエハの1層以上の層の測定及び/又は検査に用いられて良い。エッチングサブシステム130は、図2A-2F及び図3A-3Fで記載されるように配置されて良い。
堆積サブシステム140は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、1つ以上の制御装置144、及び1つ以上の評価要素145を有して良い。1つ以上の搬送/格納要素142は、1つ以上の処理要素143及び/又は1つ以上の評価要素145と結合して良く、かつ141を介して搬送サブシステム170と結合して良い。搬送サブシステム170は141を介して堆積サブシステム140と結合し、かつ1枚以上のウエハ105は141を介して搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。たとえば1つ以上の処理要素143は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、ウエハの1つ以上の表面の測定及び/又は検査に用いられて良い。
検査サブシステム150は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、1つ以上の制御装置154、及び1つ以上の評価要素155を有して良い。1つ以上の搬送/格納要素152は、1つ以上の処理要素153及び/又は1つ以上の評価要素155と結合して良く、かつ151を介して搬送サブシステム170と結合して良い。搬送サブシステム170は151を介して検査サブシステム150と結合し、かつ1枚以上のウエハ105は151を介して搬送サブシステム170と検査サブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。
計測サブシステム160は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、1つ以上の制御装置164、及び1つ以上の評価要素165を有して良い。1つ以上の搬送/格納要素162は、1つ以上の処理要素163及び/又は1つ以上の評価要素165と結合して良く、かつ161を介して搬送サブシステム170と結合して良い。搬送サブシステム170は161を介して計測サブシステム160と結合し、かつ1枚以上のウエハ105は161を介して搬送サブシステム170と計測サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。計測サブシステム160は1つ以上の処理要素163を有して良く、1つ以上の処理要素163は、ライブラリに基づく又は回帰分析に基づく手法を用いてウエハ上での1つ以上の位置での標的構造の測定に用いられて良い。たとえばウエハ上の位置には、MLMIMO位置、標的位置、オーバーレイ位置、位置合わせ位置、測定位置、確認位置、検査位置、若しくは損傷評価位置、又はこれらの結合が含まれて良い。たとえば1つ以上の「金のウエハ」又は参照用チップが、1つ以上の処理要素163及び/又は1つ以上の評価要素165の性能の確認のため、周期的に格納及び使用されて良い。
実施例によっては、計測サブシステム160は、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)要素(図示されていない)を有して良い。iODP要素/システムはティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。あるいはその代わりに他の計測システムが用いられても良い。たとえばiODP技術は、限界寸法(CD)データ、ゲート構造データ、及び厚さデータを含むリアルタイムデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP要素は、ODPプロファイラライブラリ要素、プロファイラアプリケーションサーバ(PAS)要素、及びODPプロファイラソフトウエア要素を有して良い。ODPプロファイラライブラリ要素は、光スペクトルについての用途特定データベース要素、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PAS要素は光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PAS要素は、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエア要素は、PAS要素にインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ要素、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。
計測サブシステム160は、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確なCD、及びウエハの複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測処理(iODP)は、サブシステムの一体化された群内での一体化された処理として実行されて良い。それに加えて、一体化された処理は、解析の実行又は外部システムからのデータを長期間待つのにウエハを壊す必要がなくなる。iODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。シミュレーションによる計測データは、マクスウエル方程式を適用して、数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。
搬送サブシステム170は、搬送トラック(175、176、及び177)と結合する搬送要素174を有して良い。搬送トラック(175、176、及び177)は、ウエハの受け取り、ウエハの搬送、ウエハの位置合わせ、ウエハの格納、及び/又はウエハの停留に用いられて良い。たとえば搬送要素174は、2枚以上のウエハを支持して良い。搬送サブシステム170は、ウエハの搬入、搬送、格納、及び/又は搬出を行って良い。その際には、MLMIMOモデル、MLMIMOに関連する処理手順、搬送手順、動作状態、ウエハ及び/又は処理状態、処理時間、現在の時刻、ウエハデータ、ウエハ上での位置の数、ウエハ上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。
いくつかの例では、搬送サブシステム170は、どこにいつウエハを搬送するのかを決定するための搬入出データを用いて良い。他の例では、搬送システムは、どこにいつウエハを搬送するのかを決定するためのMLMIMOモデル化データを用いて良い。あるいはその代わりに他の処理が用いられても良い。たとえばウエハの第1数が利用可能な処理要素の数以下であるとき、その第1数のウエハは、搬送サブシステム170を用いることによって、その第1数の利用可能な処理要素へ搬送されて良い。ウエハの第1数が利用可能な処理要素の数よりも多いとき、一部のウエハは、1つ以上の搬送/格納要素(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
それに加えて、リソグラフィ関連処理、スキャナ関連処理、検査関連処理、測定関連処理、評価関連処理、エッチング関連処理、堆積関連処理、熱処理、コーティング関連処理、位置合わせ関連処理、研磨関連処理、格納関連処理、搬送処理、クリーニング関連処理、再加工関連処理、酸化関連処理、窒化関連処理、若しくは外部処理要素、又はこれらの結合を実行するときには、1つ以上のサブシステム(110、120、130、140、150、160、及び170)が用いられて良い。
動作状態のデータがサブシステム(110、120、130、140、150、160、及び170)について設定され、かつMLMIMO関連の処理手順によって使用及び/又は更新されて良い。それに加えて、動作状態のデータは、搬送/格納要素(112、122、132、142、152、及び162)、処理要素(113、123、133、143、153、及び163)、及び評価要素(115、125、135、145、155、及び165)について設定され、かつMLMIMO関連の処理手順によって更新されて良い。たとえば処理要素についての動作状態を表すデータは、利用可能性のデータ、処理要素についての適合データ、一部の処理工程及び/若しくは位置についての予想された処理時間、処理要素についての歩留まりデータ、信頼性データ及び/若しくは危険性データ、又は、1つ以上のMLMIMO関連処理についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は1つ以上の処理要素及び/又は1つ以上のサブシステムへ問い合わせることによってリアルタイムで取得されて良い。更新された搬入出データは、1つ以上の搬送要素及び/又は1つ以上の搬送サブシステムへ問い合わせることによってリアルタイムで取得されて良い。
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理要素を有するマイクロプロセッサを有して良い。
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部デバイスと結合して良い。
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイムMLMIMO関連処理を実行するときに用いられて良い。制御装置は、MLMIMOモデルからリアルタイムデータを受け取ることで、サブシステム、処理要素、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、手順データ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、ウエハ及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変化するとき、レジストモデルが変化するとき、処理手順が変化するとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
例によっては、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、MLMIMO関連のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理手順データ、及び/又はウエハデータを供しても良い。
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム構成要素は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上の手順を実行する処理システム内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成要素を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。たとえばCD走査電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、光デジタルプロファイロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光計測システムを含む測定用構成要素が供されても良い。サブシステム及び/又は処理用の構成要素は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
1つ以上のサブシステム(110、120、130、140、150、160、及び170)は制御アプリケーション、グラフィカルユーザーインターフェース(GUI)アプリケーション、及び/又はデータベースアプリケーションを実行して良い。それに加えて、1つ以上のサブシステム(110、120、130、140、150、160、及び170)及び/又は制御装置(114、124、134、144、154、164及び190)は、実験計画法(DOE)アプリケーション、高性能プロセス制御(APC)
アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。
MLMIMOモデル化処理からの出力データ及び/又はメッセージは、プロセス正確さ及び精度を最適化する後続の処理に用いられて良い。データは、リアルタイム変数パラメータとして、MLMIMO関連処理へリアルタイムで受け渡されて良い。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、MLMIMO関連処理を最適化して良い。
回帰分析に基づくライブラリ生成処理が用いられるとき、測定されたMLMIMOモデル関連データは、シミュレーションによるMLMIMOモデル関連データと比較されて良い。シミュレーションによるMLMIMOデータは、プロセス関連パラメータに基づいて繰り返し生成されることで、1組のプロセス関連パラメータの収束値を得て良い。その収束値は、測定されたMLMIMOモデル関連データに対して、最も良く一致するシミュレーションによるMLMIMOモデル関連データを生成する。ライブラリに基づく処理が用いられるとき、MLMIMOモデル関連のライブラリが、MLMIMOモデル関連の処理、レシピ、プロファイル、及び/又はモデルを用いて、生成及び/又は改良されて良い。たとえばMLMIMOモデル関連ライブラリは、シミュレーションによる及び/又は測定されたMLMIMO関連データ、並びに対応する処理手順データの組を有して良い。回帰分析に基づく及び/又はライブラリに基づくプロセスは、リアルタイムで実行されて良い。MLMIMO関連ライブラリ用のデータを生成する他の処理には、機械学習システム(MLS)を用いた処理が含まれて良い。たとえばMLMIMO関連ライブラリデータを生成する前に、MLSは既知の入出力データを用いて訓練されて良く、かつMLSはMLMIMO関連ライブラリデータの一部からなる組によって訓練されて良い。
MLMIMOモデルは、一致する条件に出会うときには常に実行される介入及び/又は判断規則を有して良い。介入並びに/又は判断規則及び/若しくは制限は、処理の履歴、使用者の経験、又は処理に関する知識に基づいて設定されて良く、又はホストコンピュータから得られても良い。規則は、警告条件、エラー条件、異常条件、及び/又は警報条件に対してどのように応答するのかを判断する、装置異常検出及び分類(FDC)処理に用いられて良い。規則に基づくFDC処理は、異常を優先させかつ/又は分類し、システム性能を予測し、予防保守スケジュールを予測し、保守のための不稼働時間を減らし、かつシステム内の消耗部品の寿命を延ばすことができる。警告/警報に対して様々な動作が行われて良い。その警告/警報に対して行われる動作は状態に基づく。その状態のデータは、規則、システム/プロセスレシピ、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータの種類によって特定されて良い。
成功しなかった処理手順は、限界を超えるときに、故障を報告することができる。成功した処理手順は、限界に近づいているときに、警告メッセージを生成することができる。処理エラーについて予め特定された行為は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。たとえばMLMIMO関連処理は、測定処理が失敗したときに、ウエハの1つ以上の位置でのデータを拒否して良い。
MLMIMOモデルは、様々な時間及び/又は位置で、孤立及び/又は入れ子構造の生成、修正、及び/又は評価に用いられて良い。たとえばゲート積層構造の大きさ及びウエハの厚さデータは、孤立構造及び/又は入れ子構造付近でそれぞれ異なっていて良い。またゲート積層構造の大きさ及びウエハの厚さデータは、開いた領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。MLMIMOモデルは、孤立構造及び/又は入れ子構造について最適化されたデータを生成して、プロセスレシピ及び/又はプロセス時間を更新及び/又は最適化して良い。
MLMIMOモデルは、終点検出(EPD)データ及びプロセス時間を用いて、正確さを改良して良い。EPDデータがエッチング処理の停止に用いられるとき、EPD時間データ及びプロセス時間データは、エッチング量の推定及び/又は厚さの推定に用いられて良い。
様々な例では、MLMIMOモデル関連の限界は、「金の」処理チャンバ内でMLMIMOモデル関連処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、シミュレーションデータであって良くかつ予測データであって良い。部分エッチング処理の限界は、「金の」処理チャンバ内で部分エッチング処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、MES180から得られて良く、シミュレーションデータであって良くかつ予測データであって良い。部分エッチング処理の限界は、「金の」処理チャンバ内でCORエッチング処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、MES180から得られて良く、シミュレーションデータであって良くかつ予測データであって良い。
図2A-2Gは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を示している。
第1典型的エッチングサブシステム200Aが図2Aに図示されている。図示されたエッチングサブシステム200Aは、プラズマ処理チャンバ210、被処理ウエハ225が上に固定されるウエハホルダ220、ガス注入システム240、及び圧力制御システム257を有する。たとえばウエハホルダ220は、基盤229を用いることによって、プラズマ処理チャンバ210と結合し、かつプラズマ処理チャンバ210から隔離されて良い。ウエハ225はたとえば、半導体ウエハ、試料、又は液晶ディスプレイ(LCD)であって良い。たとえばプラズマ処理チャンバ210は、ウエハ225の表面に隣接する処理領域245内でのプラズマの発生を助けるように備えられていて良い。ここでプラズマは、熱電子と電離可能ガスとの衝突によって生成される。電離可能ガス又は複数の種類のガスの混合物はガス注入システム240を介して導入され、かつ処理圧力が調節される。望ましくは、プラズマは、所定の材料プロセスに特有な材料の生成、及び、ウエハ225への材料の堆積又はウエハ225の露出表面からの材料の除去のいずれかを助けるのに利用される。たとえば制御装置255は、圧力制御システム257及びガス注入システム240の制御装置に利用されて良い。
ウエハ225はたとえば、ロボット搬送システムによって、スロットバルブ(図示されていない)及びチャンバフィードスルー(図示されていない)を介して、プラズマ処理チャンバ210に対して搬入出されて良い。ウエハ225はウエハホルダ220内に格納されたウエハリフトピン(図示されていない)によって受け取られ、かつウエハホルダ220内に格納された装置によって機械的に平行移動される。ウエハ225が搬送システムから受け取られた後、ウエハ225はウエハホルダ220の上面にまで下げられる。
たとえばウエハ225は、静電クランプシステム(図示されていない)によってウエハホルダ220に固定されて良い。さらにウエハホルダ220は温度制御素子227及び温度制御システム228をさらに有して良い。しかもガスはデュアル(中心/端部)背面ガスシステム226を介してウエハの背面へ供給されることで、ウエハ225とウエハホルダ220との間のガスギャップ熱伝導が改善される。デュアル(中心/端部)背面ガスシステムは、昇温又は降温する際にさらなるウエハ温度制御が必要なときに利用されて良い。たとえば温度制御素子227は、冷却素子、抵抗加熱素子、又は熱電ヒーター/冷却器を含んで良い。
図2Aに図示されているように、ウエハホルダ220は下部電極221を有して良い。下部電極221を介して、高周波(RF)出力は、処理領域245内のプラズマと結合することができる。たとえば下部電極221は、RF発生装置230からインピーダンス整合ネットワーク232を介して下部電極221へ、RF出力を伝送することによって、RF電圧で電気的にバイアスがかけられて良い。RFバイアスは、電子を加熱してプラズマを生成及び維持する役割を果たして良い。RFバイアスにとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。
あるいはその代わりに、RF出力は、複数の周波数で下部電極221へ印加されて良い。さらにインピーダンス整合ネットワーク232は、反射出力を最小限に抑制することによって、処理チャンバ210内のプラズマへのRF出力の伝送を最大化するように機能する。様々な整合ネットワーク接続形態及び自動制御法が利用されて良い。
続けて図2Aを参照すると、プロセスガスが、ガス注入システム240を介して、1つ以上の処理領域245へ導入されて良い。プロセスガスはたとえば混合ガスを有して良い。混合ガスとはたとえば、酸化物エッチング用にアルゴン、CF4、及びO2、若しくはアルゴン、C4F8、及びO2、又は、他の化学物質-たとえばO2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、HBr-を有して良い。ガス注入システム240は、ウエハ225への汚染物の導入を減少又は最小限に抑制するように備えられ、かつガス注入プレナム241及び多オリフィスシャワーヘッドガス注入板242を有して良い。たとえばプロセスガスは、ガス供給システム(図示されていない)から供給されて良い。ガス注入システム240は、処理領域245の各異なる領域に対して各異なる流速を供して良い。あるいはその代わりにガス注入システム240は、処理領域245の各異なる領域へ各異なるプロセスガスを供しても良い。
たとえば圧力制御システム257は、毎秒最大5000リットル(以上)の排気能力を有するターボ分子ポンプ(TMP)258、及びチャンバ圧力を制御するゲートバルブ259を有して良い。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPが一般的に用いられている。TMPは、低圧-典型的には50mTorr未満-プロセスにとって有効である。高圧では、TMP排気速度は劇的に低下する。高いプロセス圧力(つまり100mTorrよりも高圧)では、メカニカルブースターポンプ及びドライ粗引きポンプが使用されて良い。さらにチャンバ圧力を監視する装置(図示されていない)が、処理チャンバ210に結合して良い。圧力測定装置はたとえば、MKSインスツルメンツ(MKS Instruments Inc)から販売されている628B型のバラトロン(登録商標)絶対キャパシタンスマノメータであって良い。
図2Aに図示されているように、エッチングサブシステム200Aは、性能データを得るためにプラズマ処理チャンバ210と結合する1つ以上のセンサ250、及び性能データを受け取るためにセンサ250と結合する制御装置255を有して良い。センサ250は、プラズマ処理チャンバ210内部のセンサと、プラズマ処理チャンバ210外部のセンサの両方を有して良い。内部センサは、プラズマ処理チャンバ210の機能に関するこれらのセンサを有して良い。これらのセンサが測定するのは、たとえばヘリウム背面ガス圧、ヘリウム背面流、静電クランプ(ESC)電圧、ESC電流、ウエハホルダ220の温度(又は下部電極(LEL)温度)、冷媒温度、上部電極(UEL)温度、前進RF出力、反射RF出力、自己誘導DCバイアス、RFピーク間電圧、チャンバ壁温度、プロセスガス流速、プロセスガス分圧、チャンバ圧力、キャパシタ設定(つまりC1及びC2の位置)、焦点リング厚さ、RF時間、フォーカスリングRF時間、及びこれらの統計値である。あるいはその代わりに、外部センサは、図2Aに図示された処理領域245内のプラズマから放出される光を監視する1つ以上の光デバイス、及び/又は図2Aに図示されたプラズマ処理システム210の電気システムを監視する1つ以上の電気測定デバイス236を有して良い。光デバイス234は、終点検出器(EPD)として利用可能であってEPDデータを供することのできる光センサを有して良い。たとえば発光分光(OES)センサが用いられて良い。
電気測定デバイス236は、電流及び/若しくは電圧プローブ、パワーメータ、又はスペクトルアナライザを有して良い。たとえば電気測定デバイス236はRFインピーダンスアナライザを有して良い。さらに電気信号-たとえば電圧又は電流の時間経過-の測定は、離散的フーリエ級数表現(周期的な信号を仮定する)を用いることによる、周波数ドメインへの信号変換を可能にする。その後フーリエスペクトル(又は時間変化する信号であれば周波数スペクトル)が監視及び解析されることで、プラズマの状態が特徴付けられて良い。代替実施例では、電気測定デバイス236は、プラズマ処理チャンバ210の外部である放射RF場の測定に有用である。
制御装置255は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、エッチングサブシステム200からの出力を監視するのみならず、エッチングサブシステム200の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。図2Aに図示されているように、制御装置255は、第1RF発生装置230、インピーダンス整合ネットワーク232、ガス注入システム240、圧力制御システム257、背面ガス供給システム226、温度制御システム228、光デバイス234、電気測定デバイス236、及びセンサ250と結合し、かつこれらと情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶された第2レシピに従ってエッチングサブシステム200の上記構成要素と相互作用するのに利用される。
図2Bに図示された典型的実施例では、図2Aを参照しながら説明されたそれらの構成要素に加えて、プラズマ密度の増大及び/又はプラズマ処理の均一性の改善を可能にするため、静磁場又は機械的若しくは電気的に回転する磁場システム260をさらに有して良い。しかも制御装置255は、回転速度及び磁場強度を制御するため、磁場システム260と結合して良い。回転磁場の設計及び実装は当業者には周知である。
図2Cに図示された実施例では、エッチングサブシステム200Cは、図2A又は図2Cの実施例と同様であり、かつ上部電極270をさらに有する。RF出力は、RF発生装置272から光インピーダンス整合ネットワーク274を介して上部電極270へ結合して良い。上部電極へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置255は、上部電極270へのRF出力の印加を制御するため、RF発生装置272及びインピーダンス整合ネットワーク274と結合して良い。上部電極の設計及び実装は当業者にとっては周知である。上部電極270及びガス分配システム240は、図示されているように互いに結合して良い。
図2Dに図示された実施例では、エッチングサブシステム200Dは、図2A及び図2Bの実施例と同様であり、かつ誘導コイル280をさらに有する。RF出力は、RF発生装置282によって光インピーダンス整合ネットワーク284を介して誘導コイル280へ結合して良い。RF出力は、誘導コイル280から誘電体窓(図示されていない)を介してプラズマ処理領域245と誘導結合して良い。誘導コイル280へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。同様に下部電極へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)は、誘導コイル280とプラズマとの間の容量結合を減少させるように用いられて良い。しかも制御装置255は、誘導コイル280へのRF出力の印加を制御するため、RF発生装置282及びインピーダンス整合ネットワーク284と結合して良い。
代替実施例(図示されていない)では、「螺旋」又は「パンケーキ」コイル形態が、誘導コイルに用いられて良い。誘導結合プラズマ(ICP)源、又はトランス結合プラズマ(TCP)源の設計及び実装は当業者にとっては周知である。
図2Eに図示された実施例では、エッチングサブシステム200Eはたとえば、図2A、図2B、図2C、及び図2Dの実施例と同様であり、かつ第2RF発生装置235をさらに有する。第2RF発生装置は、RF出力を、他の光インピーダンス整合ネットワーク237を介してウエハホルダ220へ結合するように備えられていて良い。ウエハホルダ220へRF出力を印加する際の典型的周波数は、第1RF発生装置及び/又は第2RF発生装置については、約0.1MHzから約200MHzの範囲であって良い。第2RF発生装置235のRF周波数は、第1RF発生装置230のRF周波数よりも相対的に大きくて良い。さらに、第1RF発生装置230からのウエハホルダ220へのRF出力は振幅変調であって良く、かつ/あるいは、第2RF発生装置235からのウエハホルダ220へのRF出力は振幅変調であって良い。望ましくは、より高い周波数でのRF出力は振幅変調である。しかも制御装置255は、ウエハホルダ220へのRF出力の印加を制御するため、RF発生装置235及びインピーダンス整合ネットワーク237と結合して良い。ウエハホルダ用のRFシステムの設計及び実装は当業者にとっては周知である。
図2Fに図示された実施例では、エッチングサブシステム200Fはたとえば、図2A及び図2Eの実施例と同様であり、かつ表面波プラズマ(SWP)源285をさらに有する。SWP源285はスロットアンテナ-たとえばラジアルラインスロットアンテナ(RLSA)-をさらに有して良い。マイクロ波出力は、マイクロ波発生装置によって、光インピーダンス整合ネットワーク287を介してスロットアンテナへ結合して良い。
図2Gに図示された実施例では、エッチングサブシステム200Gは図2Cの実施例と同様であり、かつ分離した上部電極(270a,270b)をさらに有して良い。RF出力は、RF発生装置272から、インピーダンス整合ネットワーク274及び出力分離装置290を介して、分離した上部電極(270a,270b)と結合して良い。分離した上部電極(270a,270b)へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極221へ出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置255は、上部電極270へのRF出力の印加を制御するため、RF発生装置272及びインピーダンス整合ネットワーク274と結合して良い。出力分離装置及び分離した上部電極は、様々なRF出力レベルを、処理領域245の中心及び端部へ供することで、処理領域245内でのプラズマの発生及び制御を助けるように設計及び構成されて良い。分離した上部電極(270a,270b)及びガス注入システム240は、図示されているように相互に結合して良いし、又は他の構成が用いられても良い。
図3A-3Gは、本発明の実施例によるエッチングサブシステムの追加実施例を図示している。図3A-3Gは、図2A-2Gに図示された典型的エッチングサブシステム200A-200Gと同様であるが、エッチングサブシステム300A-300Gは、少なくとも1つのDC電極305及び少なくとも1つのDC電源306を有する。
パターンエッチング中、電子を加熱し、かつそれに続いてプロセスガスの原子及び/又は分子組成物を電離及び/又は分解するため、ドライプラズマエッチングプロセスが通常は利用され、プラズマは、電磁(EM)エネルギー-たとえば高周波(RF)出力-をプロセスガスと結合させることによって、プロセスガスから生成される。それに加えて、負の高電圧直流(DC)電源は、RFサイクルの一部の間、つまり結合RF出力の正である半周期の間、ウエハ表面に衝突する活性の(弾道)電子ビームを生成するため、プラズマ処理システムと結合して良い。弾道電子ビームは、たとえば(エッチングされる)下地薄膜とマスク層との間のエッチング選択性を改善し、電子シェーディング損傷のような電荷損傷を減少させることによって、ドライプラズマエッチング処理の特性を改善して良い。弾道電子ビームの発生に関する他の詳細は、特許文献1及び特許文献2に開示されている。一般的には、弾道電子ビームは、図3A-3Gに図示されているように、様々な種類のプラズマ処理システム内で実装されて良い。
DC電極305は、シリコン含有材料及び/又はドーピングされたシリコン含有材料を有して良い。DC源306は可変DC電源を有して良い。それに加えてDC源306は双極性DC電源を有して良い。DC電源306は、そのDC電源306の極性、電流、電圧、及び/若しくはオン/オフ状態の監視、調節、並びに/又は制御のうちの少なくとも1つを実行するように備えられていて良い。一旦プラズマが生成されると、DC電源306は弾道電子ビームの生成を補助する。電気フィルタは、DC電源306からRF出力を切り離すのに利用されて良い。
たとえばDC電源306によってDC電極305へ印加されるDC電圧は、約-2000ボルト(V)から約1000ボルト(V)の範囲であって良い。望ましくはDC電圧の絶対値は約100V以上の値を有し、より望ましくはDC電圧の絶対値は約500V以上の値を有する。それに加えてDC電圧は負の極性を有することが望ましい。さらに、DC電圧は、自己バイアス電圧よりも大きな絶対値を有する負の電圧であることが望ましい。
代替実施例では、化学酸化物除去(COR)サブシステム(図示されていない)が、酸化多結晶シリコン材料の除去又は削除に用いられて良い。それに加えて、CORサブシステムは、酸化物マスク層の除去又は削除に用いられて良い。たとえばCORサブシステムは、ウエハ上の露出表面層-たとえば酸化物表面層-を化学処理する化学処理モジュール(図示されていない)を有して良い。露出表面上の処理化学物質の吸着は、表面層の化学的な変化に影響を及ぼす。それに加えてCORサブシステムは、ウエハを熱処理する熱処理モジュール(図示されていない)を有して良い。ここでウエハ上の化学的に変化した露出表面層を脱離(又は蒸発)させるため、ウエハ温度を上昇させる。
図4は、本発明の実施例による典型的な多層/多入力/多出力(MLMIMO)モデルの最適化及び制御法の単純化されたブロック図を示している。図示されたMLMIMOモデルの方法では、第1パターニングされたゲート積層体401と後処理されたゲート積層体405の一部の典型的な像が図示されている。第1パターニングされたゲート積層体401のソフトマスク層は、1つ以上のソフトマスク部位のCD402及び1つ以上のソフトマスク部位の側壁角(SWA)403を有して良い。第1パターニングされたゲート積層体401は第1組のパラメータ404を用いることによって特徴付けられて良い。第1組のパラメータ404は、中心及び端部のプロファイルデータアイテム、中心及び端部厚さ(Thick C/E)データアイテム、CD中心部データアイテム、CD端部データアイテム、SWA中心部データアイテム、及びSWA端部データアイテムを含んで良い。あるいはその代わりに、異なる組のパラメータが用いられても良い。後処理されたゲート積層体405は1つ以上のCD406及び1つ以上のSWA407を含んで良い。後処理されたゲート積層体405は第2組のパラメータ408を用いることによって特徴付けられて良い。第2組のパラメータ408は、中心及び端部金属ゲートデータアイテム、中心及び端部ハードマスクデータアイテム、中心及び端部ハードマスクデータアイテム、1層以上のSi含有層についての中心及び端部データアイテム、SWA中心部データアイテム、並びにSWA端部データアイテムを含んで良い。あるいはその代わりに、異なる組のパラメータが用いられても良い。
図示された方法では、第1集積計測(IM)装置(第1ODP-IM)用制御装置/モデル410は1つ以上のエッチング装置用制御装置/モデル420と結合して良く、かつ1つ以上のP-E用制御装置/モデル420は1つ以上の洗浄/アッシング装置用制御装置/モデル421と結合して良い。1つ以上の洗浄/アッシング装置用制御装置/モデル421は、1つ以上の金属ゲートエッチング(MGE)用制御装置/モデル422と結合して良い。1つ以上の金属ゲートエッチング(MGE)用制御装置/モデル422は、1つ以上の出力計測装置(第2ODP-IM)用制御装置/モデル430と結合して良い。
第1計測(IM)装置(第1ODP-IM)用制御装置/モデル410はデータ412を受け取り、かつフィードフォワードデータ(411,415)を供して良い。第2計測装置(第2ODP-IM)用制御装置/モデル430はデータ431を受け取り、かつフィードバックデータ435を供して良い。一部の例では、ウエハ間フィードフォワードデータ(W2W FF)415は第1ODP-IM制御装置/モデル410と関連づけられ、かつウエハ間フィードバックデータ(W2W FB)435は第2ODP-IM制御装置/モデル430と関連づけられて良い。それに加えて、1つ以上の制御装置/モデル(420,421,422)は、ウエハ間(W2W)を基礎とするゲート積層体のプロファイル制御425、及びウエハ内(WiW)を基礎とするゲート積層体プロファイルの制御、及びウエハ内(WiW)を基礎とするゲート積層体プロファイルの制御に用いられて良い。
データアイテム416は、ウエハ中心部及びウエハ端部でのエッチングバイアスの計算に用いることのできる第1計算用構成要素440へ送られて良い。第1組の標的パラメータ441は第1計算用構成要素440へ供され、かつ第2組のフィルタ出力471は第1計算用構成要素440へ供されて良い。第1計算用構成要素440からの出力データアイテム442は1つ以上のMLMIMOモデル最適化器450へ供されて良い。
1つ以上のMLMIMOモデル最適化器450には、装置の制限、レシピの制限、及び/又は時間の制限を含む制約パラメータ451が供されて良い。図示された例では、制約パラメータ451は工程に基づくプロセスガス制限を含んで良い。1つ以上のMLMIMOモデル最適化器450は、1つ以上の装置用制御装置/モデル(420,421,422)へ送ることの可能な1組以上のレシピ/チャンバパラメータ456を決定して良い。
1つ以上の装置用制御装置/モデル(420,421,422)は予測データアイテム427の計算に用いられて良い。予測データアイテム427は、1つ以上の予測エッチングバイアス、1つ以上の予測SWAバイアス、1つ以上のエッチング用レシピについての1つ以上の予測工程アイテム、及び1つ以上のエッチング用レシピについての1種類以上の予測プロセスガス流を有して良い。
1つ以上の第2ODP-IM制御装置/モデル430は1つ以上の実際の出力433を1つ以上の比較用構成要素460へ供して良い。1つ以上の実際の出力433は1つ以上の予測データアイテム427と比較されて良い。1つ以上の比較用構成要素460からの1つ以上のエラー値465は1つ以上のEWMAフィルタ470へ供されて良い。
1つ以上のEWMAフィルタ470は1つ以上の第1のフィルタリングされた出力471を第1計算用構成要素440へ供して良い。1つ以上のEWMAフィルタ470は1つ以上の第2のフィルタリングされた出力472を1つ以上の重み付け用構成要素480へ供して良い。EWMAフィルタ470の各々は単一のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。あるいはその代わりにEWMAフィルタ470の各々は多数のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。1つ以上の重み付け用構成要素480は、1つ以上のMLMIMOモデル最適化器450から1つ以上の標的データアイテム445及び1つ以上のフィードバックデータアイテム455を受け取って良い。それに加えて、1つ以上の重み付け用構成要素480は、1つ以上の動的に変化する重み付け入力481を1つ以上のMLMIMOモデル最適化器450へ供して良い。フィードバックエラーに基づく動的重み付けの考え方は、最適化器に、最も重要な制御変数-動作時での制御システムの手動調節の自動化-を良好に制御するという目標によって、重み付け(再バランス)を優先させることである。
一部の実施例では、制御用に用いられる操作変数及び/又は外乱変数は、以下の方法によるランタイム処理中に動的にモデル化及び更新可能な計算値を有して良い。上記以下の方法とは次のようなものである。
1) モデル化処理が、OESセンサデータと制御変数(CV)を「対にする」基本モデル関係によって開始できる。たとえば、原子状O又はFの量はOESからの追跡ガスデータを用いて計算することが可能で、かつ消費される原子状O又はFの量はCD又はSWAの予測に用いられて良い。これは、フィードバック更新ループであって良いし、又はエッチング工程中でのリアルタイム調節であって良い。
2) ウエットエッチング実行後、条件設定又は製造中に処理される第1のパターニングされたゲート積層体は、この追跡ガスモデルの計算及び更新に用いられる。
3) RGA法が実行時に用いられて良い。その際、単なる値の計算に代わって、パターニングされたウエハを製造して、センサデータとCVフィードバックとの関係をいつ用いるのかを評価する。所与のCV値についてのRGA行列は、センサに基づくMVの値が、リアルタイムCV値として用いられるリソグラフィから得られるCVよりも大きいか否かを決定するために再評価される。
4) それに加えて、OES信号を用いた中心から端部へのセンサによる検出-変化率は、オーバーエッチングによる中心から端部へのこぶ-たとえばO2流、温度、上部出力、圧力-を調節することによって、均一性を改善(これまでのエッチング工程の不均一性を補正)するオーバーエッチングレシピ設定を調節するものと一般的に解される例として用いられても良い。IM CVは、現在のチャンバの中心から端部へのエッチング速度から入ってくる-つまりBARC-厚さを分離する、入ってくるウエハの膜厚である。
実施例によっては、ポリエッチング(P-E)手順又は金属ゲートエッチング(MGE)手順によって作製される様々な部位に係る制御変数は、中心のCD及びSWAの値、中間のCD及びSWAの値、端部のCD及びSWAの値、極端部のCD及びSWAの値であって良い。このことは、ウエハ上の4つ以上の位置において合計で8箇所のIM測定を必要とする。事前及び事後のIM測定が、動的サンプリングを用いて行われて良い。
他の実施例では、操作変数は、ウエハホルダ内の1つ以上の領域への背面ガス流を含んで良く、かつ、その背面ガス流は、入力されるCV要件に基づき、自然の状態で放射状ではないウエハ領域を調節することによって、ウエハ内プロセスの均一性を改善するために動的背面ガス温度制御を供するプロセス中に動的に制御されて良い。
さらに他の実施例では、操作変数は、端部ガス注入流速を有して良い。この方法もまた、ウエハ端部での欠損問題を緩和し、かつ入力される信号及びチャンバ状態に基づいて、端部欠損を制御変数にするのに用いられて良い。
MLMIMOモデルの一部では、相互作用の項が、オフラインでのトリガとなる計算更新処理中にロット間で更新されて良い。たとえば、クロス項の計算更新は、クロス項の変化に対する現在のシステムの感度をチェックし、かつ1組の事前に定義されたデルタオフセットを実行してクロス項の調節が平均的な制御を改善するか否かを判断することをトリガとして行われて良い。RGAはこの計算にも用いられて良い。トリガとなるイベントは、MLMIMOモデルに適合するフィードバック更新を行うのに用いられて良い。たとえば、適合フィードバックは、チャンバ間でMLMIMOモデルを複製し、かつMLMIMOモデルを新たなチャンバ挙動に適合させることを可能にするときに用いられて良い。新たな用途は新製品がリリースされるときに生じる。旧製品の式がモデルを開始するのに用いられるとき、多くのウエハの製造後、モデルの更新が引き起こされて、新たなモデルが修正され、そのモデルが使用可能となり、かつ性能が監視される。
図5は、本発明の実施例による金属ゲート構造を作製する多工程処理手順の典型図を示している。図示された実施例では、6つの典型的なゲート積層体(501-506)が図示されているが、これは本発明にとって必須というわけではない。あるいはその代わりに異なる構成を有する異なる数のゲート積層体が用いられても良い。
一部の実施例では、多層金属ゲート積層体(図5の501、502、503、504、505、506)が、ポリエッチング(P-E)処理手順及び金属ゲートエッチング(MGE)処理手順を用いることによって作製されて良い。たとえば、P-Eエッチング処理手順は、Si-ARC層エッチング処理、エッチング制御層(ECL)エッチング処理、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、及びアッシング処理を有して良い。それに加えて、金属ゲートエッチング(MGE)処理手順は、「ブレークスルー」(BT)エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。
第1ゲート積層体501が図示されている。第1ゲート積層体501は、ウエハ層510、金属ゲート層515、第3ハードマスク層520、第1シリコン含有層525、第2シリコン含有層530、第2ハードマスク層535、ゲート幅制御層540、第1ハードマスク層545、及びソフトマスク部位550のパターンを有する。たとえば、ウエハ層510は半導体材料を有して良く、金属ゲート層515はHfO2を有して良く、第3ハードマスク層520はTiNを有して良く、第1シリコン含有層525はSiNを有して良く、第2ハードマスク層535はテトラオルソシリケート(TEOS)[Si(OC2H5)4]を有して良く、ゲート幅制御層540はODLを有して良く、第1ハードマスク層545はSi-ARC材料を有して良く、かつ、ソフトマスク部位550はフォトレジスト材料を有して良い。
第1MLMS処理手順はモデル(560-570)を用いてモデル化されて良い。そのモデル(560-570)は、搬送手段575を用いることによって外乱変数(DV)データをやり取りし、かつ搬送手段585を用いることによって制御変数(CV)をやり取りして良い。そのモデル(560-570)は、ここで述べたエッチング処理に関連するMVデータ、DVデータ、及びCVを受け取り、処理し、かつ/又は送って良い。
第1モデル560は、第1ゲート積層体501の第1集積計測(IM)モデルであって良く、かつ第1ODPモデルを有して良い。第1モデル560は、ソフトマスク(フォトレジスト)部位550のプロファイルデータを決定するのに用いられて良い。
第2ゲート積層体502が図示されている。第2ゲート積層体502は、ウエハ層510、金属ゲート層515、第3ハードマスク層520、第1シリコン含有層525、第2シリコン含有層530、第2ハードマスク層535、ゲート幅制御層540、第1ハードマスク部位545a、及びエッチングされたソフトマスク部位550aを有する。たとえば、ウエハ層510は半導体材料を有して良く、金属ゲート層515はHfO2を有して良く、第3ハードマスク層520はTiNを有して良く、第1シリコン含有層525はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層535はSiNを有して良く、第2ハードマスク層535はTEOSを有して良く、ゲート幅制御層540はエッチング制御材料を有して良く、第1ハードマスク部位545aはSi-ARC材料を有して良く、かつ、エッチングされたソフトマスク部位550は、第1ハードマスク部位545aのパターンを生成するのに用いられて良い。
上に第1ゲート積層体501を有するパターニングされたウエハが第1エッチング処理を用いてエッチングされることで、上に第2ゲート積層体502を有するパターニングされたウエハが生成されて良い。実施例によっては、Si-ARC層エッチング処理が用いられて良い。あるいはその代わりに、他のエッチング処理が用いられても良い。第1エッチング処理について1つ以上の第1エッチングモデル561が生成されて良い。
Si-ARC層エッチング処理中、チャンバ圧力は約12mTから約18mTの範囲であって良く、上部出力は約450Wから約550Wまで変化して良く、下部出力は約90Wから約110Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、テトラフルオロメタン(CF4)の流速は約60sccmから約100sccmまで変化して良く、トリフルオロメタン(CHF3)の流速は約40sccmから約60sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約10℃から約30℃まで変化して良く、ウエハホルダの中心での温度は約12℃から約20℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約15Torrから約25Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約60秒から約90秒まで変化して良い。
第3モデル562は、第2ゲート積層体502の第2集積計測(IM)モデルであって良く、かつ第2ODPモデルを有して良い。第2ODPモデル562は、エッチングされたフォトレジスト部位550a及び第1ハードマスク部位545aのプロファイルデータを決定するのに用いられて良い。
第3ゲート積層体503が図示されている。第3ゲート積層体503は、ウエハ層510、金属ゲート層515、第3ハードマスク層520、第1シリコン含有層525、第2シリコン含有層530、第2ハードマスク層535、ゲート幅制御部位540b、及びエッチングされた第1ハードマスク部位545bを有する。たとえば、ウエハ層510は半導体材料を有して良く、金属ゲート層515はHfO2を有して良く、第3ハードマスク層520はTiNを有して良く、第1シリコン含有層525はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層535はSiNを有して良く、第2ハードマスク層535はTEOSを有して良く、ゲート幅制御部位540bはエッチングされたODLを有して良く、エッチングされた第1ハードマスク部位545bはエッチングされたSi-ARC材料を有して良い。第2エッチング処理中、エッチングされた第1ハードマスク部位545aのパターンは、エッチングされたゲート幅制御部位540bのパターンの生成に用いられて良い。
上に第2ゲート積層体502を有するパターニングされたウエハが第2エッチング処理を用いてエッチングされることで、上に第3ゲート積層体503を有するパターニングされたウエハが生成されて良い。実施例によっては、エッチング制御層(ECL)エッチング処理が用いられて良い。あるいはその代わりに、他のエッチング処理が用いられても良い。第2エッチング処理について1つ以上の第2エッチングモデル563が生成されて良い。
エッチング制御層(ECL)エッチング処理中、チャンバ圧力は約15mTから約25mTの範囲であって良く、上部出力は約450Wから約550Wまで変化して良く、下部出力は約90Wから約110Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、O2の流速は約30sccmから約50sccmまで変化して良く、CO2の流速は約70sccmから約90sccmまで変化して良く、HBr流速は約25sccmから約35sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約10℃から約30℃まで変化して良く、ウエハホルダの中心での温度は約12℃から約20℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約15Torrから約25Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約90秒から約130秒まで変化して良い。
第5モデル564は、第3ゲート積層体503の第3集積計測(IM)モデルであって良く、かつ第3ODPモデルを有して良い。第3ODPモデル564は、ゲート幅制御部位540b及びエッチングされた第1ハードマスク部位545bのプロファイルデータを決定するのに用いられて良い。
第4ゲート積層体504が図示されている。第4ゲート積層体504は、ウエハ層510、金属ゲート層515、第3ハードマスク層520、第1シリコン含有層525、第2シリコン含有層530、及び第2ハードマスク部位535cを有する。たとえば、ウエハ層510は半導体材料を有して良く、金属ゲート層515はHfO2を有して良く、第3ハードマスク層520はTiNを有して良く、第1シリコン含有層525はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層535はSiNを有して良く、第2ハードマスク部位535cはTEOS材料を有して良い。第3エッチング処理中、エッチングされた第1ハードマスク部位545aのパターンは、エッチングされたゲート幅制御部位540bのマスク部位535cの生成に用いられて良い。
第7モデル566は、第4ゲート積層体504の第4集積計測(IM)モデルであって良く、かつ第4ODPモデルを有して良い。第4ODPモデル564は、第2ハードマスク部位535cのプロファイルデータを決定するのに用いられて良い。
上に第3ゲート積層体503を有するパターニングされたウエハが第3エッチング処理を用いてエッチングされることで、上に第4ゲート積層体504を有するパターニングされたウエハが生成されて良い。実施例によっては、TEOSエッチング処理、TEOS OEエッチング処理、及びアッシング処理を有するエッチングTEOS層(ECL)エッチング処理が用いられて良い。あるいはその代わりに、他のエッチング処理が用いられても良い。TEOSエッチング処理について1つ以上の第3エッチングモデル565が生成されて良い。
TEOS層エッチング処理中、チャンバ圧力は約35mTから約45mTの範囲であって良く、上部出力は約550Wから約650Wまで変化して良く、下部出力は約90Wから約110Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、CF4の流速は約40sccmから約60sccmまで変化して良く、CHF3の流速は約40sccmから約60sccmまで変化して良く、O2の流速は約3sccmから約7sccmまで変化して良く、上部チャンバ温度は約30℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約30℃から約50℃まで変化して良く、ウエハホルダの中心での温度は約25℃から約35℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約15Torrから約25Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約50秒から約90秒まで変化して良い。
TEOS OEエッチング処理中、チャンバ圧力は約35mTから約45mTの範囲であって良く、上部出力は約550Wから約650Wまで変化して良く、下部出力は約90Wから約110Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、CF4の流速は約40sccmから約60sccmまで変化して良く、CHF3の流速は約40sccmから約60sccmまで変化して良く、O2の流速は約3sccmから約7sccmまで変化して良く、上部チャンバ温度は約30℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約30℃から約50℃まで変化して良く、ウエハホルダの中心での温度は約25℃から約35℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約15Torrから約25Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約5秒から約10秒まで変化して良い。
アッシング処理中、チャンバ圧力は約125mTから約175mTの範囲であって良く、上部出力は約350Wから約450Wまで変化して良く、下部出力は約20Wから約30Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、O2の流速は約430sccmから約470sccmまで変化して良く、上部チャンバ温度は約30℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約70℃から約80℃まで変化して良く、ウエハホルダの中心での温度は約70℃から約80℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約15Torrから約25Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約150秒から約210秒まで変化して良い。
第5ゲート積層体505が図示されている。第5ゲート積層体505は、ウエハ層510、金属ゲート層515、エッチングされた第3ハードマスク層部位520d、エッチングされた第1シリコン含有層部位525d、エッチングされた第2シリコン含有層部位530d、及びエッチングされた第2ハードマスク層部位535dを有する。たとえば、ウエハ層510は半導体材料を有して良く、金属ゲート層515はHfO2を有して良く、エッチングされた第3ハードマスク層部位520dはTiNを有して良く、エッチングされた第1シリコン含有層部位525dはアモルファスシリコン(a-Si)を有して良く、エッチングされた第2シリコン含有層部位530dはSiNを有して良く、エッチングされた第2ハードマスク層部位535dはTEOS材料を有して良い。第4エッチング処理中、洗浄手順が実行されて良く、かつ残されたゲート幅制御層材料540cが除去されて良い。
上に第4ゲート積層体504を有するパターニングされたウエハが第4エッチング処理を用いてエッチングされることで、上に第5ゲート積層体505を有するパターニングされたウエハが生成されて良い。実施例によっては、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、及びチタン窒化物(TiN)エッチング処理を有することのできる第1ハードマスクエッチング手順が用いられて良い。あるいはその代わりに、他のエッチング、アッシング、又は洗浄処理が用いられても良い。第1ハードマスクエッチング手順について1つ以上の第4エッチングモデル567が生成されて良い。
BTエッチング処理中、チャンバ圧力は約8mTから約12mTの範囲であって良く、上部出力は約600Wから約700Wまで変化して良く、下部出力は約175Wから約200Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、CF4の流速は約120sccmから約150sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約10℃から約30℃まで変化して良く、ウエハホルダの中心での温度は約60℃から約70℃まで変化して良く、ウエハホルダ端部での温度は約8℃から約12℃まで変化して良く、ウエハホルダの中心背面圧は約8Torrから約12Torrまで変化して良く、ウエハホルダの端部背面圧は約27Torrから約33Torrまで変化して良く、かつ処理時間は約5秒から約15秒まで変化して良い。
MEエッチング処理中、チャンバ圧力は約8mTから約12mTの範囲であって良く、上部出力は約120Wから約150Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、O2の流速は約2sccmから約6sccmまで変化して良く、HBrの流速は約220sccmから約280sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約10℃から約30℃まで変化して良く、ウエハホルダの温度は約60℃から約70℃まで変化して良く、ウエハホルダの中心背面圧は約8Torrから約12Torrまで変化して良く、ウエハホルダの端部背面圧は約8Torrから約12Torrまで変化して良く、かつ処理時間は約50秒から約70秒まで変化して良い。
OEエッチング処理中、チャンバ圧力は約8mTから約12mTの範囲であって良く、上部出力は約120Wから約150Wまで変化して良く、下部出力は約20Wから約40Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、O2の流速は約2sccmから約6sccmまで変化して良く、HBrの流速は約220sccmから約280sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約60℃から約80℃まで変化して良く、ウエハホルダの温度は約60℃から約70℃まで変化して良く、ウエハホルダの中心背面圧は約8Torrから約12Torrまで変化して良く、ウエハホルダの端部背面圧は約8Torrから約12Torrまで変化して良く、かつ処理時間は約20秒から約30秒まで変化して良い。
TiNエッチング処理中、チャンバ圧力は約8mTから約12mTの範囲であって良く、上部出力は約180Wから約220Wまで変化して良く、下部出力は約40Wから約60Wまで変化して良く、ESC電圧は約2500Vに設定されて良く、塩素(Cl2)の流速は約12sccmから約18sccmまで変化して良く、Arの流速は約180sccmから約220sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約50℃から約70℃まで変化して良く、下部チャンバ温度は約60℃から約80℃まで変化して良く、ウエハホルダの温度は約60℃から約70℃まで変化して良く、ウエハホルダの中心背面圧は約8Torrから約12Torrまで変化して良く、ウエハホルダの端部背面圧は約8Torrから約12Torrまで変化して良く、かつ処理時間は約50秒から約80秒まで変化して良い。
第9モデル568は、第5ゲート積層体505の第5集積計測(IM)モデルであって良く、かつ第5ODPモデルを有して良い。第5ODPモデル568は、洗浄された第3ハードマスク部位520d、洗浄された第1シリコン含有層部位525d、洗浄された第2シリコン含有層部位530d、洗浄された第2ハードマスク部位535dのプロファイルデータを決定するのに用いられて良い。
第6ゲート積層体506が図示されている。第6ゲート積層体506は、ウエハ層510、及び金属ゲート層部位515eを有する。第5エッチング処理中、第3ハードマスク層部位520d、第1シリコン含有層部位525d、第2シリコン含有層部位530d、及び第2ハードマスク層部位535dがエッチングされることで、金属ゲート層部位515eのパターンが作製されて良い。
上に第5ゲート積層体505を有するパターニングされたウエハが第5エッチング処理を用いてエッチングされることで、上に第6ゲート積層体506を有するパターニングされたウエハが生成されて良い。実施例によっては、金属層(HK)エッチング処理を有することのできる第2ハードマスクエッチング手順が用いられて良い。あるいはその代わりに、他のエッチング、アッシング、又は洗浄処理が用いられても良い。第2ハードマスクエッチング手順について1つ以上の第5エッチングモデル569が生成されて良い。
HKエッチング処理中、チャンバ圧力は約8mTから約12mTの範囲であって良く、上部出力は約550Wから約650Wまで変化して良く、ESC電圧は約500Vに設定されて良く、三塩化ボロン(BCl3)の流速は約120sccmから約180sccmまで変化して良く、上部チャンバ温度は約70℃から約90℃まで変化して良く、チャンバ壁温度は約40℃から約60℃まで変化して良く、下部チャンバ温度は約60℃から約80℃まで変化して良く、かつ処理時間は約30秒から約40秒まで変化して良い。
第11モデル570は、第6ゲート積層体506の第6集積計測(IM)モデルであって良く、かつ第6ODPモデルを有して良い。第6ODPモデル570は、金属ゲート層部位515eのプロファイルデータを決定するのに用いられて良い。
プロセスの開発中に、実験計画(DOE)法が、モデル(560-570)の基本セットの検討、及び還元MLMIMOモデルの組の開発に用いられて良い。
図6は、本発明の実施例による金属ゲート構造を作製する第2多工程処理手順の典型的な図を示している。図示された実施例では、3つの典型的なゲート積層体(601-603)が図示されているが、これは本発明にとって必須ではない。あるいはその代わりに異なる数のゲート積層体、異なる数のモデル、及び異なる構成が用いられても良い。
実施例によっては、多層金属ゲート積層体(図6の601、602、及び603)が、第1多層多工程(MLMS)処理手順、及び第2MLMS処理手順を用いることによって作製されて良い。たとえば、第1MLMS処理手順は、上述した、Si-ARC層エッチング処理及びエッチング制御層(ECL)エッチング処理を有して良い。それに加えて、第2MLMS処理手順は、上述した、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、アッシング処理、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。
第1ゲート積層体601が図示されている。第1ゲート積層体601は、ウエハ層610、金属ゲート層615、第3ハードマスク層620、第1シリコン含有層625、第2シリコン含有層630、第2ハードマスク層635、ゲート幅制御層640、第1ハードマスク層645、及びソフトマスク部位650のパターンを有する。たとえば、ウエハ層610は半導体材料を有して良く、金属ゲート層615はHfO2を有して良く、第3ハードマスク層620はTiNを有して良く、第1シリコン含有層625はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層630はSiNを有して良く、第2ハードマスク層635はTEOSを有して良く、ゲート幅制御層640はODLを有して良く、第1ハードマスク層645はSi-ARC材料を有して良く、かつ、ソフトマスク部位650はフォトレジスト材料を有して良い。
第1ゲート積層体601について第1ODPモデル660が設定されて良い。第1ODPモデル660は、フォトレジスト部位650のプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。第1ODPモデル660は、DVデータをMLMIMOモデル661に供して良い。
上に第1ゲート積層体601を有するパターニングされたウエハが第1エッチング処理を用いてエッチングされることで、上に第2ゲート積層体602を有するパターニングされたウエハが生成されて良い。たとえば、第1MLMS処理手順は、上述した、Si-ARC層エッチング処理及びエッチング制御層(ECL)エッチング処理を有して良い。
第1MLMS処理手順はMLMIMOモデル661を用いてモデル化されて良い。そのMLMIMOモデル661は、搬送手段675を用いることによって測定変数(MV)データをやり取りし、搬送手段680を用いることによって外乱変数(DV)をやり取りし、かつ搬送手段685を用いることによって制御変数(CV)データをやり取りして良い。MLMIMOモデル661は、上述した、Si-ARC層エッチング処理及びエッチング制御層(ECL)に関連するMVデータ、DVデータ、及びCVデータを有して良い。そのモデル(660-664)は、ここで述べたエッチング処理に関連するMVデータ、DVデータ、及びCVを受け取り、処理し、かつ/又は送って良い。
第2ゲート積層体602が図示されている。第2ゲート積層体602は、ウエハ層610、金属ゲート層615、第3ハードマスク層620、第1シリコン含有層625、第2シリコン含有層630、第2ハードマスク層635、エッチングされたゲート幅制御部位640a、及びエッチングされた第1ハードマスク部位645aを有する。たとえば、ウエハ層610は半導体材料を有して良く、金属ゲート層615はHfO2を有して良く、第3ハードマスク層620はTiNを有して良く、第1シリコン含有層625はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層630はSiNを有して良く、第2ハードマスク層635はTEOSを有して良く、ゲート幅制御部位640aはエッチングされたECL材料を有して良く、かつエッチングされた第1ハードマスク部位645bはエッチングされたSi-ARC材料を有して良い。第1MLMS処理手順中、ソフトマスク部位650のパターンは、エッチングされた第1ハードマスク部位645aのパターン及びエッチングされたゲート幅制御部位640aのパターンを作製するのに用いられて良い。
第2ゲート積層体602について第2ODPモデル662が設定されて良い。第2ODPモデル662は、ゲート幅制御部位640a、エッチングされた第1ハードマスク部位645bのプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。
上に第2ゲート積層体602を有するパターニングされたウエハが第2エッチング処理を用いてエッチングされることで、上に第3ゲート積層体603を有するパターニングされたウエハが生成されて良い。たとえば、第2MLMS処理手順は、上述した、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、アッシング処理、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。
第2MLMS処理手順は第2MLMIMOモデル663を用いてモデル化されて良い。そのMLMIMOモデル663は、搬送手段675を用いることによって測定変数(MV)データをやり取りし、搬送手段680を用いることによって外乱変数(DV)をやり取りし、かつ搬送手段685を用いることによって制御変数(CV)データをやり取りして良い。MLMIMOモデル661は、上述した、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、アッシング処理、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理に関連するMVデータ、DVデータ、及びCVデータを有して良い。そのモデル(660-664)は、ここで述べたエッチング処理に関連するMVデータ、DVデータ、及びCVを受け取り、処理し、かつ/又は送って良い。
第3ゲート積層体603が図示されている。第3ゲート積層体603は、ウエハ層610、及び金属ゲート層部位615bのパターンを有する。たとえば、ウエハ層610は半導体材料を有して良く、金属ゲート層部位615bはHfO2を有して良い。第2MLMS処理手順中、エッチングされた第1ハードマスク部位645のパターン及びエッチングされたゲート幅制御部位640aのパターンは、金属ゲート層部位615bのパターンを作製するのに用いられて良い。
第3ゲート積層体603について第3ODPモデル664が設定されて良い。第3ODPモデル664は、金属ゲート層部位615bのプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。
MLMIMOモデルの開発中、操作変数(MV)が設定され、かつ様々な経路675を用いてフィードフォワード及び/又はフィードバックされて良く、外乱変数(DV)が設定され、かつ様々な経路680を用いてフィードフォワード及び/又はフィードバックされて良く、制御変数(CV)が設定され、かつ様々な経路685を用いてフィードフォワード及び/又はフィードバックされて良い。それに加えて、MLMIMOモデルにおいて実際に用いられている多数のフィードフォワード及びフィードバック経路(675、680、及び685)が最適化されて良い。DOE法が、このモデルの組の検討、及び還元したフィードフォワードとフィードバック経路/変数の組の開発に用いられて良い。3つの典型的なゲート積層体(601-603)のうちの1つ以上及びモデル(660-664)のうちの1つ以上が、モデル開発中及びDOE処理中に用いられて良い。3つの典型的なゲート積層体(601-603)のうちの1つ以上のレシピデータ及び/又はプロセスデータ、並びに1つ以上のモデル(660-664)のモデル化データが、ライブラリ内に記憶されて良く、かつMLMIMOモデル化処理中に用いられて良い。それに加えて、第1及び第2のMLMS処理手順が、図2A-2G及び図3A-3Gに記載された1つ以上のエッチングサブシステムを用いて実行されて良い。
図7は、本発明の実施例による金属ゲート構造を作製する第3多工程モデル化手順の典型的な図を示している。他の実施例では、多層金属ゲート構造(図7の701、702、及び703)が、第1多層多工程(MLMS)処理手順及び第2多層多工程(MLMS)処理手順を用いることによって作製されて良い。たとえば、第1MLMS処理手順は、上述した、Si-ARCエッチング処理、エッチング制御層(ECL)エッチング処理、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、アッシング処理を有して良い。それに加えて、第2MLMS処理手順は、上述した、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。
第1ゲート積層体701が図示されている。第1ゲート積層体701は、ウエハ層710、金属ゲート層715、第3ハードマスク層720、第1シリコン含有層625、第2シリコン含有層730、第2ハードマスク層735、ゲート幅制御層740、第1ハードマスク層745、及びソフトマスク部位750のパターンを有する。たとえば、ウエハ層710は半導体材料を有して良く、金属ゲート層715はHfO2を有して良く、第3ハードマスク層720はTiNを有して良く、第1シリコン含有層725はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層730はSiNを有して良く、第2ハードマスク層735はTEOSを有して良く、ゲート幅制御層740はエッチング制御材料を有して良く、第1ハードマスク層745はSi-ARC材料を有して良く、かつ、ソフトマスク部位750はフォトレジスト材料を有して良い。
第1ゲート積層体701について第1ODPモデル760が設定されて良い。第1ODPモデル760は、フォトレジスト部位750のプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。
上に第1ゲート積層体701を有するパターニングされたウエハが第1MLMS処理手順を用いて処理されることで、上に第2ゲート積層体702を有するパターニングされたウエハが生成されて良い。たとえば、第1MLMS処理手順は、上述した、Si-ARC層エッチング処理、エッチング制御層(ECL)エッチング処理、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、及びアッシング処理を有して良い。
第3MLMS処理手順はモデル(760-764)を用いてモデル化されて良い。そのMLMIMOモデル(760-764)は、搬送手段775を用いることによって測定変数(MV)データをやり取りし、搬送手段780を用いることによって外乱変数(DV)をやり取りし、かつ搬送手段785を用いることによって制御変数(CV)データをやり取りして良い。そのモデル(760-764)は、ここで述べたエッチング処理に関連するMVデータ、DVデータ、及びCVを受け取り、処理し、かつ/又は送って良い。
第2ゲート積層体702が図示されている。第2ゲート積層体702は、ウエハ層710、金属ゲート層715、第3ハードマスク層720、第1シリコン含有層725、第2シリコン含有層730、及びエッチングされた第2ハードマスク部位735aを有する。たとえば、ウエハ層710は半導体材料を有して良く、金属ゲート層715はHfO2を有して良く、第3ハードマスク層720はTiNを有して良く、第1シリコン含有層725はアモルファスシリコン(a-Si)を有して良く、第2シリコン含有層730はSiNを有して良く、第2ハードマスク部位735aはエッチングされたTEOSを有して良い。第1MLMS処理手順中、ソフトマスク部位750のパターンは、エッチングされたハードマスク部位735aのパターンを作製するのに用いられて良い。
第2ゲート積層体702について第2ODPモデル762が設定されて良い。第2ODPモデル762は、エッチングされたハードマスク部位735aのプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。
上に第2ゲート積層体702を有するパターニングされたウエハが第2MLMS処理手順を用いて処理されることで、上に第3ゲート積層体703を有するパターニングされたウエハが生成されて良い。たとえば、第2MLMS処理手順は、上述した、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。
第3ゲート積層体703について第3ODPモデル764が設定されて良い。第3ODPモデル764は、エッチングされた金属ゲート部位715bのプロファイルデータ及び他の層に関連するデータを決定するのに用いられて良い。
MLMIMOモデルの開発中、操作変数(MV)が設定され、かつ様々な経路775を用いてフィードフォワード及び/又はフィードバックされて良く、外乱変数(DV)が設定され、かつ様々な経路780を用いてフィードフォワード及び/又はフィードバックされて良く、制御変数(CV)が設定され、かつ様々な経路785を用いてフィードフォワード及び/又はフィードバックされて良い。それに加えて、DOE法が、このモデルの組の検討、及びMLMIMOモデルの最適の組の開発に用いられて良い。3つの典型的なゲート積層体(701-703)のうちの1つ以上及びモデル(760-764)のうちの1つ以上が、モデル開発中及びDOE処理中に用いられて良い。3つの典型的なゲート積層体(701-703)のうちの1つ以上のレシピデータ及び/又はプロセスデータ、並びに1つ以上のモデル(760-764)のモデル化データが、ライブラリ内に記憶されて良く、かつMLMIMOモデル化処理中に用いられて良い。それに加えて、第1及び第2のMLMS処理手順が、図2A-2G及び図3A-3Gに記載された1つ以上のエッチングサブシステムを用いて実行されて良い。
図8は、本発明の実施例による多層/多入力/多出力(MLMIMO)モデルの典型的な概略図を示している。その典型的な概略図800は、第1ゲート積層体810、第2ゲート積層体820、及び第3ゲート積層体830を有する。第1処理手順815は、第1ゲート積層体810から第2ゲート積層体820を作製するのに用いられて良い。第2処理手順825は、第2ゲート積層体820から第3ゲート積層体830を作製するのに用いられて良い。第3処理手順835は、第3ゲート積層体830を測定するのに用いられて良い。
第1処理手順815は、第1測定処理(Meas1)及び第1エッチング処理Etchaを有して良い。第2処理手順825は、第2測定処理(Meas2)及び第2エッチング処理Etchbを有して良い。第3処理手順835は、第3測定処理(Meas3)を有して良い。
第1モデル(model1)は、第1処理手順815のモデル化に用いられて良く、かつ、第1組の外乱変数DV1a-na、第1組の操作変数MV1a-na、及び第1組の制御変数CV1a-naを有して良い。第2モデル(model2)は、第2処理手順825のモデル化に用いられて良く、かつ、第2組の外乱変数DV1b-nb、第2組の操作変数MV1b-nb、及び第2組の制御変数CV1b-nbを有して良い。
図9は本発明の実施例による2つの部分を有する多層/多入力/多出力(MLMIMO)モデルの典型的なブロック図を示している。
第1一般化モデル910が図示されている。第1一般化モデル910はポリエッチング(P-E)手順に関連づけられて良く、かつ第1組のMV(1a-na)、第1組のDV(1a-na)、及び第1組のCV(1a-na)を有して良い。第1組の典型的なMV911が図示されている。第1組の典型的なMV911は、モデル910に関連づけることのできる8つの操作変数(MV(1a)-MV(8a))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル910に関連づけられても良い。第1組の典型的なDV912が図示されている。第1組の典型的なDV912は、モデル910に関連づけることのできる6つの外乱変数(DV(1a)-DV(6a))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル910に関連づけられても良い。第1組の典型的なCV913が図示されている。第1組の典型的なCV913は、モデル910に関連づけることのできる6つの制御変数(CV(1a)-CV(6a))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル910に関連づけられても良い。それに加えて、第1組の典型的な方程式915が図示されている。第1組の典型的な方程式915はモデル910に関連づけられて良い。あるいはその代わりに他の方程式がモデル910に関連づけられても良い。
第2一般化モデル920が図示されている。第1一般化モデル910は金属ゲートエッチング(MGE)手順に関連づけられて良く、かつ第2組のMV(1b-nb)、第2組のDV(1b-nb)、及び第2組のCV(1b-nb)を有して良い。第2組の典型的なMV921が図示されている。第2組の典型的なMV921は、モデル920に関連づけることのできる8つの操作変数(MV(1b)-MV(8b))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル920に関連づけられても良い。第2組の典型的なDV922が図示されている。第2組の典型的なDV922は、モデル920に関連づけることのできる6つの外乱変数(DV(1b)-DV(6b))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル920に関連づけられても良い。第2組の典型的なCV923が図示されている。第2組の典型的なCV923は、モデル920に関連づけることのできる6つの制御変数(CV(1b)-CV(6b))を有する。あるいはその代わりに、異なる数の異なる操作変数がモデル920に関連づけられても良い。それに加えて、第2組の典型的な方程式925が図示されている。第2組の典型的な方程式925はモデル920に関連づけられて良い。あるいはその代わりに他の方程式がモデル920に関連づけられても良い。
第1モデル910に関連づけることのできる1つ以上の変数(911、912、又は913)は第2モデル920へフィードフォワード(930)されて良い。第2モデル920に関連づけることのできる1つ以上の変数(921、922、又は923)は第1モデル910へフィードバック(935)されて良い。
図10は、本発明の実施例による多層/多入力/多出力(MLMIMO)モデルを作成する処理についての典型的なフローダイアグラムを表している。図示された実施例では、多数の工程を有する処理1000が示されている。あるいはその代わりに異なる数の代替工程が用いられても良い。
1010では、多層/多入力/多出力(MLMIMO)モデル化解析処理用候補として1つ以上の多層処理手順が特定されて良い。例によっては、1つ以上の多層金属ゲート構造(図6の601、602、603、及び図7の701、702、703)を形成するために1つ以上のMLMIMOモデルが設定されて良い。
1015では、第1組の制御される出力変数(CV)及びCVに関する範囲が決定されて良い。1つ以上のCVはエンドユーザー又は顧客によって特定されて良い。CVは、1つ以上の多層金属ゲート構造(図6の601、602、603、及び図7の701、702、703)に関連する1つ以上の限界寸法(CD)及び/又は1つ以上の側壁角を有して良い。例によっては、1つ以上のポリエッチング(PE)処理及び1つ以上の金属ゲートエッチング(MGE)処理を用いることによって、多層金属ゲート構造(図6の601、602、603、及び図7の701、702、703)が作製されて良い。たとえば、金属ゲートエッチング処理はゲート積層体の1つ以上の金属ゲート部分を完成させるように行われて良く、かつ、pFETデバイス、nFETデバイス、3ゲートデバイス、及びFinFETデバイスについて異なる金属ゲートエッチング処理が行われて良い。
1020では、MLMIMOに関連する操作変数(MV)についての第1組の候補が、1つ以上の候補レシピを用いて決定されて良い。MVはWiWでの操作変数(WiW-MV)を有して良い。WiW-MVは、ウエハが処理されている間に制御可能な“速い”MVを有して良い。MVはW2W操作された変数(W2W-MV)を有して良い。W2W-MVは、ウエハロットが処理されている間に制御可能な“遅い”MVを有して良い。候補レシピの各工程についてMVの範囲が検討されて良い。
高速応答時間を有する2領域ウエハホルダが用いられるとき、ウエハホルダの中心温度と端部温度は、(WiW-MV)として用いられて良く、かつ工程毎に変化して良い。高速応答時間を有するRF源が、分離した上部電極及びパワースプリッタと共に用いられるとき、プラズマの中心RF出力及び端部RF出力は、(WiW-MV)として用いられて良く、かつ工程毎に変化して良い。低温チラー(-10℃)が用いられるとき、中心から端部にかけて大きな温度差が存在させることができる。それに加えて、圧力、時間、及びガスフローがMVとして用いられても良い。
外乱変数(DV)は、中心及び端部でのレジストCD及びSWA、中心及び端部でのエッチング制御層CD及びSWA、中心及び端部での層の厚さ、各異なる層の化学特性及びエッチングレート特性、チャンバの維持イベント、チャンバ間データ、並びにIM間データを有して良い。
1025では、実験計画(DOE)法が、MLMIMOモデルを解析するように実行されて良い。物理的解析及び工学的経験を用いることによって、実験計画(DOE)法は、各CVとMVを関連させることのできる統計的モデルを確立するように実行されて良い。実験回数が増えれば、より正確なモデルを得ることができるが、さらなる材料と時間を犠牲にすることになる。従ってコストと供給の程度はDOEのウエハ数を制限すると考えられる。可能な限りDOEウエハ数を減らすだけでなく誤りを防ぐためには、十分に設計されたDOEが極めて重要である。そのようなDOEにとって最も重要な因子は予測モデルの形式である。1つ以上のモデルの種類が選択され、CV及び/又はMVの範囲が与えられ、かつ統計ソフトウエア-たとえばJMP(登録商標)(SAS研究所から販売されている統計ソフトウエア)が1つ以上のDOEテーブルの作成に用いられて良い。DOEデータは、第1ポリエッチング(P-E)処理及び金属ゲートエッチング(MGE)処理に関連づけることのできる候補となるMVs、CVs、及びDVsを設定するのに用いられて良い。他の解析処理では、他のMV、DV及びCVが用いられて良い。他の実施例では、エッチング用チャンバ及びIMチャンバのチャンバ状態データが操作変数として用いられても良い。あるいはその代わりに、プロセスのモデル化は、チャンバ状態がウエハとロットとの間で安定することを仮定しても良い。
実施例によっては、PE処理手順は、Si-ARC層エッチング処理、エッチング制御層(ECL)エッチング処理、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、及びアッシング処理を有して良い。それに加えて、金属ゲートエッチング(MGE)処理手順は、「ブレークスルー」(BT)エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。P-E処理手順及び金属ゲートエッチング(MGE)処理手順についてDOEデータを得ることができる。
1030では、1つ以上のDOEテーブルの作成に必要なP-E処理及び金属ゲートエッチング処理を実行した後、2次及び相互作用の項を有する非線形モデルが、最小自乗法及び統計ソフトウエアを用いることによって作成されて良い。モデルによっては、極端に小さな係数の項は削除されて良い。
1035では、1つ以上の線形ゲイン行列(G)が、DOEデータを用いて作成されて良い。たとえば、次式のようなものである。
Figure 2010041051
i=1,2,…nで、かつj=1,2,…nでは、(∂CVi/∂MVjMVは、全操作変数で求められた偏微分を表す。ただしMVjは一定である。この項はCViとMVjとの間での開ループゲインである。それに加えて、(∂CVi/∂MVjCVは、全制御ループが閉じられるときのMVjとCViの効果を表す閉ループゲインと解されて良い。
正方行列ではない行列で開始する場合、一部のMV又はCVを除去して正方行列が作成されて良い。それに加えて、CVよりもMVの方が多いときには、非正方行列RGAを用いることによって非正方行列が解析されて良い。たとえば、
Figure 2010041051
である。
擬逆行列G+が通常の逆行列G-1の代わりに用いられる。NRGAは正方系を選択するための複数の基準を供するが、非正方行列の中にはこれらの基準が常に有効とはならないものがあるので、サブシステムの正方対の全組合せについて検討する必要があると考えられる。一のサブシステムと他とを比較するため、RGA対生成規則が基準として用いられて良い。これにより、最善の正方行列に相当しうる組合せが生成される。
1040では、1つ以上の相対ゲインアレイ(RGA)が、1つ以上の線形ゲイン行列(G)を用いて計算されて良い。たとえば正方行列であれば次式のようになる。
Figure 2010041051
ここでGはゲイン行列であり、G-1はゲイン逆行列である。
1045では、MVとCVの最善の組合せを調べるため、RGA中の対生成規則を用いられて良い。測定されたモデルパラメータを選択するためにRGA解析が用いられて良い。CV-MV対は、合計が1に最も近くなるように選ばれて良い。それに加えて負の構成要素での対生成は回避されて良い。それに加えて、RGA解析は、多数の候補モデルの決定、及び最善の事例解決を特定するのに用いられて良い。
1050では、システムの安定性及び条件が決定されて良い。たとえばNiedeerlinski安定性定理は、対角対生成の結果生じる閉ループ系は、次式の場合では不安定になることを示している。
Figure 2010041051
ここでGはゲイン行列で、giiはゲイン行列の対角要素である。ゲイン行列(G)の条件は次式を用いて決定されて良い。
G=USVT
ここでG、U、S、及びVは、特異値分解(SVD)を用いて決定される。それに加えて、条件数(CN)は、S行列内の小さな値と大きな値との比を用いて決定されて良い。Niedeerlinski定理に関するさらなる情報は非特許文献1を参照のこと。たとえばCNが50よりも大きいときには、システムは特異点近くであり、制御性能は不十分である。
1055では、MLMIMOモデルは、実際の装置及び/又は性能の制約を用いて最適化されて良い。例によっては、測定位置は性能を最適化するように検査及び選択されて良く、事前測定及び/又は事後測定処理の数は性能を最適化するように設定されて良く、多チャンバ手順は、処理を最適化するように検討されて良い。フィードバックはEWMAフィルタの調節によって最適化されて良い。MVについての時定数が決定されて良く、かつこの更新周波数は、ロット間(L2L)、W2W、WiW、及び処理工程値に基づいて良い。それに加えて、プロセスの中心点、CVの中心点、及びMVの中心点が、性能を最適化するように検討されて良い。履歴データはシミュレーションの実行に用いられて良い。
ウエハは1層以上の層を有して良い。その1層以上の層は、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの混合材料を有して良い。
他の実施例では、1つ以上のウエハは、検証されたMLMIMOモデル及び検証された処理手順を用いて処理されて良い。検証されたMLMIMOモデルが用いられるとき、1つ以上の検証された金属ゲート構造が試験用ウエハ上に作製されて良い。試験用ウエハが検査されるとき、試験用の参照周期構造が用いられて良い。検査の間、検査データは、検査用参照構造から得られて良い。最善の推定構造及び関連する最善推定データは、検証された金属ゲート構造及び関連するデータを有するMLMIMOライブラリから選ばれて良い。試験用参照周期構造とライブラリからの最善の推定構造との間で1つ以上の差異が計算されて良く、その差異は一致基準、生成基準、若しくは製造要件、又はこれらの結合と比較されて良い。一致基準が用いられるとき、試験用参照周期構造は多数のMLMIMOライブラリとして特定され、かつ一致基準が満たされている、すなわち超えている場合には、試験用ウエハは参照用の“金の”ウエハとして特定されて良い。生成基準が用いられるときには、試験用参照周期構造は新たなMLMIMOライブラリの一員として特定されて良く、かつ生成基準が満たされる場合には、試験用のウエハは検証された参照ウエハとして特定されて良い。製造要件データが用いられるときには、試験用参照周期構造は検証された構造として特定され、かつ1つ以上の製造要件が満たされる場合には、試験用のウエハは検証された製造用ウエハとして特定されて良い。1つ以上の基準又は製造要件が満たされない場合には、補正作用が適用されて良い。試験用参照周期構造についてのMLMIMO信頼性データ及び/又はリスクデータが、試験用参照周期構造データ及び最善の推定構造データを用いて、設定されて良い。たとえばMLMIMO評価ライブラリデータは、適合度(GOF)データ、生成規則データ、測定データ、検査データ、検証データ、マップデータ、信頼性データ、正確性データ、プロセスデータ、若しくは均一性データ、又はこれらの結合を有して良い。
金属ゲート関連構造が製造され、かつ/又は検査されるとき、正確さ及び/又は許容限界が用いられて良い。これらの限界が正しくないとき、精緻化処理が行われて良い。あるいはその代わりに、他の処理が行われて良く、他の位置が用いられて良く、又は他のウエハが用いられて良い。精緻化処理が用いられるとき、その精緻化処理は、双一次精緻化、ラグランジュ精緻化、キュービックスプライン精緻化、アイトケン(Aitken)精緻化、重み付け平均精緻化、多重二次精緻化、3次補間精緻化、チュラン(Turran)精緻化、ウエーブレット精緻化、ベッセル精緻化、エバレット精緻化、有限差分精緻化、ガウス精緻化、エルミート精緻化、ニュートン差分精緻化、接触精緻化、若しくはティールズ精緻化アルゴリズム、又はこれらの組合せを利用して良い。
図11は本発明の実施例による多層/多入力/多出力(MLMIMO)モデルの使用手順の単純化したフローダイアグラムを示している。
1110では、第1組のパターニングされたウエハ及び関連するウエハデータが処理システムによって受け取られて良く、かつパターニングされたウエハの各々は第1のパターニングされたソフトマスク層及び複数の追加層を有して良い。第1のパターニングされたソフトマスク層は、複数のゲート関連ソフトマスク部位及び少なくとも1つの周期評価構造を有して良い。ウエハデータは、第1のパターニングされたソフトマスク層内の少なくとも1つの周期構造についてのリアルタイム集積計測(IM)データを有して良い。
1115では、第2組のパターニングされたウエハが第1多層エッチング処理を用いて作製されて良く、かつ第1多層エッチング処理は、第1のパターニングされたソフトマスク層を用いて第1組の追加層をパターニングすることによって、制御されたマスク層中に第1中間パターンを生成するように備えられて良い。
1120では、第1多層エッチング手順についての第1多層/多入力/多出力(MLMIMO)モデルを用いることによって、第1多層エッチング手順についての第1シミュレーションデータが決定されて良い。第1MLMIMOモデルは、第1数(Na)の第1制御変数(CV1a,CV2a,…CVna)、第1数(Ma)の第1操作変数(MV1a,MV2a,…MVna)、及び第1数(La)の第1外乱変数(DV1a,DV2a,…DVna)を有して良い。ここでLa、Ma及びNaは2以上の整数である。
1125では、第3組のパターニングされたウエハが第2多層エッチング処理を用いて作製されて良く、かつ第2多層エッチング処理は、制御されたマスク層中の第1中間パターンを用いて第2組の追加層をパターニングすることによって、金属ゲート構造の第2パターンを生成するように備えられて良い。
1130では、第2多層エッチング手順についての第2多層/多入力/多出力(MLMIMO)モデルを用いることによって、第2多層エッチング手順についての第2シミュレーションデータが決定されて良い。第2MLMIMOモデルは、第2数(Nb)の第2制御変数(CV1b,CV2b,…CVNb)、第2数(Mb)の第2操作変数(MV1b,MV2b,…MVMb)、及び第2数(Lb)の第2外乱変数(DV1b,DV2b,…DVLb)を有して良い。ここでLb、Mb及びNbは2以上の整数である。
1135では、第3組のパターニングされたウエハのうちの少なくとも1つについての評価データが得られて良い。
1140では、その評価データが1つ以上の限界範囲内であるか否かを決定するように実行されて良い。その評価データが1つ以上の限界範囲内であるときには、処理1100は1145へ分岐して良い。その評価データが1つ以上の限界範囲内にないときには、処理1100は1150へ分岐して良い。
1145では、その評価データが第1金属ゲートの限界未満であるときには、第3組のパターニングされたウエハは検証されたウエハであるものと識別されて良い。
1150では、その評価データが第1金属ゲートの限界未満でないときには、補正作用が行われて良い。
図12は本発明の実施例によるMLMIMOの使用処理のランタイムフローダイアグラムを表している。データが収集されるとき、多数のウエハが用いられて良く、かつ候補となる外乱変数が特定されて良い。データ収集の間、1つ以上のCVに関連するばらつきは最小限に抑制され、かつ収集されたデータはシミュレーションに用いられて良い。そのシミュレーションは、製造に用いられるゲートエッチングプロセスと同一の手順を実行して良い。
1210では、1つ以上のウエハが集積計測チャンバ内で測定され、かつ外乱変数D(l)の第1数(l)の値を得ることができる。それに加えて、他のセンサデータが受け取られ、かつ解析されても良い。IMデータは、各入ってくるウエハ上のパターニングされたマスク層内の多数の位置から得られるCD及びSWAを有して良い。操作変数MV(m)の第2数(m)が設定されて良い。
実施例によっては、ウエハ状態に関連して入り込む外乱変数はIM装置を用いて測定されて良く、かつIMデータは、ウエハ全体にわたる多数の位置でのプロファイルデータ、CDデータ、SWAデータ、及びBARC膜厚データを有して良い。たとえばウエハの中心を表すことのできる8-10の中心位置が選ばれて良い。端部半径の形跡を表し、かつエッチング制御にとって最適である同一半径にある8-10の端部位置が選ばれて良い。全領域に同一の正確性の重み付けを与えるように、同一位置の数がウエハの各領域について選ばれて良い。回折格子密度及びトランジスタの種類(たとえばp又はn型チャネルトランジスタ)が、最も重要なチップレベルでの性能と相関するように選ばれなくてはならない。その理由は、トランジスタ構造の各々は、エッチングプロファイル制御の必要性に関連づけることのできる複数の変数を有しているからである。
ポリエッチング(P-E)処理の間に動作している機構により、CDのDVは限界DVであって良く、かつ測定結果を修正する関連DVを有して良い。SWAは、角度が90°未満になることで感度を増大させる1次調節器であって良い。それに加えて、中央CDが最終CDに対して最も正確な相関を与える場合には、中央CDが用いられて良い。中央CDは単純にいうと最善の動作をする。その理由は、中央CDは上部CD測定結果と下部CD測定結果とのばらつきを平均化するからである。
CDの第2修正器はウエハ全体にわたって、かつウエハ間でのBARC厚さのばらつきであって良い。BARCの厚さは、その厚さが不均一である場合にはCDに影響を及ぼすと考えられる。なぜならBARCエッチングの間、レジストはエッチングされ続けるからである。薄くなったBARCはエッチング時間を短くすることが可能で、かつ厚いBARCはエッチング時間を長くすると考えられ、かつエッチング時間が長くなることでCDが小さくなると考えられる。従ってBARCが不均一性である結果、部分エッチング及び最終エッチングの間での制御についてのモデル化に必要な端部CDに対する中心CDのばらつきが増大する。
IMデータは現像処理後に得られて良い。IMデータは、リソグラフィサブシステム内のIMユニット、エッチングサブシステム内のIMユニット、又はスタンドアローンのIMユニットを用いて得られて良い。
それに加えて、予測プラズマチャンバ状態を表すDVについてセンサ及び状態データが用いられて良い。たとえばロット(ウエハ)がウエハの条件設定をすることなく処理されているとき、チャンバ状態はドリフトによる影響を受ける恐れがある。チャンバ状態のフィードフォワードDVに寄与するばらつきは、たとえばチャンバ洗浄、部品の交換、化学変化、不稼働時間、条件出しされたウエハ、チャンバ中断、手動調節、ウエハウエハ材料変化、及び製造密度変化のような事象を含んで良い。
1215では、受け取られたデータはフィルタリング及び/又は適合されて良い。たとえば測定DVは、箱とウイスカーアルゴリズムを用いてフィルタリングされて良い。そのアルゴリズムは、統計的に同一の分布と見なせない位置を除去する。残りの位置は、ウエハの物理領域を表すように平均化されて良い。
1220では、1つ以上のCVが計算され、かつCD、SWA、均一性の値、及び/又はプロファイル変化が決定されて良い。例によっては、制御変数の第3の値(n)が次式を用いて設定されて良い。
CV(Na)=fNa{MV(1a),…MV(Ma-1),MV(Ma),DV(1a),…DV(La-1),DV(La)}+オフセットNa
ここでLa、Ma、及びNaは3以上の整数である。
たとえば4つのCV、6つのMV、及び4つのDVが特定されるとき、高次及び相互作用の項を有する4つの非線形モデルは次式のように定義されて良い。
CV(1a)=f1a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+オフセット1a
CV(2a)=f2a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+オフセット2a
CV(3a)=f3a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+オフセット3a
CV(4a)=f4a{MV(1a),MV(2a),MV(3a),MV(4a),MV(5a),MV(6a),DV(1a),DV(2a),DV(3a),DV(4a)}+オフセット4a
それに加えて、最適化されたプロセス設定が、2次目的関数を用いて計算されて良く、かつ標的偏差CVは以下のように定義されて良い。
t(Na)={DV(La)-標的CV(Na)}
Na=4及びLa=4では、以下の式を得ることができる。
t(1a)={DV(1a)-標的CV(1a)}
t(2a)={DV(2a)-標的CV(2a)}
t(3a)={DV(3a)-標的CV(3a)}
t(4a)={DV(4a)-標的CV(4a)}
モデル及び標的の項を用いることによって、非線形プログラムに用いることのできる2次目的関数は以下のように定義できる。
Figure 2010041051
及びNa=4のときには、次式のような単純化された式を得ることができる。
Figure 2010041051
wjaは重み付け因子である。それに加えて、操作変数MV(la)は、不等式で表される制約として含まれる上限及び下限を有して良い。la=4のときには以下の式を得ることができる。
a1≦MV(1a)≦b1
c1≦MV(2a)≦d1
e1≦MV(3a)≦f1
g1≦MV(2a)≦h1 (2)
ここでa1-h1は装置の制約に依存する定数である。測定されたCD及びSWA値は、MVを計算する最適化器によって用いられて良い。その最適化器は、非線形プログラムを用いることによって、式(2)によって式(1)を最小にすることによって、エッチング用レシピを決定して良い。たとえばMATLAB(登録商標)最適化ツールボックスがこのシミュレーションに用いられて良い。
それに加えて、1つ以上のCVが計算されて良く、かつ、金属ゲートエッチング手順についてのCD、SWA、均一性値、及び/又はプロファイル変化が決定されて良い。一部の例では、第3数(Nb)の制御変数が次式を用いて設定されて良い。
CV(Nb)=fNb{MV(1b),…MV(Mb-1),MV(Mb),DV(1b),…DV(Lb-1),DV(Lb)}+オフセットNb
ここでLb、Mb及びNbは3以上の整数である。
たとえば4つのCV、6つのMV、及び4つのDVが特定されるとき、高次及び相互作用の項を有する4つの非線形モデルは次式のように定義されて良い。
CV(1b)=f1b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+オフセット1b
CV(2b)=f2b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+オフセット2b
CV(3b)=f1b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+オフセット3b


CV(4b)=f1b{MV(1b),MV(2b),MV(3b),MV(4b),MV(5b),MV(6b),DV(1b),DV(2b),DV(3b),DV(4b)}+オフセット4b
1225では、最適化されたプロセス設定が、2次目的関数を用いて計算されて良く、かつ標的偏差CVは以下のように定義されて良い。
t(Nb)={DV(Lb)-標的CV(Nb)}
Nb=4及びLb=4では、以下の式を得ることができる。
t(1b)={DV(1b)-標的CV(1b)}
t(2b)={DV(2b)-標的CV(2b)}
t(3b)={DV(3b)-標的CV(3b)}
t(4b)={DV(4b)-標的CV(4b)}
モデル及び標的の項を用いることによって、金属ゲートエッチング手順に係る非線形プログラムに用いることのできる2次目的関数は以下のように定義できる。
Figure 2010041051
及びNb=4のときには、次式のような単純化された式を得ることができる。
Figure 2010041051
wjbは重み付け因子である。それに加えて、操作変数MV(lb)は、不等式で表される制約として含まれる上限及び下限を有して良い。lb=4のときには以下の式を得ることができる。
a2≦MV(1b)≦b2
c2≦MV(2b)≦d2
e2≦MV(3b)≦f2
g2≦MV(4b)≦h2 (4)
ここでa2-h2は装置の制約に依存する定数である。測定されたCD及びSWA値は、MVを計算する最適化器によって用いられて良い。その最適化器は、非線形プログラムを用いて(4)によって(3a)を最小化することによって、金属ゲートエッチング用レシピを決定して良い。たとえばMATLAB(登録商標)最適化ツールボックスがこのシミュレーションに用いられて良い。
1230では、ポリエッチング手順及び金属ゲートエッチング処理用のプロセスレシピが、最適化器によって設定される1つ以上のMVを用いて定義されて良く、かつそのプロセスレシピは、MVについての新たな値を用いて調節されて良い。非線形最適化は、エッチングプロセスに関連する非線形の関係及び制約を処理して、各実行動作後にレシピを調節することによってポリエッチング手順及び金属ゲートエッチング手順の性能を最大化するのに用いられて良い。
IMデータが最適化器へフィードフォワードされることで、操作変数(MV)の値が計算されて良い。各制御変数(CV)に関連する非線形モデルの式は各CV標的値と共に用いられて良い。2次目的関数は、その目的関数の各CV項に重要度を与えるために重み付け因子を利用して良い。MLMIMOの最適化器は、非線形プログラムを用いたMVの制約で目的関数を最小化又は最大化することによって、エッチング用レシピを決定するのに用いられて良い。
1235では、1つ以上のウエハが、調節されたレシピを用いて処理されて良い。たとえば調節されたレシピは、ポリエッチング手順及び金属ゲートエッチング処理用の最適化器からの最適化されたMVを有して良い。
1240では、1つ以上の被処理ウエハについての測定データが得られて良い。たとえば測定は、ウエハ上の1つ以上の位置で行われて良い。ポリエッチング及び/又は金属ゲートエッチング手順の実行後、CVの出力はIM装置を用いて測定されて良い。
1245では、ポリエッチング及び/又は金属ゲートエッチング手順から得られたデータがフィルタリング及び/又は適合されて良い。
1250では、ポリエッチング及び/又は金属ゲートエッチング手順についてのプロセスエラーが計算されて良い。たとえば各CVについてエラー(実際の出力からモデルの出力を引いた値)が計算されて良い。
1255では、ポリエッチング及び/又は金属ゲートエッチング手順についてのフィードバックデータアイテムが計算されて良い。たとえばエラーは、指数重み付け移動平均(EWMA)フィルタを用いてMLMIMOモデルのCVオフセットを更新するのに用いられて良い。
1260では、ポリエッチング及び/又は金属ゲートエッチング手順についての新たなモデルオフセットが更新されて良い。これらのオフセット値は、次の実行動作の外乱を補償するのに用いられる最適化器へ供されて良い。このオフセットは、新たな更新が実現するまで用いられる。この手順は最終ウエハが処理されるまで行われて良い。
露光条件設定用ウエハ(send ahead wafer)が用いられるとき、IMデータはポリエッチング手順及び金属ゲートエッチング手順中における中間地点で得られて良い。新たな及び/若しくは追加の測定データ、検査データ、並びに/又は評価データが必要とされるとき、追加のMLMIMOデータが、ウエハ上の1つ以上の位置から得られて良い。たとえば測定構造-たとえば周期回折格子、周期アレイ、及び/又は周期構造-が、1つ以上の測定位置で測定されて良い。
第1代替実施例では、第1多層エッチング手順はさらに:
a1)第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
a2)前記第1多層エッチング手順において第1エッチング処理を実行する工程であって、該第1エッチング処理は第1のパターニングされたソフトマスク層を用いて第1組のパターニング層を作製するように備えられ、該第1組のパターニング層は、複数の第1ハードマスク部位を有するエッチングされた第1ハードマスク層、及び複数のエッチングされたソフトマスク部位を有するエッチングされたソフトマスク層を有し、前記第1ハードマスク層はシリコン含有反射防止コーティング(ARC)材料を有する、工程;
a3)前記第1多層エッチング手順において第2エッチング処理を実行する工程であって、該第2エッチング処理は、前記エッチングされた第1ハードマスク層を用いて第2組のパターニング層内に第1中間パターンを作製するように備えられ、前記第2組のパターニング層は、複数のエッチングされた第1ハードマスク部位を有する再エッチングされた第1ハードマスク層、及び複数のゲート幅制御部位を有するエッチングされたゲート幅制御層を有し、前記第1中間パターンは少なくとも1つの第2周期評価構造を有し、前記ゲート幅制御層は改質されたフォトレジスト層を有する、工程;
a4)前記少なくとも1つの第2周期評価構造を用いて前記第1パターンについての第1評価データを取得する工程;
を有して良い。
第2代替実施例では、第1多層エッチング手順はさらに:
b1)第2エッチング用チャンバ内の第2温度制御ウエハホルダへ、前記第2エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられ、前記第1多層エッチング処理が検証された多層エッチング手順と識別された後に前記第1パターニングされたウエハは搬送される、工程;
b2)第3エッチング処理を実行する工程であって、該第3エッチング処理は、前記再エッチングされた第1ハードマスク層及び/又は前記エッチングされたゲート幅制御層を用いて第3組のパターニング層内に第2中間パターンを作製するように備えられ、前記第3組のパターニング層は、複数のエッチングされたゲート幅制御層を有する再エッチングされたゲート幅制御層、複数の第2ハードマスク部位を有するエッチングされた第2ハードマスク(チタン窒化物(TiN))層、複数のシリコン窒化物(SiN)部位を有するエッチングされたシリコン窒化物(SiN)層、複数のアモルファスシリコン(a-Si)部位を有するエッチングされたアモルファスシリコン(a-Si)層、及び複数の第2ハードマスク部位を有するエッチングされた第2ハードマスク(TEOS)層を有する、工程;
b3)前記第1エッチング用チャンバ内の第3温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する前記搬送サブシステムを用いて前記第1パターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
b4)前記第2多層エッチング手順において第1洗浄処理を実行する工程であって、第4組のパターニングされたマスク層が作製され、該第4組のパターニングされたマスク層は、複数の洗浄された第2ハードマスク部位を有する洗浄された第2ハードマスク(TEOS)層、複数の洗浄されたシリコン窒化物(SiN)部位を有する洗浄されたシリコン窒化物(SiN)層、複数の洗浄されたアモルファスシリコン(a-Si)部位を有する洗浄されたアモルファスシリコン(a-Si)層、複数の洗浄された第3ハードマスク部位を有する洗浄された第3ハードマスク(チタン窒化物(TiN))層を有する、工程;
b5)第4エッチング用チャンバ内の第4温度制御ウエハホルダへ、前記第4エッチング用チャンバと結合する前記搬送サブシステムを用いて前記第1パターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
b6)前記第2エッチング手順において第4エッチング処理を実行する工程であって、前記第4エッチング処理は、前記洗浄された第2ハードマスク(TEOS)層、前記洗浄されたシリコン窒化物(SiN)層、前記洗浄されたアモルファスシリコン(a-Si)層、若しくは前記洗浄された第3ハードマスク(チタン窒化物(TiN))層、又はこれらの結合を有し、前記第4組のパターニング層は複数のゲート積層体を有し、各ゲート積層体は、金属含有部位、チタン窒化物(TiN)部位、アモルファスシリコン部位、シリコン窒化物(SiN)部位、及びTEOS部位を有する、工程;
b7)少なくとも1つの追加の周期評価構造を用いて前記第1パターンについての追加の評価データを取得する工程であって、前記第4中間パターンは前記少なくとも1つの追加の周期評価構造を有する、工程;
b8)前記追加の評価データが第1の追加の多エッチング限界未満であるときには前記第2多層エッチング手順を第2検証された多層エッチング手順として識別する工程;並びに
b9)前記追加の評価データが第1の追加の多エッチング限界未満でないときには追加の補正作用を実行する工程;
を有して良い。
第3代替実施例では、第2多層エッチング手順は:
c1)第2エッチング用チャンバ内の第2温度制御ウエハホルダへ、前記第2エッチング用チャンバと結合する搬送サブシステムを用いて第1パターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられ、前記第1多層エッチング手順の検証後に前記第2多層エッチング手順が実行され、前記第3エッチング処理は前記第2多層エッチング手順に含まれ、前記第2組のパターニングされたウエハは複数の部分エッチングされたウエハを有する、工程;
c2)前記第2多層エッチング手順における第3エッチング処理を実行して、前記第1部分エッチングされたウエハ上の第2組の追加層をエッチングする工程であって、前記第3エッチング処理は、前記第2組のマスク層内の前記中間パターンを用いて第3組のパターニングされたマスク層を生成し、前記第2組の追加層は、TEOS層、シリコン窒化物(SiN)層、アモルファスシリコン層、及びチタン窒化物(TiN)層を有する、工程;
c3)第1洗浄用チャンバ内の第3温度制御されたウエハホルダへ、前記第1洗浄用チャンバと結合する搬送サブシステムを用いて前記第1パターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
c4)第1洗浄処理を実行する工程であって、第4組のパターニングされたマスク層が生成される工程;
c5)第4エッチング用チャンバ内の第4温度制御されたウエハホルダへ、前記第4エッチング用チャンバと結合する搬送サブシステムを用いて前記第1パターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
c6)前記第2多層エッチング手順における第4エッチング処理を実行する工程であって、前記第4エッチング処理は前記第4組のパターニングされたマスク層を用いて第5組のパターニングされたマスク層を生成するように備えられ、前記第5組のパターニングされたマスク層は複数のゲート積層体を有し、各ゲート積層体は、金属含有部位、チタン窒化物(TiN)部位、アモルファスシリコン(a-Si)部位、シリコン窒化物(SiN)部位、及びTEOS部位を有する、工程;
c7)少なくとも1つの追加の周期評価構造を用いて前記第1パターンについての追加の評価データを取得する工程;
c8)前記追加の評価データが第1の追加の多エッチング限界未満であるときには前記第2多層エッチング手順を第2検証された多層エッチング手順として識別する工程;並びに
c9)前記追加の評価データが第1の追加の多エッチング限界未満でないときには追加の補正作用を実行する工程;
を有して良い。
実施例によっては、1つ以上のウエハについての、履歴及び/又はリアルタイムデータは、MLMIMOマップ、ウエハ関連マップ、プロセス関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、危険性マップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は信頼性マップを有して良い。それに加えて、MLMIMO処理は、1つ以上の適合度(GOF)マップ、1つ以上の厚さマップ、1つ以上のゲート関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の構造関連マップ、1つ以上の側壁角マップ、1つ以上の差幅マップ、又はこれらの結合を含むウエハマップを用いて良い。
ウエハマップが生成及び/又は修正されるとき、ウエハ全体についての値は計算されなくて良く、かつ/又は必要とされず、またウエハマップは、1つ以上の位置、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有して良い。たとえば処理チャンバは、ウエハの特定領域での処理結果の品質に影響を及ぼしうる独自の特性を有して良い。それに加えて、製造者は、ウエハの1つ以上の領域でのチップ/ダイについてのプロセスデータ及び/又は評価データがそれほど正確でなくても、歩留まりを最大にすることが可能となる。マップ内での値が限界に近いとき、信頼性値は、そのマップ内の値が限界に近くないときよりも低くて良い。それに加えて、各異なるチップ/ダイ及び/又は各異なるウエハ領域について、正確さの値が重み付けされて良い。たとえばより高い信頼性の重み付けが、正確さの計算及び/又はこれまでに用いられてきた1つ以上の評価位置に関連する正確さのデータに割り当てられて良い。
それに加えて、1つ以上のプロセスに関連する、プロセスの結果、測定、検査、検証、評価、及び/又は予測マップは、ウエハについての信頼性マップを計算するのに用いられて良い。たとえば他のマップからの値が重み付け因子として用いられて良い。たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。よって本記載は本発明を限定するものではない。本発明の設定、動作、及び挙動は、本明細書に存在するレベルの詳細が与えられれば、実施例の修正型及び変化型が可能であるという理解を前提として記載されている。従って前述の詳細な説明は如何なる意味においても本発明を限定するものではない。本発明の技術的範囲は、この詳細な説明によってではなく「特許請求の範囲」の請求項によって定義される。
1 フォトレジスト積層体
2 限界寸法(CD)
3 側壁角(SWA)
4 第1組のパラメータ
5 ゲート積層体
6 CD
7 SWA
8 第2組のパラメータ
10 第1集積計測装置用制御装置/モデル
11 CDデータ
12 SWAデータ
15 フィードフォワードデータ
16 データアイテム
20 エッチング装置用制御装置/モデル
21 1組以上のレシピパラメータ
25 ゲート積層体のプロファイル制御
27 予測データアイテム
30 第2集積計測装置用制御装置/モデル
31 CDデータ
32 SWAデータ
33 実際の出力
35 フィードバックデータ
40 第1計算構成要素
41 第1組の標的パラメータ
42 出力データアイテム
45 標的データアイテム
50 MLMIMOモデル最適化器
51 制約パラメータ
55 フィードバックデータアイテム
65 エラー値
70 EWMAフィルタ
71 第1のフィルタリングされた出力
72 第2のフィルタリングされた出力
80 重み付け用構成要素
81 動的に変化する重み付け入力
100 処理システム
105 ウエハ
110 リソグラフィサブシステム
111 接続
112 搬送/格納部
113 処理部
114 制御装置
115 評価部
120 スキャナサブシステム
121 接続
122 搬送/格納部
123 処理部
124 制御装置
125 評価部
130 エッチングサブシステム
131 接続
132 搬送/格納部
133 処理部
134 制御装置
135 評価部
140 堆積サブシステム
141 接続
142 搬送/格納部
143 処理部
144 制御装置
145 評価部
150 検査サブシステム
151 接続
152 搬送/格納部
153 処理部
154 制御装置
155 評価部
160 計測サブシステム
161 接続
162 搬送/格納部
163 処理部
164 制御装置
165 評価部
170 搬送サブシステム
174 搬送部
175 搬送トラック
176 搬送トラック
177 搬送トラック
180 製造実行システム
181 接続
190 システム制御装置
195 メモリ/データベース
305 DC電極
306 DC電源
401 第1パターニングされたゲート積層体
402 ソフトマスク部位のCD
403 ソフトマスク部位の側壁角(SWA)
404 第1組のパラメータ
405 後処理されたゲート積層体
406 CD
407 SWA
408 第2組のパラメータ
410 第1集積計測(IM)装置(第1ODP-IM)用制御装置/モデル
411 フィードフォワードデータ
412 データ
415 フィードフォワードデータ
416 データアイテム
420 エッチング装置用制御装置/モデル
421 洗浄/アッシング装置用制御装置/モデル
422 金属ゲートエッチング(MGE)用制御装置/モデル
425 ゲート積層体のプロファイル制御
427 予測データアイテム
430 出力計測装置(第2ODP-IM)用制御装置/モデル
431 データ
433 出力
435 フィードバックデータ
440 第1計算用構成要素
441 第1組の標的パラメータ
442 出力データアイテム
445 標的データアイテム
450 MLMIMOモデル最適化器
455 フィードバックデータアイテム
456 レシピ/チャンバパラメータ
460 比較用構成要素
470 EWMAフィルタ
471 フィルタリングされた出力
472 実際の出力
480 重み付け用構成要素
501 多層金属ゲート積層体
502 多層金属ゲート積層体
503 多層金属ゲート積層体
504 多層金属ゲート積層体
505 多層金属ゲート積層体
506 多層金属ゲート積層体
510 ウエハ層
515 金属ゲート層
520 第3ハードマスク層
525 第1シリコン含有層
530 第2シリコン含有層
535 第2ハードマスク層
540 ゲート幅制御層
545 第1ハードマスク層
550 ソフトマスク部位
560 モデル
561 モデル
562 モデル
563 モデル
564 モデル
565 モデル
566 モデル
567 モデル
568 モデル
569 モデル
570 モデル
575 搬送手段
580 搬送手段
585 搬送手段
601 多層金属ゲート積層体
602 多層金属ゲート積層体
603 多層金属ゲート積層体
610 ウエハ層
615 金属ゲート層
620 第3ハードマスク層
625 第1シリコン含有層
630 第2シリコン含有層
635 第2ハードマスク層
640 ゲート幅制御層
645 第1ハードマスク層
650 ソフトマスク部位
660 モデル
661 モデル
662 モデル
663 モデル
664 モデル
675 搬送手段
680 搬送手段
685 搬送手段
701 多層金属ゲート積層体
702 多層金属ゲート積層体
703 多層金属ゲート積層体
710 ウエハ層
715 金属ゲート層
720 第3ハードマスク層
725 第1シリコン含有層
730 第2シリコン含有層
735 第2ハードマスク層
740 ゲート幅制御層
745 第1ハードマスク層
750 ソフトマスク部位
760 モデル
761 モデル
762 モデル
763 モデル
764 モデル
775 搬送手段
780 搬送手段
785 搬送手段
800 MLMIMOモデル
810 第1ゲート積層体
815 第1処理手順
820 第2ゲート積層体
825 第2処理手順
830 第3ゲート積層体
835 第3処理手順
910 モデル
911 操作変数(MV)
912 外乱変数(DV)
913 制御変数(CV)
915 方程式
920 モデル
921 操作変数(MV)
922 外乱変数(DV)
923 制御変数(CV)
925 方程式
930 フィードフォワード
935 フィードバック

Claims (32)

  1. 多層/多入力/多出力(MLMIMO)モデルの使用方法であって:
    第1組のパターニングされたウエハ及び関連するウエハデータを受け取る工程であって、
    該パターニングされたウエハの各々は第1のパターニングされたソフトマスク層及び複数の追加層を有し、
    前記第1のパターニングされたソフトマスク層は、複数の金属ゲート関連ソフトマスク部位及び少なくとも1つの周期評価構造を有し、
    前記ウエハデータは、前記の第1のパターニングされたソフトマスク層内の少なくとも1つの周期構造についてのリアルタイム集積計測(IM)データを有する、工程;
    第1多層多工程(MLMS)処理手順を設定する工程であって、該第1MLMS処理手順は、第1組のポリエッチング処理を有し、かつ前記第1のパターニングされたソフトマスク層を用いて前記追加層の第1組に第1ゲート幅制御パターンを設定するように備えられている、工程;
    前記第1MLMS処理手順を用いて第2組のパターニングされたウエハを作製する工程;
    前記第1MLMS処理手順についての第1多層/多入力/多出力(MLMIMO)モデルを用いることによって、前記第1MLMS処理手順についての第1シミュレーションデータを作製する工程であって、
    前記第1MLMIMOモデルは、第1数(Na)の第1制御変数(CV1a,CV2a,…CVna)、第1数(Ma)の第1操作変数(MV1a,MV2a,…MVna)、及び第1数(La)の第1外乱変数(DV1a,DV2a,…DVna)を有し、
    ここでLa、Ma及びNaは2以上の整数である、
    工程;
    第2MLMS処理手順を設定する工程であって、該第2MLMS処理手順は、前記第1ゲート幅制御層を用いて金属ゲート構造の第1制御パターンを作製するように備えられている、工程;
    前記第2MLMS処理手順を用いて第3組のパターニングされたウエハ作製する工程;
    前記第2MLMS処理手順についての第2MLMIMOモデルを用いることによって、前記第2MLMS処理手順についての第2シミュレーションデータを作製する工程であって、
    前記第2MLMIMOモデルは、第2数(Nb)の第2制御変数(CV1b,CV2b,…CVnb)、第2数(Mb)の第2操作変数(MV1b,MV2b,…MVnb)、及び第2数(Lb)の第2外乱変数(DV1b,DV2b,…DVnb)を有し、
    ここでLb、Mb及びNbは2以上の整数である、
    工程;
    前記第3組のパターニングされたウエハのうちの少なくとも1つについての評価データを得る工程;
    前記評価データが第1金属ゲート限界範囲未満であるときには、前記第3組のパターニングされたウエハを検証されたウエハであるものと識別する工程;並びに
    前記評価データが前記第1金属ゲートの限界未満でないときには、補正作用を行う工程;
    を有する方法。
  2. 前記第1組のパターニングされたウエハ、前記第2組のパターニングされたウエハ、若しくは前記第1組のパターニングされたウエハ、又は上記の組合せを用いることによって、洗浄手順が実行される、請求項1に記載の方法。
  3. 前記第1組のパターニングされたウエハに関連する前記リアルタイムIMデータ又は追加の測定データを用いて前記第1数(La)の第1外乱変数(DV1a,DV2a,…DVna)を設定する工程;
    第1エッチング用チャンバを用いて前記第1数(Ma)の第1操作変数(MV1a,MV2a,…MVna)を設定する工程であって、前記操作変数(MV1a,MV2a,…MVna)の第1組は、ウエハが処理されている間に変化するように設定されている1つ以上のウエハ内で操作される変数(WiW-MV)、及び前記ウエハが処理された後に変化するように設定されている1つ以上のウエハ間で操作される変数(W2W-MV)を有する、工程;並びに
    前記第1数(Na)の第1制御変数(CV1a,CV2a,…CVna)を計算する工程であって、
    CV(Na)=fNa{MV(1a),…MV(Ma-1),MV(Ma),DV(1a),…DV(La-1),DV(La)}+オフセット(Na)
    である工程;
    を有する、請求項1に記載の方法。
  4. 前記第2組のパターニングされたウエハに関連する前記リアルタイムIMデータ又は追加の測定データを用いて前記第2数(Lb)の第2外乱変数(DV1b,DV2b,…DVnb)を設定する工程;
    第2エッチング用チャンバを用いて前記第2数(Mb)の第1操作変数(MV1b,MV2b,…MVnb)を設定する工程であって、前記操作変数(MV1b,MV2b,…MVnb)の第2組は、ウエハが処理されている間に変化するように設定されている1つ以上のウエハ内で操作される変数(WiW-MV)、及び前記ウエハが処理された後に変化するように設定されている1つ以上のウエハ間で操作される変数(W2W-MV)を有する、工程;並びに
    前記第2数(Nb)の第2制御変数(CV1b,CV2b,…CVnb)を計算する工程であって、
    CV(Nb)=fNa{MV(1b),…MV(Mb-1),MV(Mb),DV(1b),…DV(Lb-1),DV(Lb)}+オフセット(Nb)
    である工程;
    を有する、請求項1に記載の方法。
  5. 前記第1外乱変数(DV1,1,DV1,2,…DV1,N1)が、ウエハ端部に関する第1評価部位の第1限界寸法(CD)、ウエハ中心部に関する第2評価部位の第2CD、前記のウエハ端部に関する第1評価部位の第1側壁角、前記のウエハ中心部に関する第2評価部位の第2側壁角、ソフトマスク層の厚さ、前記追加層のうちの少なくとも1つの層の厚さ、前記ソフトマスク層のエッチング速度、前記追加層のうちの1層以上の層のエッチング速度、少なくとも1つのエッチング用チャンバパラメータ、少なくとも1つの集積計測(IM)装置パラメータ、及び少なくとも1つのチャンバ維持パラメータを有する、請求項1に記載の方法。
  6. 前記第1MLMS処理手順はさらに:
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    第1ハードマスク層エッチング処理を実行する工程であって、前記第1ハードマスク層はシリコン含有反射防止コーティング(ARC)材料を有する、工程;
    を有し、
    前記第1ハードマスク層エッチング処理は:
    前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約12mTから約18mTの範囲である、工程;
    前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約12℃から約20℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約15Torrから25Torrで、かつ
    前記第1端部背面圧は約27Torrから33Torrである、
    工程;
    前記第1ハードマスク層エッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCF4とCHF3を有し、
    CF4の流速は約60sccmから約100sccmまで変化し、
    CHF3の流速は約40sccmから約60sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記第1ハードマスク層エッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約450Wから約550Wの範囲であり、かつ
    前記第2RF出力は約10Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記第1ハードマスク層エッチング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約450Wから約550Wの範囲であり、かつ
    前記低いRF出力は約450Wから約550Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  7. 前記第1ハードマスク層エッチング処理についての第1還元MLMIMOモデルを用いることによって、前記第1MLMIMO処理手順についての第1シミュレーションデータサブセットを生成する工程であって、
    前記第1還元MLMIMOモデルは、第1数(Na1)の第1制御変数(CV1a1,CV2a1,…CVNa1)、第1数(Ma1)の第1操作変数(MV1a1,MV2a1,…MVMa1)、及び第1数(La1)の第1外乱変数(DV1a1,DV2a1,…DVLa1)を有し、
    ここでLa1、Ma1及びNa1は2以上の整数である、
    工程;
    前記第1ハードマスク層エッチング処理についての評価データを得る工程;
    前記第1ハードマスク層エッチング処理についての評価データと前記第1シミュレーションデータサブセットの差異を用いることによって、前記第1ハードマスク層エッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記第1ハードマスク層エッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記第1ハードマスク層エッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項6に記載の方法。
  8. 前記第1MLMS処理手順はさらに:
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    Si-ARC層エッチング処理を実行する工程;
    第1エッチング制御層(ECL)エッチング処理を実行する工程であって、前記第1ECLはゲート幅制御材料を有する、工程;
    を有し、
    前記ECLエッチング処理は:
    前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約15mTから約25mTの範囲である、工程;
    前記第1ECLエッチング処理中の前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約12℃から約20℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約15Torrから20Torrで、かつ
    前記第1端部背面圧は約27Torrから33Torrである、
    工程;
    前記第1ECLエッチング処理中に前記第1エッチング用チャンバへ第2プロセスガスを供する工程であって、
    該第2プロセスガスはO2、CO2とHBrを有し、
    O2の流速は約30sccmから約50sccmまで変化し、
    CO2の流速は約70sccmから約90sccmまで変化し、
    HBrの流速は約25sccmから約35sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第2プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記前記第1ハードマスク層エッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約450Wから約550Wの範囲であり、かつ
    前記第2RF出力は約10Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記第1ハードマスク層エッチング処理中、
    前記RF発生装置が約0.1MHzから約200MHzの範囲で動作し、かつ
    前記低いRF出力は約450Wから約550Wの範囲である、
    工程;
    をさらに有する、
    請求項1に記載の方法。
  9. 前記第1ECLエッチング処理についての第1還元MLMIMOモデルを用いることによって、前記第1MLMIMO処理手順についての第1シミュレーションデータサブセットを生成する工程であって、
    前記第1還元MLMIMOモデルは、第1数(Na1)の第1制御変数(CV1a1,CV2a1,…CVNa1)、第1数(Ma1)の第1操作変数(MV1a1,MV2a1,…MVMa1)、及び第1数(La1)の第1外乱変数(DV1a1,DV2a1,…DVLa1)を有し、
    ここでLa1、Ma1及びNa1は2以上の整数である、
    工程;
    前記第1ECLエッチング処理についての評価データを得る工程;
    前記第1 ECLエッチング処理についての評価データと前記第1シミュレーションデータサブセットの差異を用いることによって、前記第1ハードマスク層エッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記第1ECLエッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記第1ECLエッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項8に記載の方法。
  10. 前記第2MLMS処理手順はさらに:
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    Si-ARC層エッチング処理及び/又はエッチング制御層(ECL)エッチング処理を実行する工程;
    第2ハードマスク層エッチング処理を実行する工程であって、該第2ハードマスク層はテトラエチルオルソシリケート(TEOS)材料を有する工程;
    を有し、
    前記第2ハードマスク層エッチング処理は:
    該第2ハードマスク層エッチング処理中での前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約35mTから約45mTの範囲である、工程;
    第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約25℃から約35℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約15Torrから25Torrで、かつ
    前記第1端部背面圧は約27Torrから33Torrである、
    工程;
    前記第2ハードマスク層エッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCF4、CHF3とO2を有し、
    CF4の流速は約40sccmから約60sccmまで変化し、
    CHF3の流速は約40sccmから約60sccmまで変化し、
    O2の流速は約3sccmから約7sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記第2ハードマスク層エッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約550Wから約650Wの範囲であり、かつ
    前記第2RF出力は約50Wから約150Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記第2ハードマスク層エッチング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約90Wから約110Wの範囲である、
    工程;
    をさらに有する、
    請求項1に記載の方法。
  11. 前記第2ハードマスク層エッチング処理についての第1還元MLMIMOモデルを用いることによって、前記第1MLMIMO処理手順についての第1シミュレーションデータサブセットを生成する工程であって、
    前記第1還元MLMIMOモデルは、第1数(Na1)の第1制御変数(CV1a1,CV2a1,…CVNa1)、第1数(Ma1)の第1操作変数(MV1a1,MV2a1,…MVMa1)、及び第1数(La1)の第1外乱変数(DV1a1,DV2a1,…DVLa1)を有し、
    ここでLa1、Ma1及びNa1は2以上の整数である、
    工程;
    前記第2ハードマスク層エッチング処理についての評価データを得る工程;
    前記第2ハードマスク層エッチング処理についての評価データと前記第1シミュレーションデータサブセットの差異を用いることによって、前記第2ハードマスク層エッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記第2ハードマスク層エッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記第2ハードマスク層エッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項10に記載の方法。
  12. 第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    Si-ARC層エッチング処理、エッチング制御層(ECL)エッチング処理、若しくは第2ハードマスク層エッチング処理、又は上記の組合せを実行する工程;
    TEOSオーバーエッチング(OE)処理を実行する工程;
    を有する方法であって、
    前記TEOS OE処理は:
    該TEOS OE処理中での前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約35mTから約45mTの範囲である、工程;
    前記TEOS OE処理中での前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約25℃から約35℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約15Torrから25Torrで、かつ
    前記第1端部背面圧は約27Torrから33Torrである、
    工程;
    前記TEOS OE処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCF4、CHF3とO2を有し、
    CF4の流速は約40sccmから約60sccmまで変化し、
    CHF3の流速は約40sccmから約60sccmまで変化し、
    O2の流速は約3sccmから約7sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記TEOS OE処理中に、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約550Wから約650Wの範囲であり、かつ
    前記第2RF出力は約50Wから約150Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記TEOS OE処理中に、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約90Wから約110Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  13. 前記TEOS OE処理についての第1還元MLMIMOモデルを用いることによって、前記第1MLMIMO処理手順についての第1シミュレーションデータサブセットを生成する工程であって、
    前記第1還元MLMIMOモデルは、第1数(Na1)の第1制御変数(CV1a1,CV2a1,…CVNa1)、第1数(Ma1)の第1操作変数(MV1a1,MV2a1,…MVMa1)、及び第1数(La1)の第1外乱変数(DV1a1,DV2a1,…DVLa1)を有し、
    ここでLa1、Ma1及びNa1は2以上の整数である、
    工程;
    前記TEOS OE処理についての評価データを得る工程;
    前記TEOS OE処理についての評価データと前記第1シミュレーションデータサブセットの差異を用いることによって、前記TEOS OE処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記TEOS OE処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記TEOS OE処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項12に記載の方法。
  14. 第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて第1のパターニングされたウエハを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    Si-ARC層エッチング処理、エッチング制御層(ECL)エッチング処理、若しくは第2ハードマスク層エッチング処理、又は上記の組合せを実行する工程;
    第1アッシング用チャンバ内の前記第1多領域温度制御ウエハホルダへ、前記第1パターニングされたウエハを、前記第1アッシング用チャンバと結合する前記搬送サブシステムを用いて搬送する工程;
    を有する方法であって、
    前記アッシング処理は:
    前記アッシング処理中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約125mTから約175mTの範囲である、工程;
    前記アッシング処理中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記アッシング処理中、
    前記第1中心部温度は約70℃から約80℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記アッシング処理中に前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約15Torrから25Torrで、かつ
    前記第1端部背面圧は約27Torrから33Torrである、
    工程;
    前記アッシング処理中に前記第1ハードマスク層エッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはO2を有し、
    O2の流速は約430sccmから約470sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1アッシング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1アッシング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記アッシング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約350Wから約450Wの範囲であり、かつ
    前記第2RF出力は約10Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記アッシング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約20Wから約30Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  15. 前記アッシング処理についての第1還元MLMIMOモデルを用いることによって、前記第1MLMIMO処理手順についての第1シミュレーションデータサブセットを生成する工程であって、
    前記第1還元MLMIMOモデルは、第1数(Na1)の第1制御変数(CV1a1,CV2a1,…CVNa1)、第1数(Ma1)の第1操作変数(MV1a1,MV2a1,…MVMa1)、及び第1数(La1)の第1外乱変数(DV1a1,DV2a1,…DVLa1)を有し、
    ここでLa1、Ma1及びNa1は2以上の整数である、
    工程;
    前記アッシング処理についての評価データを得る工程;
    前記アッシング処理についての評価データと前記第1シミュレーションデータサブセットの差異を用いることによって、前記アッシング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記アッシング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記アッシング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項14に記載の方法。
  16. 第1多層多工程(MLMS)処理手順を実行する工程であって、前記第2組のパターニングされたウエハが作製される工程;
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて前記第2組のパターニングされたウエハのうちの1つを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    ブレークスルー(BT)エッチング処理を実行する工程;
    を有する方法であって、
    前記BTエッチング処理は:
    該BTエッチング中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約8mTから約12mTの範囲である、工程;
    前記BTエッチング中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約70℃から約80℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約8Torrから12Torrで、かつ
    前記第1端部背面圧は約8Torrから12Torrである、
    工程;
    前記BTエッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCF4を有し、
    CF4の流速は約120sccmから約150sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記BTエッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約600Wから約700Wの範囲であり、かつ
    前記第2RF出力は約10Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記BTエッチング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約175Wから約200Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  17. 前記BTエッチング処理についての第2還元MLMIMOモデルを用いることによって、前記第2MLMIMO処理手順についての第2シミュレーションデータサブセットを生成する工程であって、
    前記第2還元MLMIMOモデルは、第2数(Nb1)の第2制御変数(CV1b1,CV2b1,…CVNb1)、第2数(Mb1)の第2操作変数(MV1b1,MV2b1,…MVMb1)、及び第2数(Lb1)の第2外乱変数(DV1b1,DV2b1,…DVLb1)を有し、
    ここでLb1、Mb1及びNb1は2以上の整数である、
    工程;
    前記BTエッチング処理についての評価データを得る工程;
    前記BTエッチング処理についての評価データと前記第2シミュレーションデータサブセットの差異を用いることによって、前記BTエッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記BTエッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記BTエッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項16に記載の方法。
  18. 第1多層多工程(MLMS)処理手順を実行する工程であって、前記第2組のパターニングされたウエハが作製される工程;
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて前記第2組のパターニングされたウエハのうちの1つを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    ブレークスルー(BT)エッチング処理を実行する工程;
    主エッチング(ME)処理を実行する工程;
    を有する方法であって、
    前記ME処理は:
    該ME処理中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約8mTから約12mTの範囲である、工程;
    前記ME処理中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約70℃から約80℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約8Torrから12Torrで、かつ
    前記第1端部背面圧は約8Torrから12Torrである、
    工程;
    前記ME処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCF4を有し、
    CF4の流速は約120sccmから約150sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記ME処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約120Wから約150Wの範囲であり、かつ
    前記第2RF出力は約10Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記第1ハードマスク層エッチング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約0Wから約10Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  19. 前記ME処理についての第2還元MLMIMOモデルを用いることによって、前記第2MLMIMO処理手順についての第2シミュレーションデータサブセットを生成する工程であって、
    前記第2還元MLMIMOモデルは、第2数(Nb1)の第2制御変数(CV1b1,CV2b1,…CVNb1)、第2数(Mb1)の第2操作変数(MV1b1,MV2b1,…MVMb1)、及び第2数(Lb1)の第2外乱変数(DV1b1,DV2b1,…DVLb1)を有し、
    ここでLb1、Mb1及びNb1は2以上の整数である、
    工程;
    前記ME処理についての評価データを得る工程;
    前記ME処理についての評価データと前記第2シミュレーションデータサブセットの差異を用いることによって、前記ME処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記ME処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記ME処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項18に記載の方法。
  20. 第1多層多工程(MLMS)処理手順を実行する工程であって、前記第2組のパターニングされたウエハが作製される工程;
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて前記第2組のパターニングされたウエハのうちの1つを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    ブレークスルー(BT)エッチング処理及び/又は主エッチング(ME)処理を実行する工程;
    オーバーエッチング(OE)処理を実行する工程;
    を有する方法であって、
    前記OE処理は:
    該OE処理中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約8mTから約12mTの範囲である、工程;
    前記OE処理中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約70℃から約80℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約8Torrから12Torrで、かつ
    前記第1端部背面圧は約8Torrから12Torrである、
    工程;
    前記OE処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはO2及びHBrを有し、
    O2の流速は約2sccmから約6sccmまで変化し、
    HBrの流速は約220sccmから約280sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記OE処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約120Wから約150Wの範囲であり、かつ
    前記第2RF出力は約0Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記OE処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約20Wから約40Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  21. 前記OE処理についての第2還元MLMIMOモデルを用いることによって、前記第2MLMIMO処理手順についての第2シミュレーションデータサブセットを生成する工程であって、
    前記第2還元MLMIMOモデルは、第2数(Nb1)の第2制御変数(CV1b1,CV2b1,…CVNb1)、第2数(Mb1)の第2操作変数(MV1b1,MV2b1,…MVMb1)、及び第2数(Lb1)の第2外乱変数(DV1b1,DV2b1,…DVLb1)を有し、
    ここでLb1、Mb1及びNb1は2以上の整数である、
    工程;
    前記OE処理についての評価データを得る工程;
    前記OE処理についての評価データと前記第2シミュレーションデータサブセットの差異を用いることによって、前記OE処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記OE処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記OE処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項20に記載の方法。
  22. 第1多層多工程(MLMS)処理手順を実行する工程であって、前記第2組のパターニングされたウエハが作製される工程;
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて前記第2組のパターニングされたウエハのうちの1つを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    ブレークスルー(BT)エッチング処理、主エッチング(ME)処理、OE処理、又は上記の組合せを実行する工程;
    チタン窒化物(TiN)エッチング処理を実行する工程;
    を有する方法であって、
    前記TiNエッチング処理は:
    該TiNエッチング中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約8mTから約12mTの範囲である、工程;
    前記TiNエッチング中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1端部温度と第1中心部温度を設定する工程であって、
    前記第1中心部温度は約70℃から約80℃で、
    前記第1端部温度は約8℃から約12℃で、
    低温チラーが前記第1多領域温度制御ウエハホルダと結合し、
    前記低温チラーは前記第1期間の間約-20℃から約10℃で動作する、
    工程;
    前記第1多領域温度制御ウエハホルダ内のデュアル背面ガスシステムを用いて第1端部背面圧と第1中心部背面圧を設定する工程であって、
    前記第1中心部背面圧は約8Torrから12Torrで、かつ
    前記第1端部背面圧は約8Torrから12Torrである、
    工程;
    前記TiNエッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはCl2を有し、
    Cl2の流速は約12sccmから約18sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記TiNエッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約180Wから約220Wの範囲であり、かつ
    前記第2RF出力は約0Wから約100Wの範囲である、
    工程;並びに
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1多領域温度制御ウエハホルダ内の下部電極へ低い高周波(RF)出力を供する工程であって、
    前記TiNエッチング処理中、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、かつ
    前記低いRF出力は約40Wから約60Wの範囲である、
    工程;
    をさらに有する、請求項1に記載の方法。
  23. 前記TiNエッチング処理についての第2還元MLMIMOモデルを用いることによって、前記第2MLMIMO処理手順についての第2シミュレーションデータサブセットを生成する工程であって、
    前記第2還元MLMIMOモデルは、第2数(Nb1)の第2制御変数(CV1b1,CV2b1,…CVNb1)、第2数(Mb1)の第2操作変数(MV1b1,MV2b1,…MVMb1)、及び第2数(Lb1)の第2外乱変数(DV1b1,DV2b1,…DVLb1)を有し、
    ここでLb1、Mb1及びNb1は2以上の整数である、
    工程;
    前記TiNエッチング処理についての評価データを得る工程;
    前記TiNエッチング処理についての評価データと前記第2シミュレーションデータサブセットの差異を用いることによって、前記BTエッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記TiNエッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記TiNエッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項22に記載の方法。
  24. 第1多層多工程(MLMS)処理手順を実行する工程であって、前記第2組のパターニングされたウエハが作製される工程;
    ブレークスルー(BT)エッチング処理、主エッチング(ME)処理、OE処理、チタン窒化物(TiN)エッチング処理、又は上記の組合せを実行する工程;
    第1エッチング用チャンバ内の第1多領域温度制御ウエハホルダへ、前記第1エッチング用チャンバと結合する搬送サブシステムを用いて前記第2組のパターニングされたウエハのうちの少なくとも1つを搬送する工程であって、前記搬送サブシステムは搬送中に前記第1パターニングされたウエハ上に酸化膜が形成されるのを防止するように備えられている、工程;
    High-k(HK)エッチング処理を実行する工程;
    を有する方法であって、
    前記HKエッチング処理は:
    該HKエッチング中に前記第1エッチング用チャンバ内の第1チャンバ圧力を設定する工程であって、前記第1チャンバ圧力は約8mTから約12mTの範囲である、工程;
    前記HKエッチング中に前記第1ハードマスク層エッチング処理中の第1期間の間前記第1多領域温度制御ウエハホルダについての第1温度を設定する工程であって、前記第1温度は約350℃から約390℃である工程;
    前記HKエッチング処理中に前記第1エッチング用チャンバへ第1プロセスガスを供する工程であって、
    該第1プロセスガスはBCl3を有し、
    BCl3の流速は約130sccmから約180sccmまで変化し、
    ガス注入システムが、処理領域のうちの1つ以上の範囲へ前記第1プロセスガスを供するように備えられている、
    工程;
    前記第1エッチング用チャンバ内の2つの上部電極と結合する第1パワースプリッタを用いて、前記第1エッチング用チャンバ内の中心部領域へ第1高周波(RF)出力を供して前記第1エッチング用チャンバ内の端部領域へ第2RF出力を供する工程であって、
    前記HKエッチング処理中、
    第1RF源が前記第1パワースプリッタと結合し、
    第1RF源は約0.1MHzから約200MHzの範囲である第1周波数範囲で動作し、
    前記第1RF出力は約550Wから約650Wの範囲であり、かつ
    前記第2RF出力は約0Wから約100Wの範囲である、
    工程;並びに
    をさらに有する、請求項1に記載の方法。
  25. 前記HKエッチング処理についての第2還元MLMIMOモデルを用いることによって、前記第2MLMIMO処理手順についての第2シミュレーションデータサブセットを生成する工程であって、
    前記第2還元MLMIMOモデルは、第2数(Nb1)の第2制御変数(CV1b1,CV2b1,…CVNb1)、第2数(Mb1)の第2操作変数(MV1b1,MV2b1,…MVMb1)、及び第2数(Lb1)の第2外乱変数(DV1b1,DV2b1,…DVLb1)を有し、
    ここでLb1、Mb1及びNb1は2以上の整数である、
    工程;
    前記HKエッチング処理についての評価データを得る工程;
    前記HKエッチング処理についての評価データと前記第2シミュレーションデータサブセットの差異を用いることによって、前記HKエッチング処理についての危険性データを決定する工程;
    第1危険性データが第1危険性の限界未満であるときには、前記HKエッチング処理は検証された処理であるものと識別する工程;並びに
    第1危険性データが第1危険性の限界未満でないときには、前記HKエッチング処理は検証されていない処理であるものと識別する工程;
    をさらに有する、請求項24に記載の方法。
  26. 前記金属ゲート構造が、pFET構造、nFET構造、3ゲート構造、及びFinFET構造を有する、請求項1に記載の方法。
  27. 多層/多入力/多出力(MLMIMO)モデルを使用して複数のウエハ上に金属ゲート構造を作製する方法であって:
    a)第1組の露光条件設定用ウエハ(send ahead wafer)及び関連するウエハデータを受け取る工程であって、該ウエハデータはリアルタイム及び履歴データを有する工程;
    b)1つ以上の前記露光条件設定用ウエハ上のパターニングされたフォトレジスト層に関連するリアルタイム集積計測(IM)データを用いることによって、第1多層エッチング手順についての第1数(La)の外乱変数DV(La)、及び第2多層エッチング手順についての第2数(Lb)の外乱変数DV(Lb)を設定する工程であって、
    前記リアルタイムIMデータは、限界寸法(CD)データ、側壁角(SWA)データ、厚さデータ、フォトレジストデータ、BARCデータ、前記ウエハデータ、及び各入ってくるウエハ上の前記パターニングされたフォトレジスト層内の複数の位置からの回折信号データを有し、
    La及びLbは3以上の整数である、
    工程;
    c)前記第1多層エッチング手順についての第1数(Ma)の操作変数MV(Ma)、及び前記第2多層エッチング手順についての第2数(Mb)の操作変数MV(Mb)を設定する工程であって、Ma及びMbは3以上の整数である工程;
    d)前記第1多層エッチング手順についての第1数(Na)の制御変数CV(Na)、及び前記第2多層エッチング手順についての第2数(Nb)の制御変数MV(Nb)を設定する工程であって、Na及びNbは3以上の整数である工程であって、
    ここでCV(Na)=fNa{MV(1a),…MV(Ma-1),MV(Ma),DV(1a),…DV(La-1),DV(La)}+オフセットNa
    CV(Nb)=fNb{MV(1b),…MV(Mb-1),MV(Mb),DV(1b),…DV(Lb-1),DV(Lb)}+オフセットNb
    La、Ma、及びNaは3以上の整数である、
    工程;
    e)2次目的関数を用いて最適化されたプロセス設定を計算する工程であって、前記第1多層エッチング手順についての第1標的偏差t(Na)は、t(Na)={DV(La)-標的CV(Na)}と定義される、工程;
    f)2次目的関数を用いて最適化されたプロセス設定を計算する工程であって、前記第2多層エッチング手順についての第2標的偏差t(Nb)は、t(Nb)={DV(Lb)-標的CV(Nb)}と定義される、工程;
    g)非線形プログラミング中に設定される1つ以上の計算された操作変数を用いて前記第1多層エッチング手順及び/又は前記第2多層エッチング手順についての調節されたプロセスレシピを定義する工程;
    h)前記調節されたプロセスレシピを用いて前記第1組の露光条件設定用ウエハのうちの1つ以上を処理する工程;
    i)前記露光条件設定用ウエハのうちの1つ以上の追加測定データを得る工程であって、新たな制御変数データが得られ、かつフィルタリングされる、工程;
    j)前記新たな制御変数データと予測される制御変数データとの差異を用いて1つ以上のエラーを計算する工程;
    k)フィードバックデータアイテムを計算する工程であって、エラーは、指数重み付け移動平均(EWMA)フィルタを用いて、前記第1多層エッチング手順についてのオフセットNa及び/又は前記第2多層エッチング手順についてのオフセットNbの更新に用いられる、工程;
    l)最適化ユニット内で前記第1多層エッチング手順についてのオフセットNa及び/又は前記第2多層エッチング手順についてのオフセットNbを更新する工程;
    m)前記第1組の露光条件設定用ウエハ内の各ウエハを用いて工程a)-l)を繰り返す工程;
    を有する、方法。
  28. 前記第1多層エッチング手順についての第1操作変数が、次式で定義される第1の2次目的関数を用いた非線形プログラミングの実行によって計算され、
    前記第1の2次目的関数は、
    Figure 2010041051
    と定義され、
    Wjaは重み付け因子で、かつ前記操作変数MV(Ma)は次式で定義される不等式の制約を受け、
    該不等式は、
    閾値の下限(Ma)≦MV(Ma)≦閾値の上限(Ma)、及び
    前記閾値の下限(Ma)と閾値の上限(Ma)は複数の装置の制約を用いて決定される、
    請求項27に記載の方法。
  29. 前記第2多層エッチング手順についての第2操作変数が、次式で定義される第2の2次目的関数を用いた非線形プログラミングの実行によって計算され、
    前記第2の2次目的関数は、
    Figure 2010041051
    と定義され、
    Wjbは重み付け因子で、かつ前記操作変数MV(Mb)は次式で定義される不等式の制約を受け、
    該不等式は、
    閾値の下限(Mb)≦MV(Mb)≦閾値の上限(Mb)、及び
    前記閾値の下限(Mb)と閾値の上限(Mb)は複数の装置の制約を用いて決定される、
    請求項28に記載の方法。
  30. 前記重み付け因子WjaとWjbは、1つ以上のフィードバックエラーに基づいて動的に更新される、請求項29に記載の方法。
  31. 1つ以上の操作変数が許容プロセスウインドウの範囲外であるときに、1つ以上の制御変数の標的が優先される、請求項29に記載の方法。
  32. 前記調節されたレシピが、プロセス状態データ及び/又はチャンバ状態データを用いて定義される、請求項29に記載の方法。
JP2009176455A 2008-08-06 2009-07-29 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法 Pending JP2010041051A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/186,619 US7894927B2 (en) 2008-08-06 2008-08-06 Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures

Publications (1)

Publication Number Publication Date
JP2010041051A true JP2010041051A (ja) 2010-02-18

Family

ID=41653679

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009176455A Pending JP2010041051A (ja) 2008-08-06 2009-07-29 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法

Country Status (5)

Country Link
US (1) US7894927B2 (ja)
JP (1) JP2010041051A (ja)
KR (1) KR101530098B1 (ja)
CN (1) CN101707189B (ja)
TW (1) TWI417754B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014513415A (ja) * 2011-03-28 2014-05-29 東京エレクトロン株式会社 適合性レシピ選択
KR101832561B1 (ko) * 2015-05-21 2018-02-27 삼성전기주식회사 공진기 패키지 및 이의 제조방법
US10367471B2 (en) 2015-05-21 2019-07-30 Samsung Electro-Mechanics Co., Ltd. Resonator package and method of manufacturing the same

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8612045B2 (en) * 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
CN102622481B (zh) * 2012-03-06 2014-03-12 中国航天科技集团公司第九研究院第七七一研究所 互补数据冗余结构型cmos标准单元电路物理库模型设计方法
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9588441B2 (en) * 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
CN102930101B (zh) * 2012-11-01 2015-05-20 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
US8799848B1 (en) 2013-01-15 2014-08-05 International Business Machines Corporation Methods for modeling of FinFET width quantization
US9224368B2 (en) * 2013-02-20 2015-12-29 Google Inc. Merging three-dimensional models of varying resolution
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
WO2015084523A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct current superposition freeze
KR20220025939A (ko) * 2013-12-23 2022-03-03 인텔 코포레이션 다수의 핀 피치 구조에 걸쳐 곧고, 높고, 균일한 핀을 위한 진보된 에칭 기법
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10861677B2 (en) * 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US11215639B2 (en) * 2017-11-16 2022-01-04 Mitsubishi Electric Corporation Probe card, semiconductor measuring device, and semiconductor measuring system
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
US11761969B2 (en) 2020-01-21 2023-09-19 Kla Corporation System and method for analyzing a sample with a dynamic recipe based on iterative experimentation and feedback
KR20230124043A (ko) * 2020-12-21 2023-08-24 램 리써치 코포레이션 반도체 제작 장비의 프로세스 제어를 위한 적응형 모델 트레이닝 (adaptive model training)
TWI803047B (zh) * 2021-11-11 2023-05-21 南亞科技股份有限公司 形成半導體結構的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法
JP2007294943A (ja) * 2006-03-30 2007-11-08 Tokyo Electron Ltd 半導体装置の製造方法、エッチング装置及び記憶媒体
JP2008513997A (ja) * 2004-09-20 2008-05-01 東京エレクトロン株式会社 モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166873A (en) * 1989-09-13 1992-11-24 Yokogawa Electric Corporation Process control device
DE69212721T2 (de) * 1991-06-11 1997-01-23 Toshiba Kawasaki Kk Prozesssteuerung
US5329443A (en) * 1992-06-16 1994-07-12 Praxair Technology, Inc. Two-phase method for real time process control
JP3909654B2 (ja) * 2001-05-10 2007-04-25 ソニー株式会社 ルールベースopcの評価方法およびシミュレーションベースopcモデルの評価方法並びにマスクの製造方法
US7425391B2 (en) * 2001-10-02 2008-09-16 Guobiao Zhang Highly-corrected mask
TW591448B (en) * 2002-12-09 2004-06-11 Univ Feng Chia Method for determining parasitic inductance by using new metal oxide semiconductor FET transmission line equivalence circuit model
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
KR100655155B1 (ko) * 2004-07-05 2006-12-08 (주) 미코티엔 반도체 검사용 프로브 카드
CN1673909A (zh) * 2004-07-23 2005-09-28 上海宝信软件股份有限公司 过程设定控制系统及其控制方法
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
US7442591B2 (en) * 2006-04-19 2008-10-28 Freescale Semiconductor, Inc. Method of making a multi-gate device
US7801635B2 (en) * 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
KR100826655B1 (ko) * 2007-05-21 2008-05-06 주식회사 하이닉스반도체 광 근접 효과 보정 방법
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法
JP2008513997A (ja) * 2004-09-20 2008-05-01 東京エレクトロン株式会社 モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御
JP2007294943A (ja) * 2006-03-30 2007-11-08 Tokyo Electron Ltd 半導体装置の製造方法、エッチング装置及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014513415A (ja) * 2011-03-28 2014-05-29 東京エレクトロン株式会社 適合性レシピ選択
KR101832561B1 (ko) * 2015-05-21 2018-02-27 삼성전기주식회사 공진기 패키지 및 이의 제조방법
US10367471B2 (en) 2015-05-21 2019-07-30 Samsung Electro-Mechanics Co., Ltd. Resonator package and method of manufacturing the same

Also Published As

Publication number Publication date
TWI417754B (zh) 2013-12-01
KR20100018478A (ko) 2010-02-17
CN101707189A (zh) 2010-05-12
CN101707189B (zh) 2011-04-13
TW201009625A (en) 2010-03-01
US7894927B2 (en) 2011-02-22
US20100036518A1 (en) 2010-02-11
KR101530098B1 (ko) 2015-06-19

Similar Documents

Publication Publication Date Title
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2010041051A (ja) 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
US8501499B2 (en) Adaptive recipe selector
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US7713758B2 (en) Method and apparatus for optimizing a gate channel
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
JP5577532B2 (ja) Dc/rfハイブリッド処理システム
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
KR20120117872A (ko) 스위쳐블 중성빔 소스
Ellipsometry-Terry PRESENTATION OUTLINE

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130528