JP2014513415A - 適合性レシピ選択 - Google Patents

適合性レシピ選択 Download PDF

Info

Publication number
JP2014513415A
JP2014513415A JP2014502768A JP2014502768A JP2014513415A JP 2014513415 A JP2014513415 A JP 2014513415A JP 2014502768 A JP2014502768 A JP 2014502768A JP 2014502768 A JP2014502768 A JP 2014502768A JP 2014513415 A JP2014513415 A JP 2014513415A
Authority
JP
Japan
Prior art keywords
iec
data
etching
ieo
etching process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014502768A
Other languages
English (en)
Inventor
サンダララヤン,ラダ
ファンク,メリット
チェン,リー
レーン,バートン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2014513415A publication Critical patent/JP2014513415A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Computing Systems (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Physics (AREA)
  • Pure & Applied Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本発明は、イオンエネルギー(IE)関連多層処理及びイオンエネルギーが制御された多入力/多出力(IEC-MIMO)モデル及びライブラリを用いたウエハ処理方法に関する。前記IEC-MIMOモデル及びライブラリは、1つ以上の測定処理、1つ以上のIECエッチング処理、及び1つ以上のイオンエネルギーが最適化された(IEO)エッチング処理を含んで良い。前記IEC-MIMOプロセス制御は、多層及び/又は多重IECエッチング処理同士の動的に相互作用する挙動のモデル化を利用する。前記多層及び/又は多重IECエッチング処理は、IEOエッチング処理を用いて生成されうるライン、トレンチ、ビア、スペーサ、コンタクト、及びゲート構造の生成と関連しうる。

Description

本発明はウエハ処理に関し、より詳細には、イオンエネルギーが制御された(IEC)エッチング処理及び関連するイオンエネルギーが制御された多入力/多出力(IEC-MIMO)モデルを用いることによってリアルタイムでウエハ上に金属ゲート構造を作製する装置及び方法に関する。
エッチングプロセスの挙動は本質的に非線形で、ステップ(層)間の相互作用すなわち処理された積層体がそのまま1つになる。東京エレクトロン株式会社(TEL)製チャンバの物理的モデル化、基礎となるプロセス、並びに、プロセスの改良及び調節からえられる経験的データ及び測定に基づくプロセス相互作用の知識によって、限界寸法(CD)、側壁角度(SWA)、深さ、膜厚、オーバーエッチング、アンダーカット、表面洗浄、及び損傷制御の制御は、多入力多出力非線形モデルを用いて帰納的に計算及び最適化可能である。現在の低コスト製品はバルクシリコン技術を用いている。トランジスタのサイズが縮小し続けているので、チャネル深さの効果は重要になってきている(非常に浅いソース/ドレイン拡張部)。SOI膜が薄くなることで、ゲート及び/又はスペーサの厚さの変化、並びにSOI(絶縁体上のシリコン)膜の厚さの変化が小さくなることで、トランジスタの性能に影響を及ぼすと考えられる。エッチング処理が制御されなければ、ゲート付近での材料の除去はその電気的特性に影響を及ぼす。
米国特許出願公開第2010/0036514号明細書 米国特許出願公開第2009/0242513号明細書 米国特許出願第61/468187号明細書 米国特許第7777179号明細書 米国特許第7875859号明細書 米国特許第7894927号明細書 米国特許出願公開第2006/0037701A1号明細書 米国特許出願公開第2009/0242790A1号明細書
ジーン・ピール・コリウ(Jean Pierre Corriu)「プロセス制御:理論と応用」(Process Control:Theory and Applications)、
現在の高性能マイクロプロセッサは、PD SOI(部分的に空乏化したSOI)膜を用いることで、0.2[V]の閾値電圧を与えている。PD SOI膜が約50nmである一方で、ゲート及び/又はスペーサの減少量は、ゲート及び/又はスペーサの合計厚さに対して大きな割合(10%)にもなる。次世代のSOI膜はFD SOI(0.08[V]の閾値電圧と〜25nmの厚さを与える完全に空乏化したSOI)と呼ばれる。現在これらの膜は生産されていない。均一性及び欠陥に係る厚さの制御に限界があるためである。チャネル移動度はSOIの厚さと共に劣化する。SOI膜が薄くなることで、金属ゲート構造の制御がより重要になる。
本発明は、イオンエネルギーが制御された(IEC)エッチング処理及び関連する(IE)多入力/多出力(MIMO)モデルを用いることによってリアルタイムでパターニングされたウエハ上にゲート構造及び標的構造を作製する装置及び方法を供する。
本発明の他の態様は以降の記載及び添付の図面から明らかになる。
本発明の実施例による処理システムの典型的ブロック図を図示している。 A-Gは、本発明の実施例によるイオンエネルギーが制御された(IEC)エッチングサブシステムの典型的ブロック図を図示している。 A-Gは、本発明の実施例による別なイオンエネルギーが制御された(IEC)エッチングサブシステムの典型的ブロック図を図示している。 本発明の実施例による典型的なイオンエネルギーが制御された(IEC)多入力/多出力(IEC-MIMO)モデルの最適化及び制御方法の単純化されたブロック図を図示している。 本発明の実施例による金属ゲート構造を作製する第1イオンエネルギーが制御された(IEC)関連処理の典型図を示している。 本発明の実施例による金属ゲート構造を作製する第1イオンエネルギーが制御された(IEC)関連処理の典型図を示している。 本発明の実施例による標的層中に構造を作製する第2イオンエネルギーが制御された(IEC)関連処理の典型図を示している。 本発明の実施例による標的層中に構造を作製する第2イオンエネルギーが制御された(IEC)関連処理の典型図を示している。 本発明の実施例による2つの部分からなるイオンエネルギーが制御された(IEC)多入力/多出力(IEC-MIMO)モデルの典型的ブロック図を示している。 本発明の実施例によるイオンエネルギーが制御された(IEC)多入力/多出力(IEC-MIMO)モデルの開発手順の典型的フローダイアグラムを示している。 本発明の実施例によるIE関連処理を用いたウエハ処理方法の単純化されたフローを図示している。 本発明の実施例によるイオンエネルギー(IE)センサの典型的ブロック図を図示している。 本発明の実施例によるIEセンサウエハの使用法を示している。 本発明の実施例によるイオンエネルギー分布(IED)データの第1集合を表している。
ここで本発明の実施例について、単なる例示でしかない添付図面を参照しながら説明する。図中、対応する参照番号は対応する部品を指すものとする。
(イオンエネルギーが制御された)IEC-MIMOモデルは、必要な用途に基づいて、非常に細かい層に分割されて良い。各層は物理的材料であって良い。ここで層の分離は、材料の変化又は寸法上の層の境界によって表される。層は、マスク層、金属ゲート積層体層、スペーサ堆積層、及びエッチングされた金属ゲート積層体層を有して良い。層は、複数の工程を分離するのに用いられる時間若しくは終点検出(EPD)によって(イオンエネルギーが制御された)IECエッチング工程又は(イオンエネルギーが最適化された)IEOエッチング工程をマッピングして良い。それに加えて、連続的なリアルタイム制御装置は、計測データ、センサ、及びエッチングモデルの組合せからのリアルタイム更新によって動作して良い。
DOEは、各電位制御ノブのプロセスゲイン、各層に関連する入力と出力との相互作用、及び、層と層とのプロセス制御ループの相互作用とゲインをモデル化するように実行されて良い。各制御ノブと出力との間での相互作用を決定する方法は、モデル安定性-たとえば相対ゲインアレイ(RGA)解析-の評価及び最適化に用いられて良い。この情報は、相互作用しない個々のフィードバックループの設定を駆動しても良い。
IEC-MIMOモデルは、1組の目標(すなわち目標とされた出力)についての最適な入力を計算するのに用いられる。制約条件は、プロセスパラメータ-たとえば時間、気体流、及び層の側での温度-の範囲であって良い。IEC-MIMOモデルの開発中、1組の重み付けが、最適化器に、ある所与の時間での現在のプロセス計算よりも、最も大きな値を有する出力を優先させるように与えられる。標的の重み付けが用いられて良い。ここでは最適化器が直線的又は非直線的に標的から離れるように移動する際に、実効的に警告する標的及び利得定数を与えるような方程式が、重み付けの計算に適用される。標的は中央の標的又は極限の標的であって良い(所与の値を超えた値-たとえばSWAによる)。
フィードバックは、多重ループの形式をとって良い。フィードバックエラーの計算による目標出力の各々は、実際に予測できないエラーに基づく。IEC-MIMOモデルを用いることによって、各予測出力エラーは、実際のエラーを決定するフィードバック測定により計算され、かつ適合される必要がある。フィードバックフィルタリング法-たとえば指数重み付け移動平均(EWMA)フィルタ又はカルマンフィルタ-が雑音のフィルタリングに用いられて良い。IECエッチング処理又はIEOエッチング処理に係る制御装置からの出力は適合度(GOF)の値を含んで良い。この適合度の値は、カスケード状の制御装置への入力として用いられて良い。
MIMO制御装置は様々な回数での更新を計算して良い。その理由は、処理工程が実行されることで、その制御装置は、過去の計算、計算誤差、装置の状態変化、又は最新の更新に組み込まれる材料の状態に基づいて新たな更新を作成することが可能となるからである。
IECエッチング処理では、レジストパラメータが測定されるとき、そのパラメータは、フィードフォワード用に用いられて良く、かつ、過去のウエハフィードバック及びチャンバ状態の情報に基づいて重み付けされて良い。ロット初期では、IEC-MIMOモデルはパターニングされた軟マスク層について既知の値のうち最高の値を用いるように備えられて良く、かつ、これらはこれまでのロットの分布の中心に重みづけられて良い。ロット処理中、幅制御層(WCL)又はハードマスク層のパラメータが、現時点でのフィードフォワードSWA値を更新するように、重み付け法-たとえばウエハ間(W2W)でのばらつきを滑らかにするEWMA-を用いて測定及びフィルタリングされ、かつフィードバックされて良い。SWAパターン解析関数は2モードパターンをグループ化できるので、2つのスレッドが、データのフィードバック及び/又はフィードフォワードを行うのに用いられてよい。一例では、SWAのW2Wのばらつきはスキャナ台によって一般的に引き起こされる。よって2つのフィードバック/フィードフォワードスレッドが、性能を最適化させるのに維持されて良い。第2例では、リソグラフィ装置からのW2WのCDのばらつきは、ホットプレートによって支配されると考えられる。よって2、3、又は4のばらつきパターンを観察することができる。IM測定がリソグラフィプロセス後に行われるとき、ウエハ処理前にウエハ全体にわたるパターンが確立され、かつウエハがエッチャーへ送られる前に、ウエハCD及びSWAパターンを確立されて良い。2つ以上の処理スレッドが用いられるとき、そのスレッド数は、ウエハの状態に関する項目として加えられて良い。それに加えて、スキャナ及び/又はトラックセル数、スキャナモジュール数、及びホットプレート数が十分なときには、これらの数はまた、ウエハのグループ化、及びリソグラフィ装置からエッチング装置へのフィードフォワードスレッドを設定するのに用いられても良い。あるいはその代わりに、コーター/現像装置の他の組合せが用いられても良い。
状態のグループに基づいてウエハが分類されるとき、そのウエハは、これらのグループ又はIECエッチング処理に基づいて処理されて良い。エッチング装置での処理順序がリソグラフィ装置での処理順序と同一であるとき、現時点でのフィードバック(FB)制御装置は、W2Wについてのリソグラフィ装置内部に生じるドリフトとエッチング装置内部でのドリフトを調節するようにプログラムされて良い。
本発明は、上に多数の半導体デバイスを有し、並びに、さらに多数のトランジスタゲート及び/又はスペーサ構造を有するウエハを処理する装置と方法を供する。様々な実施例では、IEC-MIMO評価ライブラリを生成及び/又は使用し、IE関連処理を実行する装置と方法が供される。前記IE関連処理は、1つ以上のIEC金属ゲートエッチング処理、1つ以上のIEC標的層エッチング処理、1つ以上の測定手順、1つ以上の清浄手順、及び/又は1つ以上の確認手順を含んで良い。
1つ以上の周期構造が、ウエハ上の様々な位置に供されて良く、かつIEC-MIMOモデル及び関連するIE関連処理の評価及び/又は確認に用いられて良い。ウエハは、IEC-MIMOモデル及びIE関連処理に関連するウエハデータを有して良い。ウエハデータは、IE関連のリアルタイム及び履歴データを有して良い。それに加えて、ウエハはIEC-MIMOモデル及びIE関連処理に関連する他のデータを有して良い。他のデータは、ゲート構造データ、必要なサイト数、アクセスしたサイト数、信頼性データ、1つ以上のサイトについての危険性データ、サイト順位データ、搬送手順データ、若しくは処理関連データ、若しくは評価/確認関連データ、又はこれらの組合せを有して良い。IE関連処理に係るデータは搬送手順データを有して良い。この搬送手順データは、いつどこでウエハを搬送するのかを設定するのに用いられて良い。搬送手順は、動作状態データを用いることによって変化して良い。
IEC-MIMOモデルは、必要な用途に基づいて、非常に細かい層に分割されて良い。各層は物理的材料であって良い。層の分離は、材料の変化又は寸法上の層の境界によって表される。層は複数の層を組み合わせたものであって良い。層は、マスク層、金属ゲート積層体の層、スペーサ堆積層、及び、エッチングされた金属ゲート積層体の層をを含んで良い。
層は、時間又は複数の工程間の分離に用いられる終点データ(EPD)でエッチング工程をマッピングして良い。それに加えて、連続的なリアルタイム制御装置は、計測データ、センサ、及びエッチングモデルの組合せからのリアルタイム更新によって動作して良い。
多変数用途のプロセス制御に用いられる解析装置は、単一ループ制御と多変数制御との比較に基づく。その比較は、ある程度理想化された状況下で、(すべての可能な入出力対について)同一の入出力対の振る舞いに関連する指標と、入出力変数対の間での単一ループ挙動の指標との比の列として表される。
MIMOモデル化は、ゴール(標的となる出力)の集合についての最適入力を計算するのに用いられる。制約は、プロセスパラメータ-たとえば時間、気体流、及び層の付近での温度-の範囲であって良い。MIMOによって、所与の時間でのプロセス計算へほとんどの値を出力するため、最適化器を優先させるように1組の重みが適用されて良い。最適化器が標的から線形又は非線型的に遠ざかるように移動する場合に実効的に警告する標的及び利得定数が与えられるような標的の重みが用いられて良い。その重みの計算には重みの計算に方程式が用いられる。標的は中心標的及び/又は限界標的であって良い(所与の値を超えた値-たとえばSWA)。
フィードバックは多重ループの形態をとって良い。フィードバック誤差の計算による各標的出力の1つは実際に予測しにくい誤差に基づく。MIMOによって、予測出力誤差の各々は計算され、かつ、予測出力誤差の各々は実際の誤差を決定するフィードバック測定と一致する必要がある。フィードバックフィルタリング法-たとえばEWMA又はカルマンフィルタ-が雑音のフィルタリングに用いられて良い。層の制御装置の出力は適合度(GOF)を含んで良い。このGOF値は、カスケード層制御装置の入力として用いられて良い。
ウエハは、1つ以上の上側端部領域、1つ以上の中央領域、及び1つ以上の下側端部領域に区分けされて良い。
プロセス工程が実行されることで、直前の更新に取り入れられている過去の計算、計算エラー、装置状態又は材料状態の変化に基づいて新たな更新が可能となるので、層の制御装置はそれぞれ異なる回数の更新を含んで良い。
部位のサイズが65nmノード未満にまで減少することで、正確な処理及び/又は測定データが、より重要となり、かつより取得することが難しくなる。IEC-MIMOモデル及び関連するIE関連処理は、これらの極小デバイス及び部位の正確な処理及び/又は測定に用いられて良い。IEOエッチング処理から得られるデータは、警告及び/又は制御限界と比較することが可能で、実行規則が破られるときには処理に問題が生じたことを示す警告が発生し、かつ補正処理がリアルタイムで実行可能となる。
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、IEC-MIMOモデル及び関連するIE関連処理で使用可能な1つ以上の処理要素を有して良い。
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、データ搬送サブシステム181を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、計測サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているTactras(商標)の一部であって良い。
リソグラフィサブシステム110は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、1つ以上の制御装置114、及び1つ以上の評価要素115を有して良い。1つ以上の搬送/格納要素112は、1つ以上の処理要素113及び/又は1つ以上の評価要素115と結合して良く、かつ111を介して搬送サブシステム170と結合して良い。搬送サブシステム170は111を介してリソグラフィサブシステム110と結合し、かつ1枚以上のウエハ105は111を介して搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。
一部の実施例では、リソグラフィサブシステム110は、1枚以上のウエハに対して、コーティング処理、測定処理、検査処理、位置合わせ処理、及び/又は格納処理を実行して良い。たとえば1つ以上のリソグラフィ関連プロセスは、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の堆積に用いられて良く、かつ1層以上のマスク層の熱処理(ベーキング)に用いられても良い。それに加えて、リソグラフィサブシステム110は、1枚以上のウエハ上の1層以上のマスク層の現像、測定、及び/又は検査に用いられて良い。
スキャナサブシステム120は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、1つ以上の制御装置124、及び1つ以上の評価要素125を有して良い。1つ以上の搬送/格納要素122は、1つ以上の処理要素123及び/又は1つ以上の評価要素125と結合して良く、かつ接続121を用いることによって搬送サブシステム170と結合して良い。搬送サブシステム170は、接続121を用いることによってスキャナサブシステム120と結合し、かつ1枚以上のウエハ105は121を介して搬送サブシステム170とスキャナサブシステム120との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。
一部の実施例では、スキャナサブシステム120は、湿式及び/又は乾式露光処理を実行するのに用いられて良く、また別な実施例では、スキャナサブシステム120は、極紫外(EUV)露光処理を実行するのに用いられて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ接続131を用いることによって搬送サブシステム170と結合して良い。搬送サブシステム170は接続131を用いることによってエッチングサブシステム130と結合し、かつ1枚以上のウエハ105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上のウエハ及び/又はウエハの1層以上の層の測定及び/又は検査に用いられて良い。エッチングサブシステム130は、図2A-2F及び図3A-3Fで記載されるように配置されて良い。
堆積サブシステム140は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、1つ以上の制御装置144、及び1つ以上の評価要素145を有して良い。1つ以上の搬送/格納要素142は、1つ以上の処理要素143及び/又は1つ以上の評価要素145と結合して良く、かつ接続141を用いることによって搬送サブシステム170と結合して良い。搬送サブシステム170は接続141を用いることによって堆積サブシステム140と結合し、かつ1枚以上のウエハ105は141を介して搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。たとえば1つ以上の処理要素143は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、ウエハの1つ以上の表面の測定及び/又は検査に用いられて良い。
検査サブシステム150は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、1つ以上の制御装置154、及び1つ以上の評価要素155を有して良い。1つ以上の搬送/格納要素152は、1つ以上の処理要素153及び/又は1つ以上の評価要素155と結合して良く、かつ接続151を用いることによって搬送サブシステム170と結合して良い。搬送サブシステム170は、接続151を用いることによって検査サブシステム150と結合し、かつ1枚以上のウエハ105は151を介して搬送サブシステム170と検査サブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。
計測サブシステム160は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、1つ以上の制御装置164、及び1つ以上の評価要素165を有して良い。1つ以上の搬送/格納要素162は、1つ以上の処理要素163及び/又は1つ以上の評価要素165と結合して良く、かつ接続161を用いることによって搬送サブシステム170と結合して良い。搬送サブシステム170は接続161を用いることによって計測サブシステム160と結合し、かつ1枚以上のウエハ105は161を介して搬送サブシステム170と計測サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。計測サブシステム160は1つ以上の処理要素163を有して良く、1つ以上の処理要素163は、ライブラリに基づく又は回帰分析に基づく手法を用いてウエハ上での1つ以上の位置での標的構造の測定に用いられて良い。たとえばウエハ上の位置には、MIMO位置、標的位置、オーバーレイ位置、位置合わせ位置、測定位置、確認位置、検査位置、若しくは損傷評価位置、又はこれらの結合が含まれて良い。たとえば1つ以上の「金のウエハ」又は参照用チップが、1つ以上の処理要素163及び/又は1つ以上の評価要素165の性能の確認のため、周期的に格納及び使用されて良い。
一部の実施例では、計測サブシステム160は、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)要素(図示されていない)を有して良い。iODP要素/システムはティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。あるいはその代わりに他の計測システムが用いられても良い。たとえばiODP技術は、限界寸法(CD)データ、ゲート構造データ、及び厚さデータを含むリアルタイムデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP要素は、ODPプロファイラライブラリ要素、プロファイラアプリケーションサーバ(PAS)要素、及びODPプロファイラソフトウエア要素を有して良い。ODPプロファイラライブラリ要素は、光スペクトルについての用途特定データベース要素、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PAS要素は光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PAS要素は、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエア要素は、PAS要素にインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ要素、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。
計測サブシステム160は、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確なCD、及びウエハの複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測処理(iODP)は、サブシステムの一体化された群内での一体化された処理として実行されて良い。それに加えて、一体化された処理は、解析の実行又は外部システムからのデータを長期間待つのにウエハを壊す必要がなくなる。iODP法は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。シミュレーションによる計測データは、マクスウエル方程式を適用して、数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。あるいはその代わりに、訓練された機械学習システムが、シミュレーション計測データを生成するのに用いられても良い。
搬送サブシステム170は、搬送トラック(175、176、及び177)と結合する搬送要素174を有して良い。搬送トラック(175、176、及び177)は、ウエハの受け取り、ウエハの搬送、ウエハの位置合わせ、ウエハの格納、及び/又はウエハの停留に用いられて良い。たとえば搬送要素174は、2枚以上のウエハを支持して良い。搬送サブシステム170は、ウエハの搬入、搬送、格納、及び/又は搬出を行って良い。その際には、IEC-MIMOモデル、IE関連処理、搬送手順、動作状態、ウエハ及び/又は処理状態、処理時間、現在の時刻、ウエハデータ、ウエハ上での位置の数、ウエハ上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。
一部の例では、搬送サブシステム170は、どこにいつウエハを搬送するのかを決定するための搬入出データを用いて良い。他の例では、搬送システムは、どこにいつウエハを搬送するのかを決定するためのMIMOモデル化データを用いて良い。あるいはその代わりに他の処理が用いられても良い。たとえばウエハの第1数が利用可能な処理要素の数以下であるとき、その第1数のウエハは、搬送サブシステム170を用いることによって、その第1数の利用可能な処理要素へ搬送されて良い。ウエハの第1数が利用可能な処理要素の数よりも多いとき、一部のウエハは、1つ以上の搬送/格納要素(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
それに加えて、リソグラフィ関連処理、スキャナ関連処理、検査関連処理、測定関連処理、評価関連処理、エッチング関連処理、堆積関連処理、熱処理、コーティング関連処理、位置合わせ関連処理、研磨関連処理、格納関連処理、搬送処理、クリーニング関連処理、再加工関連処理、酸化関連処理、窒化関連処理、若しくは外部処理要素、又はこれらの結合を実行するときには、1つ以上のサブシステム(110、120、130、140、150、160、及び170)が用いられて良い。
動作状態のデータがサブシステム(110、120、130、140、150、160、及び170)について設定され、かつIE関連処理によって使用及び/又は更新されて良い。それに加えて、動作状態のデータは、搬送/格納要素(112、122、132、142、152、及び162)、処理要素(113、123、133、143、153、及び163)、及び評価要素(115、125、135、145、155、及び165)について設定され、かつIE関連処理によって更新されて良い。たとえば処理要素についての動作状態を表すデータは、利用可能性のデータ、処理要素についての適合データ、一部の処理工程及び/若しくは位置についての予想された処理時間、処理要素についての歩留まりデータ、信頼性データ及び/若しくは危険性データ、又は、1つ以上のIE関連処理についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は1つ以上の処理要素及び/又は1つ以上のサブシステムへ問い合わせることによってリアルタイムで取得されて良い。更新された搬入出データは、1つ以上の搬送要素及び/又は1つ以上の搬送サブシステムへ問い合わせることによってリアルタイムで取得されて良い。
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理要素を有するマイクロプロセッサを有して良い。
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部装置と結合して良い。
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイムIE関連処理を実行するときに用いられて良い。制御装置は、イオンエネルギー(IE-)MIMOモデルからリアルタイムデータを受け取ることで、サブシステム、処理要素、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、手順データ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、ウエハ及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変更されるとき、レジストモデルが変更されるとき、IE関連処理が変更されるとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
一部の例では、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、MIMO関連のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理データ、処理データ、及び/又はウエハデータを供しても良い。
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム構成要素は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上の手順を実行する処理システム100内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
一部の実施例では、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成要素を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。たとえばCD走査電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、光デジタルプロファイロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光計測システムを含む測定用構成要素が供されても良い。サブシステム及び/又は処理用の構成要素は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
1つ以上のサブシステム(110、120、130、140、150、160、及び170)は制御アプリケーション、グラフィカルユーザーインターフェース(GUI)アプリケーション、及び/又はデータベースアプリケーションを実行して良い。それに加えて、1つ以上のサブシステム(110、120、130、140、150、160、及び170)及び/又は制御装置(114、124、134、144、154、164及び190)は、実験計画法(DOE)アプリケーション、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。
IE関連の処理又はモデル化処理からの出力データ及び/又はメッセージは、プロセス正確さ及び精度を最適化する後続の処理及び/又は処理に用いられて良い。データは、リアルタイム変数パラメータとして、IECエッチング処理又はIEOエッチング処理へリアルタイムで受け渡されて良い。その結果現在のモデル値が上書きされて、DOEテーブルが減少する。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、IECエッチング処理又はIEOエッチング処理を最適化して良い。
回帰分析に基づくライブラリ生成処理が用いられるとき、測定されたIEC-MIMOモデル関連データは、シミュレーションによるIEC-MIMOモデル関連データと比較されて良い。シミュレーションによるIEC-MIMOデータは、IEO処理関連パラメータに基づいて繰り返し生成されることで、IEO処理パラメータの収束値の集合を得て良い。その収束値は、測定されたIEC-MIMOモデル関連データに対して、最も良く一致するシミュレーションによるIEC処理パラメータを生成する。ライブラリに基づく処理が用いられるとき、IEC-MIMOモデル関連のライブラリが、IEC-MIMOモデル関連の処理、レシピ、プロファイル、及び/又はモデルを用いて、生成及び/又は改良されて良い。たとえばIEC-MIMOモデル関連ライブラリは、シミュレーションによる及び/又は測定されたIEC-MIMO関連データ、並びに対応する処理データの集合を有して良い。回帰分析に基づく及び/又はライブラリに基づくプロセスは、リアルタイムで実行されて良い。IEC-MIMO関連ライブラリ用のデータを生成する他の処理には、機械学習システム(MLS)を用いた処理が含まれて良い。たとえばIEC-MIMO関連ライブラリデータを生成する前に、MLSは既知の入出力データを用いて訓練されて良く、かつMLSはIEC-MIMO関連ライブラリデータの一部からなる組によって訓練されて良い。
IEC-MIMOモデルは、一致する条件に出会うときには常に実行される介入及び/又は判断規則を有して良い。介入並びに/又は判断規則及び/若しくは制限は、処理の履歴、使用者の経験、又は処理に関する知識に基づいて設定されて良く、又はホストコンピュータから得られても良い。規則は、警告条件、エラー条件、異常条件、及び/又は警報条件に対してどのように応答するのかを判断する、装置異常検出及び分類(FDC)処理に用いられて良い。規則に基づくFDC処理は、異常を優先させかつ/又は分類し、システム性能を予測し、予防保守スケジュールを予測し、保守のための不稼働時間を減らし、かつシステム内の消耗部品の寿命を延ばすことができる。警告/警報に対して様々な動作が行われて良い。その警告/警報に対して行われる動作は状態に基づく。その状態のデータは、規則、システム/プロセスレシピ、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータの種類によって特定されて良い。
成功しなかったIEO処理又はIECエッチング処理は、限界を超えるときに、故障を報告することができる。成功したIEO処理又はIECエッチング処理は、限界に近づいているときに、警告メッセージを生成することができる。既知のエラーについて予め特定された動作は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。たとえばIEO処理又はIECエッチング処理は、IEデータ収集又は妥当性の検証が失敗したときに1回以上の処理でのIE関連データを拒否して良い。それに加えて、IEO処理又はIECエッチング処理は、測定処理が失敗したときに、ウエハの1つ以上の位置でのデータを拒否して良い。
IEOエッチング処理、IECエッチング処理、及び/又はIEC-MIMOモデルは、様々な時間及び/又は位置で、孤立及び/又は入れ子構造の生成、修正、及び/又は評価に用いられて良い。たとえばゲート積層構造の大きさ及びウエハの厚さデータは、孤立構造及び/又は入れ子構造付近でそれぞれ異なっていて良い。またゲート積層構造の大きさ及びウエハの厚さデータは、開いた領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。IEC-MIMOモデルは、孤立構造及び/又は入れ子構造について最適化されたデータを生成して、プロセスレシピ及び/又はプロセス時間を更新及び/又は最適化して良い。
IEOエッチング処理、IECエッチング処理、及び/又はIEC-MIMOモデルは、終点検出(EPD)データ及び処理時間を用いて、正確さを改良して良い。EPDデータがエッチング処理の停止に用いられるとき、EPD時間データ及びプロセス時間データは、エッチング量の推定及び/又は厚さの推定に用いられて良い。
様々な例では、IE関連データの限界は、IEセンサウエハを用いて試験用処理チャンバ内でIEOエッチング処理を実行することによって得られ、ライブラリ内に格納される履歴データで、確認されたIECエッチング処理を実行することによって得られ、MES180から得られ、シミュレーションデータであって良く、かつ、予測データであって良い。それに加えてIE関連処理の限界は、「参照/金」の処理チャンバ内でIE関連エッチング処理を実行することによって得られて良い。
図2A-2Gは、本発明の実施例によるイオンエネルギーが制御された(IEC)エッチングサブシステムの典型的ブロック図を示している。
第1典型的エッチングサブシステム200Aが図2Aに図示されている。図示されたIECエッチングサブシステム200Aは、イオンエネルギーが制御された(IEC)処理チャンバ210、被処理ウエハ205が上に固定されるウエハホルダ220、ガス供給システム240、及び真空排気システム257を有する。たとえばウエハホルダ220は、基盤225を用いることによって、IEC処理チャンバ210と結合し、かつIEC処理チャンバ210から隔離されて良い。ウエハ205はたとえば、半導体ウエハ、試料、又は液晶ディスプレイ(LCD)であって良い。たとえばIEC処理チャンバ210は、ウエハ205の表面に隣接する処理領域249内でのイオンエネルギーが最適化された(IEO)プラズマの発生を容易にするように構成されて良い。IEOプラズマは、熱電子と電離可能ガスとの衝突によって生成される。電離可能ガス又は複数の種類のガスの混合物はガス供給システム240を介して導入されて良い。処理圧力が、真空排気システム257を用いることによって調節される。望ましくは、IEOプラズマは、所定の材料プロセスに特有な材料の生成、及び、ウエハ205への材料の堆積又はウエハ205の露出表面からの材料の除去のいずれかを助けるのに利用される。たとえば制御装置295は、真空排気システム257及びガス供給システム240の制御装置に利用されて良い。
ウエハ205はたとえば、ロボット搬送システムによって、スロットバルブ(図示されていない)及びチャンバフィードスルー(図示されていない)を介して、IEC処理チャンバ210に対して搬入出されて良い。ウエハ205はウエハホルダ220内に格納されたウエハリフトピン(図示されていない)によって受け取られ、かつウエハホルダ220内に格納された装置によって機械的に平行移動される。ウエハ205が搬送システムから受け取られた後、ウエハ205はウエハホルダ220の上面にまで下げられる。
たとえばウエハ225は、静電クランプシステム(図示されていない)によってウエハホルダ220に固定されて良い。さらにウエハホルダ220は、温度制御システム228に結合可能な温度制御素子229を有して良い。たとえば温度制御素子229は、冷却素子、抵抗加熱素子、又は熱電ヒーター/冷却器を含んで良い。背面ガスがデュアル(中心/端部)背面ガスシステム(226及び227)を介してウエハの背面へ供給されることで、ウエハ205とウエハホルダ220との間のガスギャップ熱伝導が改善され得る。デュアル(中心/端部)背面ガスシステム(226及び227)は、昇温又は降温する際にさらなるウエハ温度制御が必要なときに利用されて良い。たとえばウエハの温度制御は、プラズマからウエハ205へ供給される熱流束と、伝熱によってウエハ205からウエハホルダ220へ向かうように除去される熱流束との均衡によって実現される定常状態を超えた温度で有用となりうる。
図2Aに図示されているように、ウエハホルダ220は下部電極232を有して良い。下部電極232を介して、高周波(RF)出力は、処理領域249内のプラズマと結合することができる。たとえば下部電極232は、RF発生装置230からインピーダンス整合ネットワーク231を介して下部電極232へRF出力を伝送することによって、RF電圧で電気的にバイアス印加されて良い。RFバイアスは、電子を加熱してIEOプラズマを生成及び維持する役割を果たして良い。RFバイアスにとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。
あるいはその代わりに、RF出力は、複数の周波数で下部電極232へ印加されて良い。さらにインピーダンス整合ネットワーク232は、反射出力を最小限に抑制することによって、IEC処理チャンバ210内のプラズマへのRF出力の伝送を最大化するように機能する。様々な整合ネットワーク接続形態及び自動制御法が利用されて良い。
続けて図2Aを参照すると、ガス供給システム240は、インターフェース素子241を用いることによってガスプレナム242と結合され、かつ、ガスプレナム242は、ガス分配素子(245a及び245b)に結合されて良い。ガス分配素子(245a及び245b)は、処理領域249の1つ以上の領域へ様々なプロセスガス流(247a及び247b)を供して良い。プロセスガスはたとえば、酸化物エッチング用のアルゴン、テトラフルオロメタン(CF4)、及び酸素(O2)、若しくはアルゴン(Ar)、C4F8、及びO2、又は、他の化学物質-たとえばO2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、臭化水素(HBr)-を有して良い。ガス分配素子(245a及び245b)は、ウエハへの汚染物の導入を抑制するように構成され、かつ、多重オリフィスシャワーヘッドを有して良い。たとえばプロセスガスは、ガス供給システム240から供給されて良い。ガス分配素子(245a及び245b)は、処理領域249の各異なる領域に対して各異なる流速を供して良い。それに加えて、ガス分配素子(245a及び245b)は、処理領域249の各異なる領域に対して各異なる種類のプロセスガスを供して良い。
真空排気システム257は、毎秒最大5000リットル(以上)の排気能力を有するターボ分子ポンプ(TMP)258、及びチャンバ圧力を制御するゲートバルブ259を有して良い。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPが一般的に用いられている。TMPは、低圧-典型的には50mTorr未満-プロセスにとって有効である。高圧では、TMP排気速度は劇的に低下する。高いプロセス圧力(つまり100mTorrよりも高圧)では、メカニカルブースターポンプ及びドライ粗引きポンプが使用されて良い。さらにチャンバ圧力を監視する装置(図示されていない)が、IEC処理チャンバ210に結合して良い。圧力測定装置はたとえば、MKSインスツルメンツ(MKS Instruments Inc)から販売されている628B型のバラトロン(登録商標)絶対キャパシタンスマノメータであって良い。
図2Aに図示されているように、IECエッチングサブシステム200Aは、処理データを得るためにIEC処理チャンバ210と結合する1つ以上の処理センサ236、及び処理データを受け取るために処理センサ236と結合する制御装置295を有して良い。処理センサ236は、IEC処理チャンバ210内部のセンサと、IEC処理チャンバ210外部のセンサの両方を有して良い。内部センサは、IEC処理チャンバ210の機能に関係するこれらのセンサを有して良い。これらのセンサが測定するのは、たとえばヘリウム背面ガス圧、ヘリウム背面流、静電クランプ(ESC)電圧、ESC電流、ウエハホルダ温度(又は下部電極(LEL)温度)、冷媒温度、上部電極(UEL)温度、前進RF出力、反射RF出力、RF自己誘導DCバイアス、RFピーク間電圧、チャンバ壁温度、プロセスガス流速、プロセスガス分圧、チャンバ圧力、整合ネットワークの設定、フォーカスリング厚さ、RF時間、フォーカスリングRF時間、及びこれらの統計値である。それに加えて、外部センサは、図2Aに図示された処理領域249内のプラズマから放出される光を監視する1つ以上の光デバイスを有して良い。光デバイスは、終点検出器(EPD)として利用可能であってEPDデータを供することのできる光センサを有して良い。たとえば発光分光(OES)センサが用いられて良い。処理センサ236は、電流及び/若しくは電圧プローブ、パワーメータ、又はスペクトルアナライザを含んで良い。たとえば処理センサ236はRFインピーダンスアナライザを含んで良い。
一部の実施例では、IECエッチングサブシステム200Aは、第1IE関連性能データを得るためにIEC処理チャンバ210と結合する1つ以上の第1イオンエネルギー(IE)センサ234、及び、第1IE関連性能データを受け取るためにIEセンサ234と結合する制御装置295を有して良い。それに加えて、IECエッチングサブシステム200Aは、第2IE関連性能データを得るためにウエハホルダ220と結合する1つ以上の第2イオンエネルギー(IE)センサ223を有して良い。IE制御ユニット222が、IE関連性能データを処理するために第2IEセンサ223と結合して良い。たとえば電気信号-たとえば電圧又は電流の時間経過-の測定は、離散的フーリエ級数表現(周期的な信号を仮定する)を用いることによる、周波数ドメインへの信号変換を可能にする。その後フーリエスペクトル(又は時間変化する信号であれば周波数スペクトル)が監視及び解析されることで、IEOプラズマの状態が特徴付けられて良い。
制御装置295は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、エッチングサブシステム200からの出力を監視するのみならず、エッチングサブシステム200の入力をやり取りし、かつ始動させるのに十分な制御電圧を発生させる能力を有する。図2Aに図示されているように、制御装置295は、1つ以上のインターフェース296を用いることによって、IEC処理チャンバ210、IE制御ユニット222、背面ガス供給システム(226及び227)、温度制御システム228、第1RF発生装置230、インピーダンス整合ネットワーク231、IEセンサ234、処理センサ236、ガス供給システム240、及び、真空排気システム257と結合し、かつこれらと情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶されたIE関連レシピに従ってIECエッチングサブシステム200Aの上記構成要素と相互作用するのに利用される。
図2Bに図示された典型的実施例では、IECエッチングサブシステム200Bは、図2Aの実施例と相似し、かつ、図2Aを参照しながら説明されたそれらの構成要素に加えて、プラズマ密度の増大及び/又はプラズマ処理の均一性の改善を可能にするため、静磁場又は機械的若しくは電気的に回転する磁場システム255をさらに有して良い。しかも制御装置295は、回転速度及び磁場強度を制御するため、磁場システム255と結合して良い。回転磁場の設計及び実装は当業者には周知である。
図2Cに図示された実施例では、エッチングサブシステム200Cは、図2A又は図2Bの実施例と相似し、かつ上部電極274をさらに有する。RF出力は、RF発生装置270から光インピーダンス整合ネットワーク272を介して上部電極274へ結合して良い。上部電極へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極232へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置295は、上部電極274へのRF出力の印加を制御するため、RF発生装置270及びインピーダンス整合ネットワーク272と結合して良い。上部電極の設計及び実装は当業者にとっては周知である。上部電極274及びガスプレナム242は、図示されているように互いに結合して良い。
図2Dに図示された実施例では、IECエッチングサブシステム200Dは、図2A及び図2Bの実施例と相似し、かつ誘導コイル283をさらに有する。RF出力は、RF発生装置280によって光インピーダンス整合ネットワーク282を介して誘導コイル280へ結合して良い。RF出力は、誘導コイル283から誘電体窓(図示されていない)を介して処理領域249へ誘導結合されて良い。誘導コイル283へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。同様に下部電極232へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)は、誘導コイル283とプラズマとの間の容量結合を減少させるように用いられて良い。しかも制御装置295は、誘導コイル283へのRF出力の印加を制御するため、RF発生装置280及びインピーダンス整合ネットワーク282と結合して良い。
代替実施例(図示されていない)では、「螺旋」又は「パンケーキ」コイル形態が、誘導コイルに用いられて良い。誘導結合プラズマ(ICP)源、又はトランス結合プラズマ(TCP)源の設計及び実装は当業者にとっては周知である。
図2Eに図示された実施例では、IECエッチングサブシステム200Eはたとえば、図2A、図2B、図2C、及び図2Dの実施例と相似し、かつ第2RF発生装置250をさらに有する。第2RF発生装置250は、RF出力を、他の光インピーダンス整合ネットワーク251を介してウエハホルダ220へ結合するように備えられていて良い。ウエハホルダ220へRF出力を印加する際の典型的周波数は、第1RF発生装置及び/又は第2RF発生装置については、約0.1MHzから約200MHzの範囲であって良い。第2RF発生装置235のRF周波数は、第1RF発生装置230のRF周波数よりも相対的に大きくて良い。さらに、第1RF発生装置230からのウエハホルダ220へのRF出力は振幅変調であって良く、かつ/あるいは、第2RF発生装置235からのウエハホルダ220へのRF出力は振幅変調であって良い。望ましくは、より高い周波数でのRF出力は振幅変調である。しかも制御装置295は、ウエハホルダ220へのRF出力の印加を制御するため、RF発生装置250及びインピーダンス整合ネットワーク251と結合して良い。ウエハホルダ用のRFシステムの設計及び実装は当業者にとっては周知である。
図2Fに図示された実施例では、IECエッチングサブシステム200Fはたとえば、図2A及び図2Eの実施例と相似し、かつ表面波プラズマ(SWP)源をさらに有する。SWP源は、スロットアンテナ-たとえばラジアルラインスロットアンテナ(RLSA)-をさらに有して良い。マイクロ波出力は、マイクロ波発生装置285によって、光インピーダンス整合ネットワーク286を介してスロットアンテナへ結合して良い。
図2Gに図示された実施例では、IECエッチングサブシステム200Gは図2Cの実施例と相似し、かつ分離した上部電極(277a,277b)をさらに有して良い。RF出力は、RF発生装置275から、インピーダンス整合ネットワーク276を介して、分離した上部電極(277a,277b)と結合して良い。分離した上部電極(277a,277b)へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極232へ出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置295は、分離した上部電極(277a,277b)へのRF出力の印加を制御するため、RF発生装置275及びインピーダンス整合ネットワーク/パワースプリッタ276と結合して良い。パワースプリッタ及び分離した上部電極は、様々なRF出力レベルを、ウエハ205の表面に隣接する処理領域249の中心及び端部へ供することで、処理領域249内でのIEOプラズマの発生及び制御を容易にするように設計及び構成されて良い。分離した上部電極(277a,277b)及びガスプレナム242は、図示されているように相互に結合して良いし、又は他の構成が用いられても良い。
図3A-3Gは、本発明の実施例によるイオンエネルギーが制御された(IEC)エッチングサブシステムの追加実施例を図示している。図3A-3Gは、図2A-2Gに図示された典型的エッチングサブシステム200A-200Gと相似するが、IECエッチングサブシステム300A-300Gは、少なくとも1つのDC電極392及び少なくとも1つのDC電源390を有する。
パターンエッチング中、電子を加熱し、かつそれに続いてプロセスガスの原子及び/又は分子組成物を電離及び/又は分解するため、ドライプラズマエッチングプロセスが通常は利用され、プラズマは、電磁(EM)エネルギー-たとえば高周波(RF)出力-をプロセスガスと結合させることによって、プロセスガスから生成される。それに加えて、負の高電圧直流(DC)電源は、RFサイクルの一部の間、つまり結合RF出力の正である半周期の間、ウエハ表面に衝突する活性の(弾道)電子ビームを生成するため、プラズマ処理システムと結合して良い。弾道電子ビームは、たとえば(エッチングされる)下地薄膜とマスク層との間のエッチング選択性を改善し、電子シェーディング損傷のような電荷損傷を減少させることによって、ドライプラズマエッチング処理の特性を改善して良い。弾道電子ビームの発生に関する他の詳細は、特許文献7に開示されている。一般的には、弾道電子ビームは、図3A-3Gに図示されているように、様々な種類のプラズマ処理システム内で実装されて良い。
第1典型的エッチングサブシステム300Aが図3Aに図示されている。図示されたIECエッチングサブシステム300Aは、IEC処理チャンバ310、被処理ウエハ305が上に固定されるウエハホルダ320、ガス供給システム340、及び真空排気システム357を有する。たとえばウエハホルダ220は、基盤325を用いることによって、IEC処理チャンバ310と結合し、かつIEC処理チャンバ310から隔離されて良い。ウエハ305はたとえば、半導体ウエハ、試料、又は液晶ディスプレイ(LCD)であって良い。たとえばIEC処理チャンバ310は、ウエハ305の表面に隣接する処理領域349内でのイオンエネルギーが最適化された(IEO)プラズマの発生を容易にするように構成されて良い。IEOプラズマは、熱電子と電離可能ガスとの衝突によって生成される。電離可能ガス又は複数の種類のガスの混合物はガス供給システム340を介して導入されて良い。処理圧力が、真空排気システム357を用いることによって調節される。望ましくは、IEOプラズマは、所定の材料プロセスに特有な材料の生成、及び、ウエハ305への材料の堆積又はウエハ305の露出表面からの材料の除去のいずれかを助けるのに利用される。たとえば制御装置395は、真空排気システム357及びガス供給システム340の制御装置に利用されて良い。
ウエハ305はたとえば、ロボット搬送システムによって、スロットバルブ(図示されていない)及びチャンバフィードスルー(図示されていない)を介して、IEC処理チャンバ310に対して搬入出されて良い。ウエハ305はウエハホルダ320内に格納されたウエハリフトピン(図示されていない)によって受け取られ、かつウエハホルダ320内に格納された装置によって機械的に平行移動される。ウエハ305が搬送システムから受け取られた後、ウエハ305はウエハホルダ320の上面にまで下げられる。
たとえばウエハ305は、静電クランプシステム(図示されていない)によってウエハホルダ320に固定されて良い。ウエハホルダ320は、温度制御システム328に結合可能な温度制御素子329を有して良い。たとえば温度制御素子329は、冷却素子、抵抗加熱素子、又は熱電ヒーター/冷却器を含んで良い。背面ガスがデュアル(中心/端部)背面ガスシステム(326及び327)を介してウエハの背面へ供給されることで、ウエハ305とウエハホルダ320との間のガスギャップ熱伝導が改善され得る。デュアル(中心/端部)背面ガスシステム(326及び327)は、昇温又は降温する際にさらなるウエハ温度制御が必要なときに利用されて良い。たとえばウエハの温度制御は、プラズマからウエハ305へ供給される熱流束と、伝熱によってウエハ305からウエハホルダ320へ向かうように除去される熱流束との均衡によって実現される定常状態を超えた温度で有用となりうる。
図3Aに図示されているように、ウエハホルダ320は下部電極332を有して良い。下部電極332を介して、高周波(RF)出力は、処理領域349内のプラズマと結合することができる。たとえば下部電極332は、RF発生装置330からインピーダンス整合ネットワーク331を介して下部電極332へRF出力を伝送することによって、RF電圧で電気的にバイアス印加されて良い。RFバイアスは、電子を加熱してIEOプラズマを生成及び維持する役割を果たして良い。RFバイアスにとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。
あるいはその代わりに、RF出力は、複数の周波数で下部電極332へ印加されて良い。さらにインピーダンス整合ネットワーク332は、反射出力を最小限に抑制することによって、IEC処理チャンバ310内のプラズマへのRF出力の伝送を最大化するように機能する。様々な整合ネットワーク接続形態及び自動制御法が利用されて良い。
続けて図3Aを参照すると、ガス供給システム340は、インターフェース素子341を用いることによってガスプレナム342と結合され、かつ、ガスプレナム342は、ガス分配素子(345a及び345b)に結合されて良い。ガス分配素子(345a及び345b)は、処理領域349の1つ以上の領域へ様々なプロセスガス流(347a及び347b)を供して良い。プロセスガスはたとえば、酸化物エッチング用のアルゴン、テトラフルオロメタン(CF4)、及び酸素(O2)、若しくはアルゴン(Ar)、C4F8、及びO2、又は、他の化学物質-たとえばO2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、臭化水素(HBr)-を有して良い。ガス分配素子(345a及び345b)は、ウエハへの汚染物の導入を抑制するように構成され、かつ、多重オリフィスシャワーヘッドを有して良い。たとえばプロセスガスは、ガス供給システム340から供給されて良い。ガス分配素子(345a及び345b)は、処理領域349の各異なる領域に対して各異なる流速を供して良い。それに加えて、ガス分配素子(345a及び345b)は、処理領域349の各異なる領域に対して各異なる種類のプロセスガスを供して良い。
真空排気システム357は、毎秒最大5000リットル(以上)の排気能力を有するターボ分子ポンプ(TMP)358、及びチャンバ圧力を制御するゲートバルブ359を有して良い。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPが一般的に用いられている。TMPは、低圧-典型的には50mTorr未満-プロセスにとって有効である。高圧では、TMP排気速度は劇的に低下する。高いプロセス圧力(つまり100mTorrよりも高圧)では、メカニカルブースターポンプ及びドライ粗引きポンプが使用されて良い。さらにチャンバ圧力を監視する装置(図示されていない)が、IEC処理チャンバ310に結合して良い。圧力測定装置はたとえば、MKSインスツルメンツ(MKS Instruments Inc)から販売されている628B型のバラトロン(登録商標)絶対キャパシタンスマノメータであって良い。
図3Aに図示されているように、IECエッチングサブシステム300Aは、処理データを得るためにIEC処理チャンバ310と結合する1つ以上の処理センサ336、及び処理データを受け取るために処理センサ336と結合する制御装置395を有して良い。処理センサ336は、IEC処理チャンバ310内部のセンサと、IEC処理チャンバ310外部のセンサの両方を有して良い。内部センサは、IEC処理チャンバ310の機能に関係するこれらのセンサを有して良い。これらのセンサが測定するのは、たとえばヘリウム背面ガス圧、ヘリウム背面流、静電クランプ(ESC)電圧、ESC電流、ウエハホルダ温度(又は下部電極(LEL)温度)、冷媒温度、上部電極(UEL)温度、前進RF出力、反射RF出力、RF自己誘導DCバイアス、RFピーク間電圧、チャンバ壁温度、プロセスガス流速、プロセスガス分圧、チャンバ圧力、整合ネットワークの設定、フォーカスリング厚さ、RF時間、フォーカスリングRF時間、及びこれらの統計値である。それに加えて、外部センサは、図3Aに図示された処理領域349内のプラズマから放出される光を監視する1つ以上の光デバイスを有して良い。光デバイスは、終点検出器(EPD)として利用可能であってEPDデータを供することのできる光センサを有して良い。たとえば発光分光(OES)センサが用いられて良い。処理センサ236は、電流及び/若しくは電圧プローブ、パワーメータ、又はスペクトルアナライザを含んで良い。たとえば処理センサ336はRFインピーダンスアナライザを含んで良い。
一部の実施例では、IECエッチングサブシステム300Aは、第1IE関連性能データを得るためにIEC処理チャンバ310と結合する1つ以上の第1イオンエネルギー(IE)センサ334、及び、第1IE関連性能データを受け取るためにIEセンサ334と結合する制御装置395を有して良い。それに加えて、IECエッチングサブシステム300Aは、第2IE関連性能データを得るためにウエハホルダ320と結合する1つ以上の第2イオンエネルギー(IE)センサ323を有して良い。IE制御ユニット322が、IE関連性能データを処理するために第2IEセンサ323と結合して良い。たとえば電気信号-たとえば電圧又は電流の時間経過-の測定は、離散的フーリエ級数表現(周期的な信号を仮定する)を用いることによる、周波数ドメインへの信号変換を可能にする。その後フーリエスペクトル(又は時間変化する信号であれば周波数スペクトル)が監視及び解析されることで、IEOプラズマの状態が特徴付けられて良い。
制御装置395は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、エッチングサブシステム300からの出力を監視するのみならず、エッチングサブシステム300の入力をやり取りし、かつ始動させるのに十分な制御電圧を発生させる能力を有する。図3Aに図示されているように、制御装置395は、1つ以上のインターフェース296を用いることによって、IEC処理チャンバ310、IE制御ユニット322、背面ガス供給システム(326及び327)、温度制御システム328、第1RF発生装置330、インピーダンス整合ネットワーク331、IEセンサ334、処理センサ336、ガス供給システム340、及び、真空排気システム357と結合し、かつこれらと情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶されたIE関連レシピに従ってIECエッチングサブシステム300Aの上記構成要素と相互作用するのに利用される。
図3Bに図示された典型的実施例では、IECエッチングサブシステム300Bは、図3Aの実施例と相似し、かつ、図3Aを参照しながら説明されたそれらの構成要素に加えて、プラズマ密度の増大及び/又はプラズマ処理の均一性の改善を可能にするため、静磁場又は機械的若しくは電気的に回転する磁場システム355をさらに有して良い。しかも制御装置395は、回転速度及び磁場強度を制御するため、磁場システム355と結合して良い。回転磁場の設計及び実装は当業者には周知である。
図3Cに図示された実施例では、エッチングサブシステム300Cは、図3A又は図3Bの実施例と相似し、かつ上部電極274をさらに有する。RF出力は、RF発生装置370から光インピーダンス整合ネットワーク372を介して上部電極374へ結合して良い。上部電極へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極332へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置395は、上部電極374へのRF出力の印加を制御するため、RF発生装置370及びインピーダンス整合ネットワーク372と結合して良い。上部電極の設計及び実装は当業者にとっては周知である。上部電極374及びガスプレナム342は、図示されているように互いに結合して良い。
図3Dに図示された実施例では、IECエッチングサブシステム300Dは、図3A及び図3Bの実施例と相似し、かつ誘導コイル383をさらに有する。RF出力は、RF発生装置380によって光インピーダンス整合ネットワーク382を介して誘導コイル380へ結合して良い。RF出力は、誘導コイル383から誘電体窓(図示されていない)を介して処理領域349へ誘導結合されて良い。誘導コイル383へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。同様に下部電極332へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)は、誘導コイル383とプラズマとの間の容量結合を減少させるように用いられて良い。しかも制御装置395は、誘導コイル383へのRF出力の印加を制御するため、RF発生装置380及びインピーダンス整合ネットワーク382と結合して良い。
代替実施例(図示されていない)では、「螺旋」又は「パンケーキ」コイル形態が、誘導コイルに用いられて良い。誘導結合プラズマ(ICP)源、又はトランス結合プラズマ(TCP)源の設計及び実装は当業者にとっては周知である。
図3Eに図示された実施例では、IECエッチングサブシステム300Eはたとえば、図3A、図3B、図3C、及び図3Dの実施例と相似し、かつ第2RF発生装置350をさらに有する。第2RF発生装置350は、RF出力を、他の光インピーダンス整合ネットワーク351を介してウエハホルダ320へ結合するように備えられていて良い。ウエハホルダ320へRF出力を印加する際の典型的周波数は、第1RF発生装置及び/又は第2RF発生装置については、約0.1MHzから約200MHzの範囲であって良い。第2RF発生装置335のRF周波数は、第1RF発生装置330のRF周波数よりも相対的に大きくて良い。さらに、第1RF発生装置330からのウエハホルダ320へのRF出力は振幅変調であって良く、かつ/あるいは、第2RF発生装置335からのウエハホルダ320へのRF出力は振幅変調であって良い。望ましくは、より高い周波数でのRF出力は振幅変調である。しかも制御装置395は、ウエハホルダ320へのRF出力の印加を制御するため、RF発生装置350及びインピーダンス整合ネットワーク351と結合して良い。ウエハホルダ用のRFシステムの設計及び実装は当業者にとっては周知である。
図3Fに図示された実施例では、IECエッチングサブシステム300Fはたとえば、図3A及び図3Eの実施例と相似し、かつ表面波プラズマ(SWP)源をさらに有する。SWP源は、スロットアンテナ-たとえばラジアルラインスロットアンテナ(RLSA)-をさらに有して良い。マイクロ波出力は、マイクロ波発生装置385によって、光インピーダンス整合ネットワーク386を介してスロットアンテナへ結合して良い。
図3Gに図示された実施例では、IECエッチングサブシステム300Gは図3Cの実施例と相似し、かつ分離した上部電極(377a,377b)をさらに有して良い。RF出力は、RF発生装置375から、インピーダンス整合ネットワーク276を介して、分離した上部電極(377a,377b)と結合して良い。分離した上部電極(377a,377b)へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極332へ出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置395は、分離した上部電極(377a,377b)へのRF出力の印加を制御するため、RF発生装置275及びインピーダンス整合ネットワーク/パワースプリッタ276と結合して良い。パワースプリッタ及び分離した上部電極は、様々なRF出力レベルを、ウエハ305の表面に隣接する処理領域349の中心及び端部へ供することで、処理領域349内でのIEOプラズマの発生及び制御を容易にするように設計及び構成されて良い。分離した上部電極(377a,377b)及びガスプレナム342は、図示されているように相互に結合して良いし、又は他の構成が用いられても良い。
IECエッチングサブシステム(300A-300G)内に図示されたDC電極392は、シリコン含有材料及び/又はドーピングされたシリコン含有材料を有して良い。DC電源390は可変DC電源を有して良い。それに加えてDC電源390は双極性DC電源を有して良い。DC電源390は、そのDC電源390の極性、電流、電圧、及び/若しくはオン/オフ状態の監視、調節、並びに/又は制御のうちの少なくとも1つを実行するように備えられていて良い。一旦プラズマが生成されると、DC電源390は弾道電子ビームの生成を容易にする。電気フィルタは、DC電源390からRF出力を切り離すのに利用されて良い。
たとえばDC電源390によってDC電極392へ印加されるDC電圧は、約-2000ボルト(V)から約1000ボルト(V)の範囲であって良い。望ましくはDC電圧の絶対値は約100V以上の値を有し、より望ましくはDC電圧の絶対値は約500V以上の値を有する。それに加えてDC電圧は負の極性を有することが望ましい。さらに、DC電圧は、自己バイアス電圧よりも大きな絶対値を有する負の電圧であることが望ましい。
代替実施例では、化学酸化物除去(COR)サブシステム(図示されていない)が、酸化多結晶シリコン材料の除去又は削除に用いられて良い。それに加えて、CORサブシステムは、酸化物マスク層の除去又は削除に用いられて良い。たとえばCORサブシステムは、ウエハ上の露出表面層-たとえば酸化物表面層-を化学処理する化学処理モジュール(図示されていない)を有して良い。露出表面上の処理化学物質の吸着は、表面層の化学的な変化に影響を及ぼす。それに加えてCORサブシステムは、ウエハを熱処理する熱処理モジュール(図示されていない)を有して良い。ここでウエハ上の化学的に変化した露出表面層を脱離(又は蒸発)させるため、ウエハ温度を上昇させる。
図4は、本発明の実施例による典型的なイオンエネルギーが制御された多入力/多出力(IEC-MIMO)モデルの最適化及び制御法の単純化されたブロック図を示している。図示されたIEC-MIMOモデルの方法では、第1パターニングされたゲート積層体401と後処理されたゲート積層体405の一部の典型的な像が図示されている。第1パターニングされたゲート積層体401のソフトマスク層は、1つ以上のソフトマスク部位のCD402及び1つ以上のソフトマスク部位の側壁角(SWA)403を有して良い。第1パターニングされたゲート積層体401は第1組のIE関連パラメータ404を用いることによって特徴付けられて良い。第1組のIE関連パラメータ404は、中心/端部(C/E)の層のデータ、EEDfC/Eデータ、IE C/Eデータ、CDデータのC/E、及びSWAデータのC/Eを含んで良い。あるいはその代わりに、異なる組のIE関連パラメータが用いられても良い。後処理されたゲート積層体405は1つ以上のCD406及び1つ以上のSWA407を含んで良い。後処理されたゲート積層体405は第2組のパラメータ408を用いることによって特徴付けられて良い。第2組のパラメータ408は、中心及び端部(C/E)金属ゲートと特徴部位データ、C/E標的層データ、CDデータC/E、SWAデータC/E、IE更新データC/E、並びにEEDf更新データを含んで良い。あるいはその代わりに、異なる組のIE関連後処理パラメータが用いられても良い。
図示された方法では、前処理集積計測(IM)及び/又は検査方法/装置(Pre-IM/Inspect)のモデル410が、1つ以上のIEC-エッチング処理モデル415と結合して良い。1つ以上のIEC-エッチング処理モデル415は1つ以上のIEO-エッチング処理モデル420と結合して良い。1つ以上のIEO-エッチング処理モデル420は1つ以上のIEデータ更新モデル425と結合して良い。1つ以上のIEデータ更新モデル425は、後処理集積計測(IM)及び/又は検査方法/装置(Post-IM/Inspect)のモデル430と結合して良い。
(Pre-IM/Inspect)モデル410は、入力データ409を受け取り、第1出力データ411をIEC-エッチング処理モデル415へ供し、かつ第1フィードフォワードデータ412をIE関連フードフォワードモデル435へ供して良い。IEC-エッチング処理モデル415は、第2出力データ416をIEO-エッチング処理モデル420へ供し、かつ第2フィードフォワードデータ417をIE関連フードフォワードモデル435へ供して良い。IEO-エッチング処理モデル420は、第2出力データ416を受け取り、第3フィードフォワードデータ436を受け取り、かつ、IE処理データ421をIEデータ更新モデル425へ送って良い。IEデータ更新モデル425は、IE処理データ421を受け取り、更新データ426を(Post-IM/Inspect)モデル430へ供し、かつ、第1フィードバックデータ427をIE関連フィードバックモデル437へ供して良い。(Post-IM/Inspect)モデル430は、更新データ426を受け取り、第3出力データ431を供し、かつ、第2フィードバックデータ432をIE関連フィードバックモデル437へ供して良い。IE関連フードフォワードモデル435は、第1フィードフォワードデータ412を受け取り、第2フィードフォワードデータ417を受け取り、かつ、第3フィードフォワードデータ436を供して良い。IE関連フードバックモデル437は、第1フィードバックデータ427を受け取り、第2フィードバックデータ432を受け取り、かつ、第3フィードバックデータ438を供して良い。
一部の例では、入力データ409は、CDデータ、SWAデータ、厚さデータ、EEDfデータ、IEDデータ、及び/又はゲートデータを有し、かつ、第1出力データ411と第1フィードフォワードデータ412は、CDデータ、SWAデータ、ODPデータ、検査データ、厚さデータ、IEデータ、EEDfデータ、IEDデータ、及び/又はゲートデータを有して良い。
第2出力データ416と第2フィードフォワードデータ417は、レシピデータ、CDデータ、SWAデータ、ODPデータ、検査データ、厚さデータ、IEデータ、EEDfデータ、及び/又はゲートデータを有して良い。IE処理データ421は、結果データ、CDデータ、SWAデータ、ODPデータ、検査データ、厚さデータ、IEデータ、EEDfデータ、及び/又はゲートデータを有して良い。更新データ426と第1フィードバックデータ427は、レシピデータ、IEデータ、EEDfデータ、ODPデータ、検査データ、厚さデータ、及び/又はゲートデータを有して良い。第3出力データ431と第2フィードフォワードデータ432は、結果データ、CDデータ、SWAデータ、ODPデータ、検査データ、厚さデータ、IEデータ、EEDfデータ、及び/又はゲートデータを有して良い。第3フィードフォワードデータ436は、ウエハ間フィードフォワードデータ(W2W FF)とウエハ内フィードフォワードデータ(WiW FF)を有して良い。第3フィードバックデータ438は、ウエハ間フィードバックデータ(W2W FB)とウエハ内フィードバックデータ(WiW FB)を有して良い。それに加えて、1つ以上のモデル(410,415,420,425,430)は、ウエハ間(W2W)を基礎とするIE関連の後処理がなされたゲート積層体405の制御、及び/又は、ウエハ内(WiW)を基礎とするIE関連の後処理がなされたゲート積層体405の制御に用いられて良い。
データ項目413は、IEデータ、EEDfデータ、エッチングデータ、CDデータ、SWAデータ、並びに/又はウエハ中心部及びウエハ端部での他のゲート積層体データのうちのいくつかの計算に用いることのできる第1計算用構成要素440へ送られて良い。たとえば第1計算用構成要素440は、ウエハ中心部及びウエハ端部でのIE関連バイアスの計算に用いられてよい。第1組の標的パラメータ441は第1計算用構成要素440へ供され、かつ第1組のフィルタ出力471は第1計算用構成要素440へ供されて良い。第1計算用構成要素440からの出力データ項目442は1つ以上のMIMOモデル最適化器450へ供されて良い。
1つ以上のMIMOモデル最適化器450には、IEに関連する装置の制限、レシピの制限、及び/又は時間の制限を含むIE関連制約パラメータ451が供されて良い。たとえばIE関連制約パラメータ451は、IEエッチング処理中での、工程に基づくウエハ温度制限又はプロセスガス制限を含んで良い。1つ以上のMIMOモデル最適化器450は、1つ以上の装置用制御装置/モデル(410,415,420,425,430)へ送ることの可能な1組以上のレシピ/チャンバパラメータ456を決定して良い。
1つ以上の装置用制御装置/モデル(410,415,420,425,430)は、予測IE値427の計算に用いられて良い。予測IE値427は、1つ以上の予測エッチングバイアス、1つ以上の予測SWAバイアス、1つ以上のエッチング用レシピについての1つ以上の予測工程回数、及び1つ以上のエッチング用レシピについての1種類以上の予測プロセスガス流を有して良い。
1つ以上の(Post-IM/Inspect)モデル430は1つ以上の実際の出力433を1つ以上の比較用構成要素460へ供して良い。1つ以上の実際の出力433は1つ以上の予測データ項目457と比較されて良い。1つ以上の比較用構成要素460からの1つ以上のエラー値465は1つ以上のEWMAフィルタ470へ供されて良い。
1つ以上のEWMAフィルタ470は、1つ以上の第1のフィルタリングされた出力471を第1計算用構成要素440へ供して良い。1つ以上のEWMAフィルタ470は、1つ以上の第2のフィルタリングされた出力472を1つ以上の重み付け用制御装置/モデル480へ供して良い。EWMAフィルタ470の各々は、単一のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。あるいはその代わりにEWMAフィルタ470の各々は多数のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。1つ以上の重み付け用制御装置/モデル480は、1つ以上のMIMOモデル最適化器450から1つ以上の標的データ項目445及び1つ以上のフィードバックデータ項目455を受け取って良い。それに加えて、1つ以上の重み付け用制御装置/モデル480は、1つ以上の動的に変化する重み付け入力481を1つ以上のMIMOモデル最適化器450へ供して良い。フィードバックエラーに基づく動的重み付けの考え方は、最適化器に、最も重要な制御変数-動作時での制御システムの手動調節の自動化-を良好に制御するという目標によって、重み付け(再バランス)を優先させることである。
一部の実施例では、制御用に用いられる操作変数及び/又は外乱変数は、以下の方法によるランタイム処理中に動的にモデル化及び更新可能な計算値を有して良い。上記以下の方法とは次のようなものである。
1) モデル化処理が、IEセンサデータと制御変数(CV)を「対にする」基本モデル関係によって開始できる。たとえば、原子状O又はFの量はIEセンサ又は処理センサからのプロセスガスデータを用いて計算することが可能で、かつ消費される原子状O又はFの量はCD又はSWAの予測に用いられて良い。これは、フィードバック更新ループであって良いし、又はエッチング工程中でのリアルタイム調節であって良い。
2) ウエットエッチング実行後、条件設定又は製造中に処理される第1のパターニングされたゲート積層体は、この追跡ガスモデルの計算及び更新に用いられる。
3) 相対ゲイン配列(RGA)法が実行時に用いられて良い。その際、単なる値の計算に代わって、パターニングされたウエハを製造して、IEセンサデータとCVフィードバックとの関係をいつ用いるのかを評価する。所与のCV値についてのRGA行列は、センサに基づくMVの値が、リアルタイムCV値として用いられるリソグラフィから得られるCVよりも大きいか否かを決定するために再評価される。
4) それに加えて、OES信号を用いた中心から端部へのセンサによる検出-変化率は、オーバーエッチングによる中心から端部へのこぶ-たとえばO2流、温度、上部出力、圧力-を調節することによって、均一性を改善(これまでのエッチング工程の不均一性を補正)するオーバーエッチングレシピ設定を調節するものと一般的に解される例として用いられても良い。IM CVは、現在のチャンバの中心から端部へのエッチング速度から入ってくる-つまりBARC-厚さを分離する、入ってくるウエハの膜厚である。
一部の実施例では、第1IEC-エッチング処理、第2IEC-エッチング処理、及び/又は第3IEC-エッチング処理によって作製される様々なパターニングされたウエハに係る制御変数は、中心のCD及びSWAの値、中間のCD及びSWAの値、端部のCD及びSWAの値、極端部のCD及びSWAの値であって良い。このことは、ウエハ上の4つ以上の位置において合計で8箇所のIM測定を必要とする。事前及び事後のIM測定が、動的サンプリングを用いて行われて良い。
他の実施例では、操作変数は、ウエハホルダ内の1つ以上の領域への背面ガス流を含んで良く、かつ、その背面ガス流は、入力されるCV要件に基づき、自然の状態で放射状ではないウエハ領域を調節することによって、ウエハ内プロセスの均一性を改善するために動的背面ガス温度制御を供するプロセス中に動的に制御されて良い。
さらに他の実施例では、操作変数は、端部ガス注入流速を有して良い。この方法もまた、ウエハ端部での欠損問題を緩和し、かつ入力される信号及びチャンバ状態に基づいて、端部欠損を制御変数にするのに用いられて良い。
IE関連MIMOモデルの一部では、相互作用の項が、オフラインでのトリガとなる計算更新処理中にロット間で更新されて良い。たとえば、クロス項の計算更新は、クロス項の変化に対する現在のシステムの感度をチェックし、かつ1組の事前に定義されたデルタオフセットを実行してクロス項の調節が平均的な制御を改善するか否かを判断することをトリガとして行われて良い。RGAがこの計算に用いられて良い。トリガとなるイベントは、IE関連MIMOモデルに適合するフィードバック更新を行うのに用いられて良い。たとえば、適合フィードバックは、チャンバ間でIE関連MIMOモデルを複製し、かつIE関連MIMOモデルを新たなチャンバ挙動に適合させることを可能にするときに用いられて良い。新たな用途は新製品がリリースされるときに生じる。旧製品の式がモデルを開始するのに用いられるとき、多くのウエハの製造後、モデルの更新が引き起こされて、新たなモデルが修正され、そのモデルが使用可能となり、かつ性能が監視される。
図5Aと図5A’は、本発明の実施例による第1二重パターニング部位を生成する第1IE関連処理の概略図を表している。図示された実施例では、3つの典型的なパターニングされたウエハ(501a-503a)が図示されている。前記3つの典型的なパターニングされたウエハは、上に典型的な部位の積層体(505a-507a)を有するが、これは本発明にとって必須ではない。あるいはその代わりに、異なる構成を有する異なる数のパターニングされたウエハが用いられても良い。一部の実施例では、3つの典型的なパターニングされたウエハ(501a,502a,503a)及び関連する多層部位の積層体(505a,506a,507a)は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムのうちの1つ以上を用いることによって実行可能な第1組のIEC-エッチング処理を用いることによって生成されうる。あるいはその代わりに、他のエッチングサブシステム及び/又はエッチング処理が用いられても良い。
図5Aでは、第1入力データモデル580aが表されている。第1入力データモデル580aが実行されるとき、第1組の入力データを得ることができる。第1入力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。
図5Aでは、第1IE選択MIMOモデル581aが表されている。第1IEC-エッチング処理は、第1IE選択MIMOモデル581aを用いることによって選ばれて良い。第1IE選択MIMOモデル581aは、搬送手段590を用いて測定変数(MV)データをやり取りし、搬送手段591を用いて外乱変数(DV)をやり取りし、かつ、搬送手段592を用いて制御変数(CV)データをやり取りして良い。たとえば、第1IE選択MIMOモデル581aは、第1IEC-エッチング処理に係る第1IE関連データを生成及び/又は使用して良く、かつ、第1IE関連データは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1IE選択MIMOモデル581aが実行されるとき、第1IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501a及び/又は第2パターニングされたウエハ502a用の第1IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501a用の第1IE関連ライブラリデータは、第1部位の積層体505aが第1パターニングされたウエハ501a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIEC-エッチング処理データを有して良い。第2パターニングされたウエハ502a用の第1IE関連ライブラリデータは、第2部位の積層体506aが、これまでに生成された第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIEC-エッチング処理データを有して良い。
図5A’では、第1部位の積層体505aを有する第1パターニングされたウエハ501aが図示されている。第1部位の積層体505aは、基板層510a、標的層520a、第3ハードマスク層530a、第2ハードマスク層540a、部位幅制御(FWC)層550a、第1ハードマスク層560a、及び、パターンを有するソフトマスク層570aを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、第3ハードマスク層530aはTiNを含み、第2ハードマスク層540aはテトラエチルオルソシリケート(TEOS)[Si(OC2H5)4]を含み、FWC層550aは幅制御材料を含み、第1ハードマスク層560aはSi-ARC層を含み、かつ、ソフトマスク層570aは放射性感受性材料−たとえばフォトレジスト−を含んで良い。
ソフトマスク層570aは複数のソフトマスク部位575aを有して良い。ソフトマスク部位575aは、部位幅576a、部位厚さ577a、及び側壁角(SWA)578aを有して良い。たとえば、部位幅576aは約10nm〜約200nmまで変化し、部位厚さ577aは約20nm〜約4000nmまで変化し、及び、側壁角(SWA)578aは約87°〜95°まで変化して良い。
図5Aでは、第1IEC-MIMOモデル582aが表されている。第1IEC-MIMOモデル582aが実行されるとき、選ばれた第1IEC-エッチング処理は、1つ以上のIEOエッチング処理を用いることによって実行されて良い。IEOエッチング処理が実行されるとき、1組以上のプロセスパラメータが、第1IEC-エッチング処理を用いて決定されて良い。たとえば第1IEC-MIMOモデル582aは、他のMIMOモデル(581a,583a, 584a,585a)と共に、搬送手段590を用いて第1IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第1IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第1IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて第1IEC-MIMOモデル582aは、第1IEC-エッチング処理、第1パターニングされたウエハ501a、及び/又は第2パターニングされたウエハ502aに係る、第1MV処理データ、第1DV処理データ、及び第1CV処理データを有して良い。
選ばれた第1IEC-エッチング処理が1つ以上のIEO-エッチング処理を有するとき、IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第1部位の積層体505aを有する第1パターニングされたウエハ501aが、上に複数の第2部位の積層体506aを有する第2パターニングされたウエハ502aを生成するように、第1IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
一部の実施例では、第1IEO-エッチング処理が実行されるとき、第1パターニングされたウエハ501aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第1IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第1IEO-エッチング処理が実行されて良い。
第1IEO-エッチング処理中、第1IEO-エッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第1IEO-エッチングセンサのデータと履歴のIEセンサのデータとを比較し、第1IEO-エッチングセンサのデータを記憶して良い。たとえば第1処理データは、第1IEO-エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第1IEO-エッチング処理のレシピは、ソフトマスク部位551のプロファイルデータとSWAデータ及び/又はエッチングされたGWC部位541のプロファイルデータに依存して良い。
選ばれた第1IEC-エッチング処理が他の第1IE関連処理を有するとき、前記他の第1IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第1IEC-エッチング処理は、第1ハードマスク層用の第1IEOエッチング処理、FWC層用の第2IEOエッチング処理、及び、第2ハードマスク層用の第3IEOエッチング処理を有して良い。たとえば第1IEOエッチング処理はSi-ARC層エッチング処理を含み、第2IEOエッチング処理は幅層エッチング処理を含み、かつ、第3IEOエッチング処理はTEOS層エッチング処理を含んで良い。一部の例では、第1IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第1IEC-エッチング処理は、IE関連計測処理、IEセンサウエハ測定処理、及び/又はIE関連検査処理を含んで良い。
図5A’では、第2部位の積層体506aを有する第2パターニングされたウエハ502aが図示されている。第2部位の積層体506aは、基板層510a、標的層520a、第3ハードマスク層530a、及び、エッチングされた第2ハードマスク層540aaを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、第3ハードマスク層530aはTiNを含み、かつ、エッチングされた第2ハードマスク層540aaはエッチングされたTEOS材料を含んで良い。
エッチングされた第2ハードマスク層540aaは複数のエッチングされた第2ハードマスク部位545aを有して良い。エッチングされた第2ハードマスク部位545aは、部位幅546a、部位厚さ547a、及びSWA548aを有して良い。たとえば、部位幅546aは約10nm〜約200nmまで変化し、部位厚さ547aは約20nm〜約4000nmまで変化し、及び、SWA548aは約87°〜95°まで変化して良い。
第1IEOエッチング処理中、第1パターニングされたウエハ501a上のソフトマスク部位575aのパターンは、第2パターニングされたウエハ501a上にエッチングされた第2ハードマスク部位545aのパターンを生成するのに用いられて良い。
図5Aでは、第2IE選択MIMOモデル583aが表されている。第2IEC-エッチング処理は、第2IE選択MIMOモデル583aを用いることによって選ばれて良い。第2IE選択MIMOモデル583aは、搬送手段590を用いて第2選択MVデータを生成及びやり取りし、搬送手段591を用いて第2選択 DVを生成及びやり取りし、かつ、搬送手段592を用いて第2選択CVデータをやり取りして良い。たとえば、第2IE選択MIMOモデル583aは、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理に係る第2IE関連データを生成及び/又は使用して良く、かつ、第2IE関連データは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第2IE選択MIMOモデル583aが実行されるとき、第2IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501a、第2パターニングされたウエハ502a、及び/又は第3パターニングされたウエハ503a用の第2IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501a用の第2IE関連ライブラリデータは、第1部位の積層体505aが第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIE関連エッチング処理データを有して良い。第2パターニングされたウエハ502a用の第2IE関連ライブラリデータは、第2部位の積層体506aが、これまでに生成された第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIE関連エッチング処理データを有して良い。第3パターニングされたウエハ503a用の第2IE関連ライブラリデータは、第3部位の積層体507aが、これまでに生成された第3パターニングされたウエハ503a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第3履歴のIE関連エッチング処理データを有して良い。
図5Aでは、第2IEC-MIMOモデル584aが表されている。第2IEC-MIMOモデル584aが実行されるとき、選ばれた第2IEC-エッチング処理は、1つ以上の第2IEOエッチング処理を用いることによって実行されて良い。第2IEOエッチング処理が実行されるとき、1組以上の第2プロセスパラメータが、第2IEC-エッチング処理を用いて決定されて良い。たとえば第2IEC-MIMOモデル584aは、他のMIMOモデル(581a, 582a,583a, 585a)と共に、搬送手段590を用いて第2IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第2IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第2IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第2IEC-MIMOモデル584aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る第2処理データ並びに/又はIEセンサデータを生成及び/又は使用して良く、かつ、第2処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
選ばれた第2IEC-エッチング処理が1つ以上の第2IEO-エッチング処理を有するとき、第2IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第2部位の積層体506aを有する第2パターニングされたウエハ502aが、上に複数の第3部位の積層体507aを有する第3パターニングされたウエハ503aを生成するように、第2IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
図5A’では、第3部位の積層体507aを有する第3パターニングされたウエハ503aが図示されている。第3部位の積層体507aは、基板層510a、標的層520a、及び、複数のエッチングされた第3ハードマスク部位535aを有するエッチングされた第3ハードマスク層530aaを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、エッチングされた第3ハードマスク層530aaはエッチングされたTiN材料を含んで良い。第2IEC-エッチング処理中、第2パターニングされたウエハ502a上のエッチングされた第2ハードマスク部位545aのパターンは、第3パターニングされたウエハ503a上にエッチングされた第3ハードマスク部位535aのパターンを生成するのに用いられて良い。
エッチングされた第3ハードマスク層530aaは複数のエッチングされた第3ハードマスク部位535aを有して良い。エッチングされた第3ハードマスク部位535aは、部位幅536a、部位厚さ537a、及びSWA538aを有して良い。たとえば、部位幅536aは約10nm〜約200nmまで変化し、部位厚さ537aは約20nm〜約400nmまで変化し、及び、SWA548aは約87°〜95°まで変化して良い。
一部の実施例では、第2IEO-エッチング処理が実行されるとき、第2パターニングされたウエハ502aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第2IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第2IEO-エッチング処理が実行されて良い。
第2IEO-エッチング処理中、第2IEエッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第2IEセンサのデータと履歴のIEセンサのデータとを比較し、第2IEエッチングセンサのデータを記憶して良い。たとえば第2処理データは、第2IE関連エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第2IEO-エッチング処理のレシピは、ソフトマスク部位575の処理パラメータ及び/又はプロファイルデータに依存して良い。
選ばれた第2IEC-エッチング処理が他の第2IE関連処理を有するとき、前記他の第2IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第2IEC-エッチング処理は、第3ハードマスク層のエッチング処理を有して良い。たとえば第2IEOエッチング処理はTiN層エッチング処理を含んで良い。一部の例では、第2IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第2IEC-エッチング処理は、IE関連計測処理及び/又はIE関連検査処理を含んで良い。
図5Aでは、第1出力データモデル585aが表されている。第1出力データモデル585aが実行されるとき、第1組の出力データが解析されて良い。第1組の出力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。たとえば第1出力データモデル585aは、他のMIMOモデル(580a, 581a,582a,583a,584a)と共に、搬送手段590を用いて第3IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第3IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第3IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第1出力データモデル585aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る処理データ並びに/又はIEセンサデータを解析して良く、かつ、処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1出力データモデル585aが実行されるとき、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理について更新処理が実行されて良い。たとえば更新処理は、第1処理パラメータ及び/又は第2処理パラメータ、IEデータ、並びに処理データを更新するように実行されて良い。それに加えて更新処理は、第1IE関連ライブラリデータ及び/又は第2IE関連ライブラリデータを更新するように実行されて良い。第1出力データモデル585aは、他のMIMOモデル(580a,581a,582a,583a,584a)と共に、搬送手段590を用いて更新されたIE-エッチングMVデータをやり取りし、搬送手段591を用いて更新されたIE-エッチングDVデータをやり取りし、かつ、搬送手段592を用いて更新されたIE-エッチングCVデータをやり取りして良い。処理の開発中、DOE法が、モデル(580a-585a)の基本となる組を検討して、MIMOモデルの還元された組を開発するのに用いられて良い。
一部の実施例では、第2IEエッチング処理は1つ以上のIEOエッチング処理を有して良い。前記1つ以上のIEOエッチング処理は、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)エッチング処理、オーバーエッチング(OE)エッチング処理、及び窒化チタン(TiN)エッチング処理を含んで良い。あるいはその代わりに他のエッチング、アッシング、又は清浄化処理が用いられても良い。
図5Bと図5B’は、本発明の実施例による第1二重パターニング部位を生成する第2IE関連処理の概略図を表している。図示された実施例では、3つの典型的なパターニングされたウエハ(501b-503b)が図示されている。前記3つの典型的なパターニングされたウエハは、上に典型的な部位の積層体(505b-507b)を有するが、これは本発明にとって必須ではない。あるいはその代わりに、異なる構成を有する異なる数のパターニングされたウエハが用いられても良い。一部の実施例では、3つの典型的なパターニングされたウエハ(501b,502b,503b)及び関連する多層部位の積層体(505b,506b,507b)は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムのうちの1つ以上を用いることによって実行可能な第1組のIEC-エッチング処理を用いることによって生成されうる。
図5Bでは、第1入力データモデル580aが表されている。第1入力データモデル580bが実行されるとき、第1組の入力データを得ることができる。第1入力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。
図5Bでは、第1IE選択MIMOモデル581bが表されている。第1IEC-エッチング処理は、第1IE選択MIMOモデル581bを用いることによって選ばれて良い。第1IE選択MIMOモデル581bは、搬送手段590を用いて測定変数(MV)データをやり取りし、搬送手段591を用いて外乱変数(DV)をやり取りし、かつ、搬送手段592を用いて制御変数(CV)データをやり取りして良い。たとえば、第1IE選択MIMOモデル581bは、第1IEC-エッチング処理に係る第1IE関連データを生成及び/又は使用して良く、かつ、第1IE関連データは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1IE選択MIMOモデル581aが実行されるとき、第1IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501a及び/又は第2パターニングされたウエハ502a用の第1IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501a用の第1IE関連ライブラリデータは、第1部位の積層体505aが第1パターニングされたウエハ501a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIEC-エッチング処理データを有して良い。第2パターニングされたウエハ502a用の第1IE関連ライブラリデータは、第2部位の積層体506aが、これまでに生成された第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIEC-エッチング処理データを有して良い。
図5A’では、第1部位の積層体505aを有する第1パターニングされたウエハ501aが図示されている。第1部位の積層体505aは、基板層510a、標的層520a、第3ハードマスク層530a、第2ハードマスク層540a、部位幅制御(FWC)層550a、第1ハードマスク層560a、及び、パターンを有するソフトマスク層570aを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、第3ハードマスク層530aはTiNを含み、第2ハードマスク層540aはテトラエチルオルソシリケート(TEOS)[Si(OC2H5)4]を含み、FWC層550aは幅制御材料を含み、第1ハードマスク層560aはSi-ARC層を含み、かつ、ソフトマスク層570aは放射性感受性材料−たとえばフォトレジスト−を含んで良い。
ソフトマスク層570aは複数のソフトマスク部位575aを有して良い。ソフトマスク部位575aは、部位幅576a、部位厚さ577a、及び側壁角(SWA)578aを有して良い。たとえば、部位幅576aは約10nm〜約200nmまで変化し、部位厚さ577aは約20nm〜約4000nmまで変化し、及び、側壁角(SWA)578aは約87°〜95°まで変化して良い。
図5Aでは、第1IEC-MIMOモデル582aが表されている。第1IEC-MIMOモデル582aが実行されるとき、選ばれた第1IEC-エッチング処理は、1つ以上のIEOエッチング処理を用いることによって実行されて良い。IEOエッチング処理が実行されるとき、1組以上のプロセスパラメータが、第1IEC-エッチング処理を用いて決定されて良い。たとえば第1IEC-MIMOモデル582aは、他のMIMOモデル(581a,583a, 584a,585a)と共に、搬送手段590を用いて第1IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第1IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第1IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて第1IEC-MIMOモデル582aは、第1IEC-エッチング処理、第1パターニングされたウエハ501a、及び/又は第2パターニングされたウエハ502aに係る、第1MV処理データ、第1DV処理データ、及び第1CV処理データを有して良い。
選ばれた第1IEC-エッチング処理が1つ以上のIEO-エッチング処理を有するとき、IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第1部位の積層体505aを有する第1パターニングされたウエハ501aが、上に複数の第2部位の積層体506aを有する第2パターニングされたウエハ502aを生成するように、第1IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
一部の実施例では、第1IEO-エッチング処理が実行されるとき、第1パターニングされたウエハ501aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第1IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第1IEO-エッチング処理が実行されて良い。
第1IEO-エッチング処理中、第1IEO-エッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第1IEO-エッチングセンサのデータと履歴のIEセンサのデータとを比較し、第1IEO-エッチングセンサのデータを記憶して良い。たとえば第1処理データは、第1IEO-エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第1IEO-エッチング処理のレシピは、ソフトマスク部位551のプロファイルデータとSWAデータ及び/又はエッチングされたGWC部位541のプロファイルデータに依存して良い。
選ばれた第1IEC-エッチング処理が他の第1IE関連処理を有するとき、前記他の第1IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第1IEC-エッチング処理は、第1ハードマスク層用の第1IEOエッチング処理、FWC層用の第2IEOエッチング処理、及び、第2ハードマスク層用の第3IEOエッチング処理を有して良い。たとえば第1IEOエッチング処理はSi-ARC層エッチング処理を含み、第2IEOエッチング処理は幅層エッチング処理を含み、かつ、第3IEOエッチング処理はTEOS層エッチング処理を含んで良い。一部の例では、第1IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第1IEC-エッチング処理は、IE関連計測処理、IEセンサウエハ測定処理、及び/又はIE関連検査処理を含んで良い。
図5A’では、第2部位の積層体506aを有する第2パターニングされたウエハ502aが図示されている。第2部位の積層体506aは、基板層510a、標的層520a、第3ハードマスク層530a、及び、エッチングされた第2ハードマスク層540aaを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、第3ハードマスク層530aはTiNを含み、かつ、エッチングされた第2ハードマスク層540aaはエッチングされたTEOS材料を含んで良い。
エッチングされた第2ハードマスク層540aaは複数のエッチングされた第2ハードマスク部位545aを有して良い。エッチングされた第2ハードマスク部位545aは、部位幅546a、部位厚さ547a、及びSWA548aを有して良い。たとえば、部位幅546aは約10nm〜約200nmまで変化し、部位厚さ547aは約20nm〜約4000nmまで変化し、及び、SWA548aは約87°〜95°まで変化して良い。
第1IEOエッチング処理中、第1パターニングされたウエハ501a上のソフトマスク部位575aのパターンは、第2パターニングされたウエハ501a上にエッチングされた第2ハードマスク部位545aのパターンを生成するのに用いられて良い。
図5Aでは、第2IE選択MIMOモデル583aが表されている。第2IEC-エッチング処理は、第2IE選択MIMOモデル583aを用いることによって選ばれて良い。第2IE選択MIMOモデル583aは、搬送手段590を用いて第2選択MVデータを生成及びやり取りし、搬送手段591を用いて第2選択 DVを生成及びやり取りし、かつ、搬送手段592を用いて第2選択CVデータをやり取りして良い。たとえば、第2IE選択MIMOモデル583aは、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理に係る第2IE関連データを生成及び/又は使用して良く、かつ、第2IE関連データは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第2IE選択MIMOモデル583aが実行されるとき、第2IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501a、第2パターニングされたウエハ502a、及び/又は第3パターニングされたウエハ503a用の第2IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501a用の第2IE関連ライブラリデータは、第1部位の積層体505aが第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIE関連エッチング処理データを有して良い。第2パターニングされたウエハ502a用の第2IE関連ライブラリデータは、第2部位の積層体506aが、これまでに生成された第2パターニングされたウエハ502a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIE関連エッチング処理データを有して良い。第3パターニングされたウエハ503a用の第2IE関連ライブラリデータは、第3部位の積層体507aが、これまでに生成された第3パターニングされたウエハ503a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第3履歴のIE関連エッチング処理データを有して良い。
図5Aでは、第2IEC-MIMOモデル584aが表されている。第2IEC-MIMOモデル584aが実行されるとき、選ばれた第2IEC-エッチング処理は、1つ以上の第2IEOエッチング処理を用いることによって実行されて良い。第2IEOエッチング処理が実行されるとき、1組以上の第2プロセスパラメータが、第2IEC-エッチング処理を用いて決定されて良い。たとえば第2IEC-MIMOモデル584aは、他のMIMOモデル(581a, 582a,583a, 585a)と共に、搬送手段590を用いて第2IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第2IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第2IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第2IEC-MIMOモデル584aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る第2処理データ並びに/又はIEセンサデータを生成及び/又は使用して良く、かつ、第2処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
選ばれた第2IEC-エッチング処理が1つ以上の第2IEO-エッチング処理を有するとき、第2IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第2部位の積層体506aを有する第2パターニングされたウエハ502aが、上に複数の第3部位の積層体507aを有する第3パターニングされたウエハ503aを生成するように、第2IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
図5A’では、第3部位の積層体507aを有する第3パターニングされたウエハ503aが図示されている。第3部位の積層体507aは、基板層510a、標的層520a、及び、複数のエッチングされた第3ハードマスク部位535aを有するエッチングされた第3ハードマスク層530aaを有する。たとえば基板層510aは半導体材料を含み、標的層520aは誘電材料又は金属材料を含み、エッチングされた第3ハードマスク層530aaはエッチングされたTiN材料を含んで良い。第2IEC-エッチング処理中、第2パターニングされたウエハ502a上のエッチングされた第2ハードマスク部位545aのパターンは、第3パターニングされたウエハ503a上にエッチングされた第3ハードマスク部位535aのパターンを生成するのに用いられて良い。
エッチングされた第3ハードマスク層530aaは複数のエッチングされた第3ハードマスク部位535aを有して良い。エッチングされた第3ハードマスク部位535aは、部位幅536a、部位厚さ537a、及びSWA538aを有して良い。たとえば、部位幅536aは約10nm〜約200nmまで変化し、部位厚さ537aは約20nm〜約400nmまで変化し、及び、SWA538aは約87°〜95°まで変化して良い。
一部の実施例では、第2IEO-エッチング処理が実行されるとき、第2パターニングされたウエハ502aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第2IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第2IEO-エッチング処理が実行されて良い。
第2IEO-エッチング処理中、第2IEエッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第2IEセンサのデータと履歴のIEセンサのデータとを比較し、第2IEエッチングセンサのデータを記憶して良い。たとえば第2処理データは、第2IE関連エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第2IEO-エッチング処理のレシピは、ソフトマスク部位575の処理パラメータ及び/又はプロファイルデータに依存して良い。
選ばれた第2IEC-エッチング処理が他の第2IE関連処理を有するとき、前記他の第2IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第2IEC-エッチング処理は、第3ハードマスク層のエッチング処理を有して良い。たとえば第2IEOエッチング処理はTiN層エッチング処理を含んで良い。一部の例では、第2IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第2IEC-エッチング処理は、IE関連計測処理及び/又はIE関連検査処理を含んで良い。
図5Aでは、第1出力データモデル585aが表されている。第1出力データモデル585aが実行されるとき、第1組の出力データが解析されて良い。第1組の出力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。たとえば第1出力データモデル585aは、他のMIMOモデル(580a, 581a,582a,583a,584a)と共に、搬送手段590を用いて第3IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第3IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第3IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第1出力データモデル585aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る処理データ並びに/又はIEセンサデータを解析して良く、かつ、処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1出力データモデル585aが実行されるとき、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理について更新処理が実行されて良い。たとえば更新処理は、第1処理パラメータ及び/又は第2処理パラメータ、IEデータ、並びに処理データを更新するように実行されて良い。それに加えて更新処理は、第1IE関連ライブラリデータ及び/又は第2IE関連ライブラリデータを更新するように実行されて良い。第1出力データモデル585aは、他のMIMOモデル(580a,581a,582a,583a,584a)と共に、搬送手段590を用いて更新されたIE-エッチングMVデータをやり取りし、搬送手段591を用いて更新されたIE-エッチングDVデータをやり取りし、かつ、搬送手段592を用いて更新されたIE-エッチングCVデータをやり取りして良い。処理の開発中、DOE法が、モデル(580a-585a)の基本となる組を検討して、MIMOモデルの還元された組を開発するのに用いられて良い。
一部の実施例では、第2IEエッチング処理は1つ以上のIEOエッチング処理を有して良い。前記1つ以上のIEOエッチング処理は、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)エッチング処理、オーバーエッチング(OE)エッチング処理、及び窒化チタン(TiN)エッチング処理を含んで良い。あるいはその代わりに他のエッチング、アッシング、又は清浄化処理が用いられても良い。
第1IE選択MIMOモデル581bが実行されるとき、第1IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501b及び/又は第2パターニングされたウエハ502b用の第1IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501b用の第1IE関連ライブラリデータは、第1部位の積層体505bが第1パターニングされたウエハ501b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIEC-エッチング処理データを有して良い。第2パターニングされたウエハ502b用の第1IE関連ライブラリデータは、第2部位の積層体506bが、これまでに生成された第2パターニングされたウエハ502b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIEC-エッチング処理データを有して良い。
図5B’では、第1部位の積層体505bを有する第1パターニングされたウエハ501bが図示されている。第1部位の積層体505bは、基板層510b、標的層520b、第3ハードマスク層530b、第2ハードマスク層540b、部位幅制御(FWC)層550b、第1ハードマスク層560b、及び、パターンを有するソフトマスク層570bを有する。たとえば基板層510bは半導体材料を含み、標的層520bは誘電材料又は金属材料を含み、第3ハードマスク層530bはTiNを含み、第2ハードマスク層540bはテトラエチルオルソシリケート(TEOS)[Si(OC2H5)4]を含み、FWC層550bは幅制御材料を含み、第1ハードマスク層560bはSi-BRC層を含み、かつ、ソフトマスク層570bは放射性感受性材料−たとえばフォトレジスト−を含んで良い。
ソフトマスク層570bは複数のソフトマスク部位575bを有して良い。ソフトマスク部位575bは、部位幅576b、部位厚さ577b、及び側壁角(SWB)578bを有して良い。たとえば、部位幅576bは約10nm〜約200nmまで変化し、部位厚さ577bは約20nm〜約4000nmまで変化し、及び、側壁角(SWB)578bは約87°〜95°まで変化して良い。
図5Bでは、第1IEC-MIMOモデル582bが表されている。第1IEC-MIMOモデル582bが実行されるとき、選ばれた第1IEC-エッチング処理は、1つ以上のIEOエッチング処理を用いることによって実行されて良い。IEOエッチング処理が実行されるとき、1組以上のプロセスパラメータが、第1IEC-エッチング処理を用いて決定されて良い。たとえば第1IEC-MIMOモデル582bは、他のMIMOモデル(580b,581b,583b, 584b,585b)と共に、搬送手段590を用いて第1IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第1IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第1IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて第1IEC-MIMOモデル582bは、第1IEC-エッチング処理、第1パターニングされたウエハ501b、及び/又は第2パターニングされたウエハ502bに係る、第1MV処理データ、第1DV処理データ、及び第1CV処理データを有して良い。
選ばれた第1IEC-エッチング処理が1つ以上のIEO-エッチング処理を有するとき、IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第1部位の積層体505bを有する第1パターニングされたウエハ501bが、上に複数の第2部位の積層体506bを有する第2パターニングされたウエハ502bを生成するように、第1IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
一部の実施例では、第1IEO-エッチング処理が実行されるとき、第1パターニングされたウエハ501bが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第1IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第1IEO-エッチング処理が実行されて良い。
第1IEO-エッチング処理中、第1IEO-エッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第1IEO-エッチングセンサのデータと履歴のIEセンサのデータとを比較し、第1IEO-エッチングセンサのデータを記憶して良い。たとえば第1処理データは、第1IEO-エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第1IEO-エッチング処理のレシピは、ソフトマスク部位551のプロファイルデータとSWBデータ及び/又はエッチングされたGWC部位541のプロファイルデータに依存して良い。
選ばれた第1IEC-エッチング処理が他の第1IE関連処理を有するとき、前記他の第1IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第1IEC-エッチング処理は、第1ハードマスク層用の第1IEOエッチング処理、FWC層用の第2IEOエッチング処理、及び、第2ハードマスク層用の第3IEOエッチング処理を有して良い。たとえば第1IEOエッチング処理はSi-BRC層エッチング処理を含み、第2IEOエッチング処理は幅層エッチング処理を含み、かつ、第3IEOエッチング処理はTEOS層エッチング処理を含んで良い。一部の例では、第1IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第1IEC-エッチング処理は、IE関連計測処理、IEセンサウエハ測定処理、及び/又はIE関連検査処理を含んで良い。
図5B’では、第2部位の積層体506bを有する第2パターニングされたウエハ502bが図示されている。第2部位の積層体506bは、基板層510b、標的層520b、第3ハードマスク層530b、及び、エッチングされた第2ハードマスク層540bbを有する。たとえば基板層510bは半導体材料を含み、標的層520bは誘電材料又は金属材料を含み、第3ハードマスク層530bはTiNを含み、かつ、エッチングされた第2ハードマスク層540bbはエッチングされたTEOS材料を含んで良い。
エッチングされた第2ハードマスク層540bbは複数のエッチングされた第2ハードマスク部位545bを有して良い。エッチングされた第2ハードマスク部位545bは、部位幅546b、部位厚さ547b、及びSWB548bを有して良い。たとえば、部位幅546bは約10nm〜約200nmまで変化し、部位厚さ547bは約20nm〜約4000nmまで変化し、及び、SWB548bは約87°〜95°まで変化して良い。
第1IEOエッチング処理中、第1パターニングされたウエハ501b上のソフトマスク部位575bのパターンは、第2パターニングされたウエハ501b上にエッチングされた第2ハードマスク部位545bのパターンを生成するのに用いられて良い。
図5Bでは、第2IE選択MIMOモデル583bが表されている。第2IEC-エッチング処理は、第2IE選択MIMOモデル583bを用いることによって選ばれて良い。第2IE選択MIMOモデル583bは、搬送手段590を用いて第2選択MVデータを生成及びやり取りし、搬送手段591を用いて第2選択 DVを生成及びやり取りし、かつ、搬送手段592を用いて第2選択CVデータをやり取りして良い。たとえば、第2IE選択MIMOモデル583bは、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理に係る第2IE関連データを生成及び/又は使用して良く、かつ、第2IE関連データは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第2IE選択MIMOモデル583bが実行されるとき、第2IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ501b、第2パターニングされたウエハ502b、及び/又は第3パターニングされたウエハ503b用の第2IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ501b用の第2IE関連ライブラリデータは、第1部位の積層体505bが第2パターニングされたウエハ502b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIE関連エッチング処理データを有して良い。第2パターニングされたウエハ502b用の第2IE関連ライブラリデータは、第2部位の積層体506bが、これまでに生成された第2パターニングされたウエハ502b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIE関連エッチング処理データを有して良い。第3パターニングされたウエハ503b用の第2IE関連ライブラリデータは、第3部位の積層体507bが、これまでに生成された第3パターニングされたウエハ503b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第3履歴のIE関連エッチング処理データを有して良い。
図5Bでは、第2IEC-MIMOモデル584bが表されている。第2IEC-MIMOモデル584bが実行されるとき、選ばれた第2IEC-エッチング処理は、1つ以上の第2IEOエッチング処理を用いることによって実行されて良い。第2IEOエッチング処理が実行されるとき、1組以上の第2プロセスパラメータが、第2IEC-エッチング処理を用いて決定されて良い。たとえば第2IEC-MIMOモデル584bは、他のMIMOモデル(581b, 582b,583b, 585b)と共に、搬送手段590を用いて第2IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第2IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第2IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第2IEC-MIMOモデル584bは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る第2処理データ並びに/又はIEセンサデータを生成及び/又は使用して良く、かつ、第2処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
選ばれた第2IEC-エッチング処理が1つ以上の第2IEO-エッチング処理を有するとき、第2IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第2部位の積層体506bを有する第2パターニングされたウエハ502bが、上に複数の第3部位の積層体507bを有する第3パターニングされたウエハ503bを生成するように、第2IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
図5B’では、第3部位の積層体507bを有する第3パターニングされたウエハ503bが図示されている。第3部位の積層体507bは、基板層510b、標的層520b、及び、複数のエッチングされた第3ハードマスク部位535bを有するエッチングされた第3ハードマスク層530bbを有する。たとえば基板層510bは半導体材料を含み、標的層520bは誘電材料又は金属材料を含み、エッチングされた第3ハードマスク層530bbはエッチングされたTiN材料を含んで良い。第2IEC-エッチング処理中、第2パターニングされたウエハ502b上のエッチングされた第2ハードマスク部位545bのパターンは、第3パターニングされたウエハ503b上にエッチングされた第3ハードマスク部位535bのパターンを生成するのに用いられて良い。
エッチングされた第3ハードマスク層530baは複数のエッチングされた第3ハードマスク部位535bを有して良い。エッチングされた第3ハードマスク部位535bは、部位幅536b、部位厚さ537b、及びSWB538bを有して良い。たとえば、部位幅536bは約10nm〜約200nmまで変化し、部位厚さ537bは約20nm〜約400nmまで変化し、及び、SWB538bは約87°〜95°まで変化して良い。
エッチングされた第3ハードマスク層530baは、複数のこれまでにエッチングされた第3ハードマスク部位535aを有して良い。これまでにエッチングされた第3ハードマスク部位535aは、部位幅536a、部位厚さ537a、及び部位SWA538aを有して良い。これまでにエッチングされた第3ハードマスク部位幅は10nm〜200nmまで変化して良い。部位厚さは20nm〜400nmまで変化して良い。部位のSWA538aは約87°〜95°まで変化して良い。さらに第1離間距離539bが、これまでにエッチングされた第3ハードマスク部位535aとエッチングされたハードマスク部位535bとの間に設けられて良い。第1離間距離539bは約10nm〜約200nmまで変化して良い。
一部の実施例では、第2IEO-エッチング処理が実行されるとき、第2パターニングされたウエハ502bが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第2IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第2IEO-エッチング処理が実行されて良い。
第2IEO-エッチング処理中、第2IEエッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第2IEセンサのデータと履歴のIEセンサのデータとを比較し、第2IEエッチングセンサのデータを記憶して良い。たとえば第2処理データは、第2IE関連エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第2IEO-エッチング処理のレシピは、ソフトマスク部位575の処理パラメータ及び/又はプロファイルデータに依存して良い。
選ばれた第2IEC-エッチング処理が他の第2IE関連処理を有するとき、前記他の第2IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第2IEC-エッチング処理は、第3ハードマスク層のエッチング処理を有して良い。たとえば第2IEOエッチング処理はTiN層エッチング処理を含んで良い。一部の例では、第2IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第2IEC-エッチング処理は、IE関連計測処理及び/又はIE関連検査処理を含んで良い。
図5Bでは、第1出力データモデル585bが表されている。第1出力データモデル585bが実行されるとき、第1組の出力データが解析されて良い。第1組の出力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。たとえば第1出力データモデル585bは、他のMIMOモデル(580b, 581b,582b,583b,584b)と共に、搬送手段590を用いて第3IEC-エッチングMVデータを生成及びやり取りし、搬送手段591を用いて第3IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段592を用いて第3IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第1出力データモデル585bは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る処理データ並びに/又はIEセンサデータを解析して良く、かつ、処理データ及び/又はIEセンサデータは、搬送手段(590,591,592)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1出力データモデル585bが実行されるとき、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理について更新処理が実行されて良い。たとえば更新処理は、第1処理パラメータ及び/又は第2処理パラメータ、IEデータ、並びに処理データを更新するように実行されて良い。それに加えて更新処理は、第1IE関連ライブラリデータ及び/又は第2IE関連ライブラリデータを更新するように実行されて良い。第1出力データモデル585bは、他のMIMOモデル(580b,581b,582b,583b,584b)と共に、搬送手段590を用いて更新されたIE-エッチングMVデータをやり取りし、搬送手段591を用いて更新されたIE-エッチングDVデータをやり取りし、かつ、搬送手段592を用いて更新されたIE-エッチングCVデータをやり取りして良い。処理の開発中、DOE法が、モデル(580b-585b)の基本となる組を検討して、MIMOモデルの還元された組を開発するのに用いられて良い。
一部の実施例では、第2IEエッチング処理は1つ以上のIEOエッチング処理を有して良い。前記1つ以上のIEOエッチング処理は、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)エッチング処理、オーバーエッチング(OE)エッチング処理、及び窒化チタン(TiN)エッチング処理を含んで良い。あるいはその代わりに他のエッチング、アッシング、又は清浄化処理が用いられても良い。
図6Aと図6A’は、本発明の実施例による第1二重パターニングゲート構造を生成する第1IE関連処理の概略図を表している。図示された実施例では、3つの典型的なパターニングされたウエハ(601a-603a)が図示されている。前記3つの典型的なパターニングされたウエハは、上に典型的なゲート積層体(605a-607a)を有するが、これは本発明にとって必須ではない。あるいはその代わりに、異なる構成を有する異なる数のパターニングされたウエハが用いられても良い。一部の実施例では、3つの典型的なパターニングされたウエハ(601a,602a,603a)及び関連する多層部位の積層体(605a,606a,607a)は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムのうちの1つ以上を用いることによって実行可能なIECエッチング処理を含み得る第1組のIEC-エッチング処理を用いることによって生成されうる。あるいはその代わりに、他のエッチングサブシステム及び/又はエッチング処理が用いられても良い。
図6Aでは、第1入力データモデル680aが表されている。第1入力データモデル680aが実行されるとき、第1組の入力データを得ることができる。第1入力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。
図6Aでは、第1IE選択MIMOモデル681aが表されている。第1IEC-エッチング処理は、第1IE選択MIMOモデル681aを用いることによって選ばれて良い。第1IE選択MIMOモデル681aは、搬送手段690を用いて測定変数(MV)データをやり取りし、搬送手段691を用いて外乱変数(DV)をやり取りし、かつ、搬送手段692を用いて制御変数(CV)データをやり取りして良い。たとえば、第1IE選択MIMOモデル681aは、第1IEC-エッチング処理に係る第1IE関連データを生成及び/又は使用して良く、かつ、第1IE関連データは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1IE選択MIMOモデル681aが実行されるとき、第1IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ601a及び/又は第2パターニングされたウエハ602a用の第1IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ601a用の第1IE関連ライブラリデータは、第1ゲート積層体605aが第1パターニングされたウエハ601a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIEC-エッチング処理データを有して良い。第2パターニングされたウエハ602a用の第1IE関連ライブラリデータは、第2ゲート積層体606aが、これまでに生成された第2パターニングされたウエハ602a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIEC-エッチング処理データを有して良い。
図6A’では、第1ゲート積層体605aを有する第1パターニングされたウエハ601aが図示されている。第1部位の積層体605aは、基板層610a、標的層620a、第3ハードマスク層630a、第2ハードマスク層640a、ゲート幅制御(GWC)層650a、第1ハードマスク層660a、及び、パターンを有するソフトマスク層670aを有する。たとえば基板層610aは半導体材料を含み、標的層620aは誘電材料又は金属材料を含み、第3ハードマスク層630aはTiNを含み、第2ハードマスク層640aはテトラエチルオルソシリケート(TEOS)[Si(OC2H5)4]を含み、GWC層650aは幅制御材料を含み、第1ハードマスク層660aはSi-ARC層を含み、かつ、ソフトマスク層670aは放射性感受性材料−たとえばフォトレジスト−を含んで良い。
ソフトマスク層670aは複数のソフトマスク部位675aを有して良い。ソフトマスク部位675aは、部位幅676a、部位厚さ677a、及び側壁角(SWA)678aを有して良い。たとえば、部位幅676aは約10nm〜約200nmまで変化し、部位厚さ677aは約20nm〜約4000nmまで変化し、及び、側壁角(SWA)678aは約87°〜95°まで変化して良い。
図6Aでは、第1IEC-MIMOモデル682aが表されている。第1IEC-MIMOモデル682aが実行されるとき、選ばれた第1IEC-エッチング処理は、1つ以上のIEOエッチング処理を用いることによって実行されて良い。IEOエッチング処理が実行されるとき、1組以上のプロセスパラメータが、第1IEC-エッチング処理を用いて決定されて良い。たとえば第1IEC-MIMOモデル682aは、他のMIMOモデル(681a,683a, 684a,685a)と共に、搬送手段690を用いて第1IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第1IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第1IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて第1IEC-MIMOモデル682aは、第1IEC-エッチング処理、第1パターニングされたウエハ601a、及び/又は第2パターニングされたウエハ602aに係る、第1MV処理データ、第1DV処理データ、及び第1CV処理データを有して良い。
選ばれた第1IEC-エッチング処理が1つ以上のIEO-エッチング処理を有するとき、IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第1ゲート積層体605aを有する第1パターニングされたウエハ601aが、上に複数の第2ゲート積層体606aを有する第2パターニングされたウエハ602aを生成するように、第1IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
一部の実施例では、第1IEO-エッチング処理が実行されるとき、第1パターニングされたウエハ601aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第1IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第1IEO-エッチング処理が実行されて良い。
第1IEO-エッチング処理中、第1IEO-エッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第1IEO-エッチングセンサのデータと履歴のIEセンサのデータとを比較し、第1IEO-エッチングセンサのデータを記憶して良い。たとえば第1処理データは、第1IEO-エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第1IEO-エッチング処理のレシピは、ソフトマスク部位651のプロファイルデータとSWAデータ及び/又はエッチングされたGWC部位641のプロファイルデータに依存して良い。
選ばれた第1IEC-エッチング処理が他の第1IE関連処理を有するとき、前記他の第1IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第1IEC-エッチング処理は、第1ハードマスク層用の第1IEOエッチング処理、GWC層用の第2IEOエッチング処理、及び、第2ハードマスク層用の第3IEOエッチング処理を有して良い。たとえば第1IEOエッチング処理はSi-ARC層エッチング処理を含み、第2IEOエッチング処理は幅層エッチング処理を含み、かつ、第3IEOエッチング処理はTEOS層エッチング処理を含んで良い。一部の例では、第1IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第1IEC-エッチング処理は、IE関連計測処理、IEセンサウエハ測定処理、及び/又はIE関連検査処理を含んで良い。
図6A’では、第2部位の積層体606aを有する第2パターニングされたウエハ602aが図示されている。第2部位の積層体606aは、基板層610a、標的層620a、第3ハードマスク層630a、及び、エッチングされた第2ハードマスク層640aaを有する。たとえば基板層610aは半導体材料を含み、標的層620aは誘電材料又は金属材料を含み、第3ハードマスク層630aはTiNを含み、かつ、エッチングされた第2ハードマスク層640aaはエッチングされたTEOS材料を含んで良い。
エッチングされた第2ハードマスク層640aaは複数のエッチングされた第2ハードマスク部位645aを有して良い。エッチングされた第2ハードマスク部位645aは、部位幅646a、部位厚さ647a、及びSWA648aを有して良い。たとえば、部位幅646aは約10nm〜約200nmまで変化し、部位厚さ647aは約20nm〜約4000nmまで変化し、及び、SWA648aは約87°〜95°まで変化して良い。
第1IEOエッチング処理中、第1パターニングされたウエハ601a上のソフトマスク部位675aのパターンは、第2パターニングされたウエハ601a上にエッチングされた第2ハードマスク部位645aのパターンを生成するのに用いられて良い。
図6Aでは、第2IE選択MIMOモデル683aが表されている。第2IEC-エッチング処理は、第2IE選択MIMOモデル683aを用いることによって選ばれて良い。第2IE選択MIMOモデル683aは、搬送手段690を用いて第2選択MVデータを生成及びやり取りし、搬送手段691を用いて第2選択 DVを生成及びやり取りし、かつ、搬送手段692を用いて第2選択CVデータをやり取りして良い。たとえば、第2IE選択MIMOモデル683aは、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理に係る第2IE関連データを生成及び/又は使用して良く、かつ、第2IE関連データは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第2IE選択MIMOモデル683aが実行されるとき、第2IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ601a、第2パターニングされたウエハ602a、及び/又は第3パターニングされたウエハ603a用の第2IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ601a用の第2IE関連ライブラリデータは、第1ゲート積層体605aが第2パターニングされたウエハ602a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIE関連エッチング処理データを有して良い。第2パターニングされたウエハ602a用の第2IE関連ライブラリデータは、第2ゲート積層体606aが、これまでに生成された第2パターニングされたウエハ602a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIE関連エッチング処理データを有して良い。第3パターニングされたウエハ603a用の第2IE関連ライブラリデータは、第3ゲート積層体607aが、これまでに生成された第3パターニングされたウエハ603a上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第3履歴のIE関連エッチング処理データを有して良い。
図6Aでは、第2IEC-MIMOモデル684aが表されている。第2IEC-MIMOモデル684aが実行されるとき、選ばれた第2IEC-エッチング処理は、1つ以上の第2IEOエッチング処理を用いることによって実行されて良い。第2IEOエッチング処理が実行されるとき、1組以上の第2プロセスパラメータが、第2IEC-エッチング処理を用いて決定されて良い。たとえば第2IEC-MIMOモデル684aは、他のMIMOモデル(681a, 682a,683a, 685a)と共に、搬送手段690を用いて第2IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第2IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第2IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第2IEC-MIMOモデル684aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る第2処理データ並びに/又はIEセンサデータを生成及び/又は使用して良く、かつ、第2処理データ及び/又はIEセンサデータは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
選ばれた第2IEC-エッチング処理が1つ以上の第2IEO-エッチング処理を有するとき、第2IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第2ゲート積層体606aを有する第2パターニングされたウエハ602aが、上に複数の第3ゲート積層体607aを有する第3パターニングされたウエハ603aを生成するように、第2IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
図6A’では、第3ゲート積層体607aを有する第3パターニングされたウエハ603aが図示されている。第3ゲート積層体607aは、基板層610a、エッチングされた標的層620aa、及び、複数のエッチングされた第3ハードマスク構造635aを有するエッチングされた第3ハードマスク層620aaを有する。たとえば基板層610aは半導体材料を含み、エッチングされた標的層620aはエッチングされたHfO2材料を含み、エッチングされた第3ハードマスク層630aaはエッチングされたTiN材料を含んで良い。第2IEC-エッチング処理中、第2パターニングされたウエハ602a上のエッチングされた第2ハードマスク部位645aのパターンは、第3パターニングされたウエハ603a上にエッチングされた第3ハードマスク構造635aのパターンとエッチングされた標的層の構造625aのパターンを生成するのに用いられて良い。
エッチングされた第3ハードマスク層630aaは複数のエッチングされた第3ハードマスク構造635aを有して良い。エッチングされた第3ハードマスク構造635aは、構造幅636a、構造厚さ637a、及び構造SWA638aを有して良い。たとえば、構造幅636aは約10nm〜約200nmまで変化し、構造厚さ637aは約20nm〜約400nmまで変化し、及び、SWA648aは約87°〜95°まで変化して良い。それに加えて、エッチングされた標的層620aaは複数のエッチングされた標的層構造625aを有し、かつ、エッチングされた標的層構造625aは、標的構造幅626a、標的構造厚さ627a、及び標的構造SWA628aを有して良い。たとえば、部位幅646aは約10nm〜約200nmまで変化し、部位厚さ647aは約20nm〜約4000nmまで変化し、及び、SWA648aは約87°〜95°まで変化して良い。
一部の実施例では、第2IEO-エッチング処理が実行されるとき、第2パターニングされたウエハ602aが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第2IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第2IEO-エッチング処理が実行されて良い。
第2IEO-エッチング処理中、第2IEエッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第2IEセンサのデータと履歴のIEセンサのデータとを比較し、第2IEエッチングセンサのデータを記憶して良い。たとえば第2処理データは、第2IE関連エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第2IEO-エッチング処理のレシピは、ソフトマスク部位675の処理パラメータ及び/又はプロファイルデータに依存して良い。
選ばれた第2IEC-エッチング処理が他の第2IE関連処理を有するとき、前記他の第2IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第2IEC-エッチング処理は、第3ハードマスク層のエッチング処理を有して良い。たとえば第2IEOエッチング処理はTiN層エッチング処理を含んで良い。一部の例では、第2IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第2IEC-エッチング処理は、IE関連計測処理及び/又はIE関連検査処理を含んで良い。
図6Aでは、第1出力データモデル685aが表されている。第1出力データモデル685aが実行されるとき、第1組の出力データが解析されて良い。第1組の出力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。たとえば第1出力データモデル685aは、他のMIMOモデル(680a, 681a,682a,683a,684a)と共に、搬送手段690を用いて第3IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第3IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第3IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第1出力データモデル685aは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る処理データ並びに/又はIEセンサデータを解析して良く、かつ、処理データ及び/又はIEセンサデータは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1出力データモデル685aが実行されるとき、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理について更新処理が実行されて良い。たとえば更新処理は、第1処理パラメータ及び/又は第2処理パラメータ、IEデータ、並びに処理データを更新するように実行されて良い。それに加えて更新処理は、第1IE関連ライブラリデータ及び/又は第2IE関連ライブラリデータを更新するように実行されて良い。第1出力データモデル685aは、他のMIMOモデル(680a,681a,682a,683a,684a)と共に、搬送手段690を用いて更新されたIE-エッチングMVデータをやり取りし、搬送手段691を用いて更新されたIE-エッチングDVデータをやり取りし、かつ、搬送手段692を用いて更新されたIE-エッチングCVデータをやり取りして良い。処理の開発中、DOE法が、モデル(580a-585a)の基本となる組を検討して、MIMOモデルの還元された組を開発するのに用いられて良い。
一部の実施例では、第2IEエッチング処理は1つ以上のIEOエッチング処理を有して良い。前記1つ以上のIEOエッチング処理は、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)エッチング処理、オーバーエッチング(OE)エッチング処理、及び窒化チタン(TiN)エッチング処理を含んで良い。あるいはその代わりに他のエッチング、アッシング、又は清浄化処理が用いられても良い。
図6Bと図6B’は、本発明の実施例による第1二重パターニング構造を生成する第2IE関連処理の概略図を表している。図示された実施例では、3つの典型的なパターニングされたウエハ(601b-603b)が図示されている。前記3つの典型的なパターニングされたウエハは、上に典型的なゲート積層体(605b-607b)を有するが、これは本発明にとって必須ではない。あるいはその代わりに、異なる構成を有する異なる数のパターニングされたウエハが用いられても良い。一部の実施例では、3つの典型的なパターニングされたウエハ(601b,602b,603b)及び関連する多層ゲート積層体(605b,606b,607b)は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムのうちの1つ以上を用いることによって実行可能な第1組のIEC-エッチング処理を用いることによって生成されうる。
図6Bでは、第1入力データモデル680aが表されている。第1入力データモデル680bが実行されるとき、第1組の入力データを得ることができる。第1入力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。
図6Bでは、第1IE選択MIMOモデル681bが表されている。第1IEC-エッチング処理は、第1IE選択MIMOモデル681bを用いることによって選ばれて良い。第1IE選択MIMOモデル681bは、搬送手段690を用いて測定変数(MV)データをやり取りし、搬送手段691を用いて外乱変数(DV)をやり取りし、かつ、搬送手段692を用いて制御変数(CV)データをやり取りして良い。たとえば、第1IE選択MIMOモデル681bは、第1IEC-エッチング処理に係る第1IE関連データを生成及び/又は使用して良く、かつ、第1IE関連データは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1IE選択MIMOモデル681bが実行されるとき、第1IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ601b及び/又は第2パターニングされたウエハ602b用の第1IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ601b用の第1IE関連ライブラリデータは、第1部位の積層体605bが第1パターニングされたウエハ601b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIEC-エッチング処理データを有して良い。第2パターニングされたウエハ602b用の第1IE関連ライブラリデータは、第2ゲート積層体606bが、これまでに生成された第2パターニングされたウエハ602b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIEC-エッチング処理データを有して良い。
図6B’では、第1ゲート積層体605bを有する第1パターニングされたウエハ601bが図示されている。第1ゲート積層体605bは、基板層610b、標的層620b、第3ハードマスク層630b、第2ハードマスク層640b、ゲート幅制御(GWC)層660b、第1ハードマスク層660b、及び、パターンを有するソフトマスク層670bを有する。たとえば基板層610bは半導体材料を含み、標的層620bは誘電材料又は金属材料を含み、第3ハードマスク層630bはTiNを含み、第2ハードマスク層640bはTEOS材料を含み、GWC層660bは幅制御材料を含み、第1ハードマスク層660bはSi-BRC層を含み、かつ、ソフトマスク層670bは放射性感受性材料−たとえばフォトレジスト−を含んで良い。
ソフトマスク層670bは複数のソフトマスク部位675bを有して良い。ソフトマスク部位675bは、部位幅676b、部位厚さ677b、及び側壁角(SWA)678bを有して良い。たとえば、部位幅676bは約10nm〜約200nmまで変化し、部位厚さ677bは約20nm〜約4000nmまで変化し、及び、側壁角(SWA)678bは約87°〜95°まで変化して良い。
図6Bでは、第1IEC-MIMOモデル682bが表されている。第1IEC-MIMOモデル682bが実行されるとき、選ばれた第1IEC-エッチング処理は、1つ以上のIEOエッチング処理を用いることによって実行されて良い。IEOエッチング処理が実行されるとき、1組以上のプロセスパラメータが、第1IEC-エッチング処理を用いて決定されて良い。たとえば第1IEC-MIMOモデル682bは、他のMIMOモデル(681b,683b, 684b,685b)と共に、搬送手段690を用いて第1IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第1IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第1IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて第1IEC-MIMOモデル682bは、第1IEC-エッチング処理、第1パターニングされたウエハ601b、及び/又は第2パターニングされたウエハ602bに係る、第1MV処理データ、第1DV処理データ、及び第1CV処理データを有して良い。
選ばれた第1IEC-エッチング処理が1つ以上のIEO-エッチング処理を有するとき、IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。一部の例では、上に複数の第1ゲート積層体605bを有する第1パターニングされたウエハ601bが、上に複数の第2ゲート積層体606bを有する第2パターニングされたウエハ602bを生成するように、第1IEC-エッチング処理を用いてエッチングされて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
一部の実施例では、第1IEO-エッチング処理が実行されるとき、第1パターニングされたウエハ601bが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第1IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第1IEO-エッチング処理が実行されて良い。
第1IEO-エッチング処理中、第1IEO-エッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第1IEO-エッチングセンサのデータと履歴のIEセンサのデータとを比較し、第1IEO-エッチングセンサのデータを記憶して良い。たとえば第1処理データは、第1IEO-エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第1IEO-エッチング処理のレシピは、ソフトマスク部位651のプロファイルデータとSWAデータ及び/又はエッチングされたGWC部位641のプロファイルデータに依存して良い。
選ばれた第1IEC-エッチング処理が他の第1IE関連処理を有するとき、前記他の第1IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第1IEC-エッチング処理は、第1ハードマスク層用の第1IEOエッチング処理、FWC層用の第2IEOエッチング処理、及び、第2ハードマスク層用の第3IEOエッチング処理を有して良い。たとえば第1IEOエッチング処理はSi-BRC層エッチング処理を含み、第2IEOエッチング処理は幅層エッチング処理を含み、かつ、第3IEOエッチング処理はTEOS層エッチング処理を含んで良い。一部の例では、第1IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第1IEC-エッチング処理は、IE関連計測処理、IEセンサウエハ測定処理、及び/又はIE関連検査処理を含んで良い。
図6B’では、第2部位の積層体606bを有する第2パターニングされたウエハ602bが図示されている。第2部位の積層体606bは、基板層610b、標的層620b、第3ハードマスク層630b、及び、エッチングされた第2ハードマスク層640baを有する。たとえば基板層610bは半導体材料を含み、標的層620bは誘電材料又は金属材料を含み、第3ハードマスク層630bはTiNを含み、かつ、エッチングされた第2ハードマスク層640baはエッチングされたTEOS材料を含んで良い。
エッチングされた第2ハードマスク層640baは複数のエッチングされた第2ハードマスク部位645bを有して良い。エッチングされた第2ハードマスク部位645bは、部位幅646b、部位厚さ647b、及びSWA648bを有して良い。たとえば、部位幅646bは約10nm〜約200nmまで変化し、部位厚さ647bは約20nm〜約4000nmまで変化し、及び、SWA648bは約87°〜95°まで変化して良い。
第1IEOエッチング処理中、第1パターニングされたウエハ601b上のソフトマスク部位676bのパターンは、第2パターニングされたウエハ601b上にエッチングされた第2ハードマスク部位645bのパターンを生成するのに用いられて良い。
図6Bでは、第2IE選択MIMOモデル683bが表されている。第2IEC-エッチング処理は、第2IE選択MIMOモデル683bを用いることによって選ばれて良い。第2IE選択MIMOモデル683bは、搬送手段690を用いて第2選択MVデータを生成及びやり取りし、搬送手段691を用いて第2選択 DVを生成及びやり取りし、かつ、搬送手段692を用いて第2選択CVデータをやり取りして良い。たとえば、第2IE選択MIMOモデル683bは、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理に係る第2IE関連データを生成及び/又は使用して良く、かつ、第2IE関連データは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第2IE選択MIMOモデル683bが実行されるとき、第2IEC-エッチング処理が、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)を用いることによって選ばれて良い。一部の例では、制御装置(295及び/又は395)は、第1パターニングされたウエハ601b、第2パターニングされたウエハ602b、及び/又は第3パターニングされたウエハ603b用の第2IE関連ライブラリデータを用いて良い。第1パターニングされたウエハ601b用の第2IE関連ライブラリデータは、第1部位の積層体605bが第2パターニングされたウエハ602b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される履歴のIE関連エッチング処理データを有して良い。第2パターニングされたウエハ602b用の第2IE関連ライブラリデータは、第2部位の積層体606bが、これまでに生成された第2パターニングされたウエハ602b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第2履歴のIE関連エッチング処理データを有して良い。第3パターニングされたウエハ603b用の第2IE関連ライブラリデータは、第3部位の積層体607bが、これまでに生成された第3パターニングされたウエハ603b上に生成されたときに、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は234)及び/又はIEセンサ(図3A-3Gに図示された323及び/又は334)を用いて収集される第3履歴のIE関連エッチング処理データを有して良い。
図6Bでは、第2IEC-MIMOモデル684bが表されている。第2IEC-MIMOモデル684bが実行されるとき、選ばれた第2IEC-エッチング処理は、1つ以上の第2IEOエッチング処理を用いることによって実行されて良い。第2IEOエッチング処理が実行されるとき、1組以上の第2プロセスパラメータが、第2IEC-エッチング処理を用いて決定されて良い。たとえば第2IEC-MIMOモデル684bは、他のMIMOモデル(681b, 682b,683b, 685b)と共に、搬送手段690を用いて第2IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第2IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第2IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第2IEC-MIMOモデル684bは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る第2処理データ並びに/又はIEセンサデータを生成及び/又は使用して良く、かつ、第2処理データ及び/又はIEセンサデータは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
選ばれた第2IEC-エッチング処理が1つ以上の第2IEO-エッチング処理を有するとき、第2IEO-エッチング処理は、図2A-2G及び図3A-3Gに記載されたエッチングサブシステムを用いて実行されて良い。あるいはその代わりに他のパターニングされたウエハが生成されても良い。
図6Bでは、第3ゲートの積層体607bを有する第3パターニングされたウエハ603bが図示されている。第3ゲートの積層体607bは、基板層610b、内部に複数のエッチングされた標的構造625bを有するエッチングされた標的層620ba、及び、内部に複数のエッチングされた第3ハードマスク構造635bを有するエッチングされた第3ハードマスク層630baを有する。それに加えて第3パターニングされたウエハ603bは、複数のこれまでに生成されたエッチングされた標的構造625b及び複数のこれまでに生成されたエッチングされた第3ハードマスク構造635b上に有して良い。たとえば基板層610bは半導体材料を含み、エッチングされた標的層620baはエッチングされたHfO2材料を含み、エッチングされた第3ハードマスク層630baはエッチングされたTiN材料を含んで良い。第2IEC-エッチング処理中、第2パターニングされたウエハ602b上のエッチングされた第2ハードマスク部位645bのパターンは、第3パターニングされたウエハ603b上にエッチングされた第3ハードマスク部位635bのパターン及びエッチングされた標的構造625bのパターンを生成するのに用いられて良い。
エッチングされた第3ハードマスク層630baは複数のエッチングされた第3ハードマスク部位635bを有して良い。エッチングされた第3ハードマスク部位635bは、部位幅636b、部位厚さ637b、及びSWA638bを有して良い。たとえば、部位幅636bは約10nm〜約200nmまで変化し、部位厚さ637bは約20nm〜約400nmまで変化し、及び、SWA638bは約87°〜95°まで変化して良い。それに加えて、エッチングされた標的層620baは複数のエッチングされた標的構造625bを有し、かつ、エッチングされた標的構造625bは、標的構造幅626b、標的構造厚さ627b、及び、標的構造SWA628bを有して良い。たとえばエッチングされた標的構造幅626bは10nm〜200nmまで変化し、標的構造厚さ627bは20nm〜400nmまで変化し、かつ、標的構造SWA628bは約87°〜約95°まで変化して良い。
エッチングされた第3ハードマスク層630baは、複数のこれまでにエッチングされた第3ハードマスク構造635bを有して良い。これまでにエッチングされた第3ハードマスク部位635bは、構造幅636b、構造厚さ637b、及び構造SWA638bを有して良い。たとえば、これまでにエッチングされた第3ハードマスク構造幅636bは10nm〜200nmまで変化して良い。構造厚さ637aは20nm〜400nmまで変化して良い。構造のSWA638bは約87°〜95°まで変化して良い。
それに加えて、エッチングされた標的層620baは、複数のこれまでにエッチングされた標的層構造625bを有して良い。これまでにエッチングされた標的構造625bは、これまでにエッチングされた標的構造幅626b、標的構造厚さ627b、及び標的構造SWA628bを有して良い。たとえば、これまでにエッチングされた標的構造幅626bは10nm〜200nmまで変化して良い。構造厚さ627aは20nm〜400nmまで変化して良い。標的構造のSWA628bは約87°〜95°まで変化して良い。
さらに第1離間距離629bが、これまでにエッチングされた標的層構造625aとエッチングされた標的構造625bとの間に設けられて良い。第1離間距離639bは約10nm〜約200nmまで変化して良い。第2離間距離639bが、これまでにエッチングされた標的層構造635aとエッチングされた標的構造636bとの間に設けられて良い。第2離間距離639bは約10nm〜約200nmまで変化して良い。
一部の実施例では、第2IEO-エッチング処理が実行されるとき、第2パターニングされたウエハ602bが、ウエハホルダ(図2A-2Gに図示された220)及び/又はウエハホルダ(図3A-3Gに図示された320)上に設けられ、かつ、第2IEOプラズマが、IEC処理チャンバ(図2A-2Gに図示された210)及び/又はIEC処理チャンバ(図3A-3Gに図示された310)内に生成され、かつ、第2IEO-エッチング処理が実行されて良い。
第2IEO-エッチング処理中、第2IEエッチングセンサデータが、1つ以上のIEセンサ(図2A-2Gに図示された223及び/又は224)及び/又は1つ以上のIEセンサ(図3A-3Gに図示された323及び/又は324)を用いて収集され、かつ、制御装置(図2A-2Gに図示された295)及び/又は制御装置(図3A-3Gに図示された395)は、第2IEセンサのデータと履歴のIEセンサのデータとを比較し、第2IEエッチングセンサのデータを記憶して良い。たとえば第2処理データは、第2IE関連エッチング処理中、処理センサ(図2A-2Gに図示された236)及び/又は処理センサ(図3A-3Gに図示された336)を用いて収集されて良い。それに加えて、第2IEO-エッチング処理のレシピは、ソフトマスク部位675の処理パラメータ及び/又はプロファイルデータに依存して良い。
選ばれた第2IEC-エッチング処理が他の第2IE関連処理を有するとき、前記他の第2IE関連処理は、図1に図示された1つ以上のサブシステム(110,120,130,140,150,160,170)を用いて実行されて良い。
一部の実施例では、第2IEC-エッチング処理は、第3ハードマスク層のエッチング処理を有して良い。たとえば第2IEOエッチング処理はTiN層エッチング処理を含んで良い。一部の例では、第2IEC-エッチング処理は、アッシング処理、清浄化処理、及び/又はCMP処理をも含んで良い。他の例では、第2IEC-エッチング処理は、IE関連計測処理及び/又はIE関連検査処理を含んで良い。
図6Bでは、第1出力データモデル685bが表されている。第1出力データモデル685bが実行されるとき、第1組の出力データが解析されて良い。第1組の出力データは、リアルタイム及び/又は履歴のIE関連データを有して良い。たとえば第1出力データモデル686bは、他のMIMOモデル(680b, 681b,682b,683b,684b)と共に、搬送手段690を用いて第3IEC-エッチングMVデータを生成及びやり取りし、搬送手段691を用いて第3IEC-エッチングDVデータを生成及びやり取りし、かつ、搬送手段692を用いて第3IEC-エッチングCVデータを生成及びやり取りして良い。それに加えて、第1出力データモデル685bは、第1IEC-エッチング処理及び/若しくは第2IEC-エッチング処理に係る処理データ並びに/又はIEセンサデータを解析して良く、かつ、処理データ及び/又はIEセンサデータは、搬送手段(690,691,692)を用いてフィードフォワード及び/又はフィードバックされて良い。
第1出力データモデル685bが実行されるとき、第1IEC-エッチング処理及び/又は第2IEC-エッチング処理について更新処理が実行されて良い。たとえば更新処理は、第1処理パラメータ及び/又は第2処理パラメータ、IEデータ、並びに処理データを更新するように実行されて良い。それに加えて更新処理は、第1IE関連ライブラリデータ及び/又は第2IE関連ライブラリデータを更新するように実行されて良い。第1出力データモデル686bは、他のMIMOモデル(680b,681b,682b,683b,684b)と共に、搬送手段690を用いて更新されたIE-エッチングMVデータをやり取りし、搬送手段691を用いて更新されたIE-エッチングDVデータをやり取りし、かつ、搬送手段692を用いて更新されたIE-エッチングCVデータをやり取りして良い。処理の開発中、DOE法が、モデル(680b-686b)の基本となる組を検討して、MIMOモデルの還元された組を開発するのに用いられて良い。
一部の実施例では、第2IEエッチング処理は1つ以上のIEOエッチング処理を有して良い。前記1つ以上のIEOエッチング処理は、「ブレークスルー(BT)」エッチング処理、主エッチング(ME)エッチング処理、オーバーエッチング(OE)エッチング処理、及び窒化チタン(TiN)エッチング処理を含んで良い。あるいはその代わりに他のエッチング、アッシング、又は清浄化処理が用いられても良い。
IEOハードマスク(SiARC)処理中、チャンバ圧力は約12mT〜約18mTの範囲であって良い。上側出力は約450[W]〜約550[W]の範囲であって良い。下側出力は約90[W]〜約110[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。テトラフルオロメタン(CF4)の流量は約60sccm〜約100sccmまで変化して良い。トリフルオロメタン(CHF3)の流量は約40sccm〜約60sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約10℃〜約30℃まで変化して良い。ウエハホルダ中心での温度は約12℃〜約20℃まで変化して良い。ウエハホルダ端部での温度は約8℃〜約12℃まで変化して良い。ウエハホルダ中心での背圧は約15Torr〜約25Torrまで変化して良い。ウエハホルダ端部での背圧は約27Torr〜約33Torrまで変化して良い。処理時間は約60[sec]〜約90[sec]まで変化して良い。
IEO幅制御(GWL又はFWL)エッチング処理中、チャンバ圧力は約12mT〜約25mTの範囲であって良い。上側出力は約450[W]〜約550[W]の範囲であって良い。下側出力は約90[W]〜約110[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。テトラフルオロメタン(CF4)の流量は約60sccm〜約100sccmまで変化して良い。O2の流量は約30sccm〜約50sccmまで変化して良い。CO2の流量は約70sccm〜約90sccmまで変化して良い。HBrの流量は約25sccm〜約35sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約10℃〜約30℃まで変化して良い。ウエハホルダ中心での温度は約12℃〜約20℃まで変化して良い。ウエハホルダ端部での温度は約8℃〜約12℃まで変化して良い。ウエハホルダ中心での背圧は約15Torr〜約25Torrまで変化して良い。ウエハホルダ端部での背圧は約27Torr〜約33Torrまで変化して良い。処理時間は約90[sec]〜約130[sec]まで変化して良い。
IEO-TEOS層エッチング処理中、チャンバ圧力は約35mT〜約45mTの範囲であって良い。上側出力は約550[W]〜約650[W]の範囲であって良い。下側出力は約90[W]〜約110[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。CF4の流量は約40sccm〜約60sccmまで変化して良い。CHF3の流量は約40sccm〜約60sccmまで変化して良い。O2の流量は約3sccm〜約7sccmまで変化して良い。上部チャンバ温度は約30℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約30℃〜約50℃まで変化して良い。ウエハホルダ中心での温度は約25℃〜約35℃まで変化して良い。ウエハホルダ端部での温度は約8℃〜約12℃まで変化して良い。ウエハホルダ中心での背圧は約15Torr〜約25Torrまで変化して良い。ウエハホルダ端部での背圧は約27Torr〜約33Torrまで変化して良い。処理時間は約50[sec]〜約90[sec]まで変化して良い。
IEO-TEOSのOEエッチング処理中、チャンバ圧力は約35mT〜約45mTの範囲であって良い。上側出力は約550[W]〜約650[W]の範囲であって良い。下側出力は約90[W]〜約110[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。CF4の流量は約40sccm〜約60sccmまで変化して良い。CHF3の流量は約40sccm〜約60sccmまで変化して良い。O2の流量は約3sccm〜約7sccmまで変化して良い。上部チャンバ温度は約30℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約30℃〜約50℃まで変化して良い。ウエハホルダ中心での温度は約25℃〜約35℃まで変化して良い。ウエハホルダ端部での温度は約8℃〜約12℃まで変化して良い。ウエハホルダ中心での背圧は約15Torr〜約25Torrまで変化して良い。ウエハホルダ端部での背圧は約27Torr〜約33Torrまで変化して良い。処理時間は約5[sec]〜約10[sec]まで変化して良い。
IEOのBTエッチング処理中、チャンバ圧力は約8mT〜約12mTの範囲であって良い。上側出力は約600[W]〜約700[W]の範囲であって良い。下側出力は約175[W]〜約200[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。CF4の流量は約120sccm〜約150sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約10℃〜約30℃まで変化して良い。ウエハホルダの温度は約60℃〜約70℃まで変化して良い。ウエハホルダ中心での背圧は約8Torr〜約12Torrまで変化して良い。ウエハホルダ端部での背圧は約8Torr〜約12Torrまで変化して良い。処理時間は約5[sec]〜約15[sec]まで変化して良い。
IEOのMEエッチング処理中、チャンバ圧力は約8mT〜約12mTの範囲であって良い。上側出力は約120[W]〜約150[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。O2の流量は約2sccm〜約6sccmまで変化して良い。HBrの流量は約220sccm〜約280sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約10℃〜約30℃まで変化して良い。ウエハホルダの温度は約60℃〜約70℃まで変化して良い。ウエハホルダ中心での背圧は約8Torr〜約12Torrまで変化して良い。ウエハホルダ端部での背圧は約8Torr〜約12Torrまで変化して良い。処理時間は約50[sec]〜約70[sec]まで変化して良い。
IEOのOEエッチング処理中、チャンバ圧力は約8mT〜約12mTの範囲であって良い。上側出力は約120[W]〜約150[W]の範囲であって良い。下側出力は約20[W]〜約40[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。O2の流量は約2sccm〜約6sccmまで変化して良い。HBrの流量は約220sccm〜約280sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約60℃〜約80℃まで変化して良い。ウエハホルダの温度は約60℃〜約70℃まで変化して良い。ウエハホルダ中心での背圧は約8Torr〜約12Torrまで変化して良い。ウエハホルダ端部での背圧は約8Torr〜約12Torrまで変化して良い。処理時間は約20[sec]〜約30[sec]まで変化して良い。
IEOのTiNエッチング処理中、チャンバ圧力は約8mT〜約12mTの範囲であって良い。上側出力は約180[W]〜約220[W]の範囲であって良い。下側出力は約40[W]〜約60[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。塩素(Cl2)の流量は約12sccm〜約18sccmまで変化して良い。Arの流量は約180sccm〜約220sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約60℃〜約80℃まで変化して良い。ウエハホルダの温度は約60℃〜約70℃まで変化して良い。ウエハホルダ中心での背圧は約8Torr〜約12Torrまで変化して良い。ウエハホルダ端部での背圧は約8Torr〜約12Torrまで変化して良い。処理時間は約50[sec]〜約80[sec]まで変化して良い。
IEOのHKエッチング処理中、HKチャンバ圧力は約8mT〜約12mTの範囲であって良い。上側出力は約550[W]〜約650[W]の範囲であって良い。ESC電圧は約500[V]に設定されて良い。三塩化ホウ素(BCl3)の流量は約120sccm〜約180sccmまで変化して良い。上部チャンバ温度は約70℃〜約90℃まで変化して良い。チャンバ壁温度は約40℃〜約60℃まで変化して良い。底部チャンバ温度は約60℃〜約80℃まで変化して良い。処理時間は約30[sec]〜約40[sec]まで変化して良い。
IEOのアッシング処理中、チャンバ圧力は約125mT〜約175mTの範囲であって良い。上側出力は約350[W]〜約450[W]の範囲であって良い。下側出力は約20[W]〜約30[W]の範囲であって良い。ESC電圧は約2500[V]に設定されて良い。O2の流量は約430sccm〜約470sccmまで変化して良い。上部チャンバ温度は約30℃〜約90℃まで変化して良い。チャンバ壁温度は約50℃〜約70℃まで変化して良い。底部チャンバ温度は約70℃〜約80℃まで変化して良い。ウエハホルダの中心での温度は約70℃〜約80℃まで変化して良い。ウエハホルダの端部での温度は約8℃〜約12℃まで変化して良い。ウエハホルダ中心での背圧は約15Torr〜約25Torrまで変化して良い。ウエハホルダ端部での背圧は約27Torr〜約33Torrまで変化して良い。処理時間は約150[sec]〜約210[sec]まで変化して良い。
IEエッチングMIMOモデルの開発中、IEエッチングMIMOモデルにおいて実際に用いられている多数のフィードフォワード及びフィードバック経路(675、680、及び685)が最適化されて良い。DOE法が、IEエッチングMIMOモデル(660-666)の生成及び/又は検討、並びに、フィードフォワードとフィードバック経路/変数の還元された組の開発に用いられて良い。4つの典型的なパターニングされたウエハのうちの1つ以上及びIEエッチングMIMOモデル(660-666)のうちの1つ以上が、モデル開発中及びDOE処理中に用いられて良い。4つの典型的なパターニングされたウエハのうちの1つ以上のレシピデータ及び/又は処理データ、並びに1つ以上のモデル(660-666)のIEエッチングモデル化データが、ライブラリ内に記憶されて良く、かつIEエッチングMIMOモデル化処理中に用いられて良い。
図7は本発明の実施例による2つの部分を有するイオンエネルギーが制御された多入力/多出力(IEC-MIMO)モデルの典型的なブロック図を示している。
第1一般化IEC-MIMOモデル710が表されている。第1一般化IEC-MIMOモデル710は、第1IEエッチング(IE1)手順に関連づけられて良く、かつ第1組のMV(1a-na)、第1組のDV(1a-na)、及び第1組のCV(1a-na)を有する。第1組の典型的なMV711が示されている。第1組の典型的なMV711は、第1 IEC-MIMOモデル710に関連づけることのできる8つの操作変数(MV(1a)-MV(8a))を有する。あるいはその代わりに、異なる数の異なる操作変数が第1IEC-MIMOモデル710に関連づけられても良い。第1組の典型的なDV712が示されている。第1組の典型的なDV712は、第1IEC-MIMOモデル710に関連づけることのできる6つの外乱変数(DV(1a)-DV(6a))を有する。あるいはその代わりに、異なる数の異なる操作変数が第1 IEC-MIMOモデル710に関連づけられても良い。第1組の典型的なCV713が示されている。第1組の典型的なCV713は、第1IEC-MIMOモデル710に関連づけることのできる6つの制御変数(CV(1a)-CV(6a))を有する。あるいはその代わりに、異なる数の異なる操作変数が第1IEC-MIMOモデル710に関連づけられても良い。それに加えて、第1組の典型的な方程式715が示されている。第1組の典型的な方程式715は第1IEC-MIMOモデル710に関連づけられて良い。あるいはその代わりに他の方程式が第1IEC-MIMOモデル710に関連づけられても良い。
第2一般化モデル720が図示されている。第2一般化モデル720は第2IEエッチング(IE2)処理に関連づけられて良く、かつ第2組のMV(1b-nb)、第2組のDV(1b-nb)、及び第2組のCV(1b-nb)を有する。第2組の典型的なMV721が図示されている。第2組の典型的なMV721は、IEC-MIMOモデル720に関連づけることのできる8つの操作変数{(MV1b)−(MV8b)}を有する。あるいはその代わりに、異なる数の異なる操作変数がIEC-MIMOモデル720に関連づけられても良い。第2組の典型的なDV722が図示されている。第2組の典型的なDV722は、IEC-MIMOモデル720に関連づけることのできる6つの外乱変数{(DV1b)−(DV6b)}を有する。あるいはその代わりに、異なる数の異なる操作変数がIEC-MIMOモデル720に関連づけられても良い。第2組の典型的なCV723が図示されている。第2組の典型的なCV723は、IEC-MIMOモデル720に関連づけることのできる6つの制御変数{(CV1b)−(CV6b)}を有する。あるいはその代わりに、異なる数の異なる操作変数がIEC-MIMOモデル720に関連づけられても良い。それに加えて、第2組の典型的な方程式925が図示されている。第2組の典型的な方程式725はモデル920に関連づけられて良い。あるいはその代わりに他の方程式がIEC-MIMOモデル720に関連づけられても良い。
第1 IEC-MIMOモデル710に関連づけることのできる1つ以上の変数(711、712、又は713)は第2 IEC-MIMOモデル720へフィードフォワード(730)されて良い。第2 IEC-MIMOモデル720に関連づけることのできる1つ以上の変数(721、722、又は723)は第1 IEC-MIMOモデル710へフィードバック(735)されて良い。
図8は、本発明の実施例によるイオンエネルギーが制御された(IEC-)エッチング処理用のイオンエネルギーが制御された多入力/多出力(IEC-MIMO)モデルを開発する処理についての典型的なフローダイアグラムを表している。図示された実施例では、多数の工程を有する処理800が示されている。あるいはその代わりに異なる数の代替工程が用いられても良い。
810では、イオンエネルギーが制御された(IEC-)エッチング処理が、IEC-MIMOモデル化解析処理の候補として特定されて良い。一部の例では、1つ以上のパターニングされたウエハ(図5A’の501a、502a、503a)又は(図5B’の501b、502b、503b)又は(図6A’の601a、602a、603a)又は(図6B’の601b、602b、603b)を形成するために1つ以上のIEC-エッチング処理及びそのIEC-MIMOモデルが設定されて良い。
815では、第1組の制御される出力変数(CV)及びCVに関する範囲が決定されて良い。1つ以上のCVはエンドユーザー又は顧客によって特定されて良い。CVは、図5A’に図示された1つ以上の部位の積層体(図5A’の505a、506a、507a)、若しくは図5B’に図示された1つ以上の部位の積層体(図5B’の505b、506b、507b)、若しくは図6A’に図示された1つ以上の部位の積層体(図6A’の605a、606a、607a)、若しくは図6B’に図示された1つ以上の部位の積層体(図6B’の605b、606b、607b)に関連する1つ以上の限界寸法(CD)並びに/又は1つ以上の側壁角を有して良い。一部の例では、第1 IECエッチング処理及び第2IECエッチング処理は、pFETデバイス、nFETデバイス、トリゲートデバイス、及びFinFETデバイスを生成するように行われて良い。
820では、IEC-MIMOに関連する操作変数(MV)についての第1組の候補が、1つ以上の候補となるイオンエネルギーが最適化された(IEO-)エッチング処理/レシピを用いて決定されて良い。MVはWiWでの操作変数(WiW-MV)を有して良い。WiW-MVは、ウエハが処理されている間に制御可能な“速い”MVを有して良い。MVはW2W操作された変数(W2W-MV)を有して良い。W2W-MVは、ウエハロットが処理されている間に制御可能な“遅い”MVを有して良い。候補レシピの各工程についてMVの範囲が検討されて良い。
高速応答時間を有する2領域ウエハホルダが用いられるとき、ウエハホルダの中心温度と端部温度は、(WiW-MV)として用いられて良く、かつ工程毎に変化して良い。高速応答時間を有するRF源が、分離した上部電極及びパワースプリッタと共に用いられるとき、プラズマの中心RF出力及び端部RF出力は、(WiW-MV)として用いられて良く、かつ工程毎に変化して良い。低温チラー(-10℃)が用いられるとき、中心から端部にかけて大きな温度差が存在させることができる。それに加えて、圧力、時間、及びガス流がMVとして用いられても良い。
外乱変数(DV)は、中心及び端部での第1入力部位のCD及びSWA値、中心及び端部での制御層のCD及びSWA、中心及び端部での部位の厚さ、各異なる層の化学特性及びエッチングレート特性、チャンバ上での保守イベント、チャンバ間データ、入力IE値、並びに他の積層体データを有して良い。
825では、実験計画(DOE)法が、IECエッチング処理及び/又はIEC-MIMOモデルを解析するように実行されて良い。IEセンサウエハ及び/又はDOEウエハからのIEセンサデータを用いることによって、IEC及び/又はIEOに関連する実験は、各CVとMVを関連させることのできる統計的モデルを確立するように実行されて良い。実験回数が増えれば、より正確なモデルを得ることができるが、さらなる材料と時間を犠牲にすることになる。従ってコストと入手しやすさの程度は、IEセンサウエハを用いて実行される処理回数及び/又はIEC処理チャンバ内で処理されるDOEのウエハ数を制限すると考えられる。DOE処理にとって最も重要な因子は予測モデルである。1つ以上のモデルの種類が選択され、CV及び/又はMVの範囲が与えられ、かつ統計ソフトウエア-たとえばJMP(登録商標)(SAS研究所から販売されている統計ソフトウエア)が1つ以上のDOEテーブルの作成に用いられて良い。DOEデータは、第1IECエッチング処理、第2IECエッチング処理、及び/又は第3IECエッチング処理に関連づけることのできる候補となるMVs、CVs、及びDVsを設定するのに用いられて良い。他の解析処理では、他のMV、DV及びCVが用いられて良い。一部の実施例では、エッチング用チャンバ及びIMチャンバのチャンバ状態データが操作変数として用いられても良い。あるいはその代わりに、処理のモデル化は、チャンバ状態がウエハとロットとの間で安定すると仮定しても良い。
一部の実施例では、IECエッチング処理はIEOエッチング処理を有して良く、IEOエッチング処理は、Si-ARC層エッチング処理、GWC層エッチング処理、TEOS層エッチング処理、TEOSオーバーエッチング(OE)処理、及びアッシング処理を含んで良い。他の例では、IECエッチング処理は、「ブレークスルー」(BT)エッチング処理、主エッチング(ME)処理、オーバーエッチング(OE)処理、チタン窒化物(TiN)エッチング処理、及びHKエッチング処理を有して良い。IEセンサデータ、処理センサデータ、及びIEセンサウエハデータを含みうるIECエッチング処理についてDOEデータを得ることができる。
830では、1つ以上のDOEテーブルの作成に必要な第1IECエッチング処理及び/又は第2IECエッチング処理を実行した後、2次及び相互作用の項を有する非線形モデルが、最小自乗法及び統計ソフトウエアを用いることによって作成されて良い。モデルによっては、極端に小さな係数の項は削除されて良い。
835では、1つ以上の線形ゲイン行列(G)が、DOEデータを用いて作成されて良い。たとえば、相対ゲイン行列(RGA)次式を用いて生成されて良い。
Figure 2014513415
ここで、i=1,2,…nで、かつj=1,2,…nである。(∂CVi/∂MVjMVは、全操作変数で求められた偏微分を表す。ただしMVjは一定である。この項はCViとMVjとの間での開ループゲインである。それに加えて、(∂CVi/∂MVjCVは、全制御ループが閉じられるときのMVjとCViの効果を表す閉ループゲインと解されて良い。

正方行列ではない行列で開始する場合、一部のMV又はCVを除去して正方行列が作成されて良い。それに加えて、CVよりもMVの方が多いときには、非正方行列RGA(NRGA)を用いることによって非正方行列が解析されて良い。たとえば、
Figure 2014513415
である。
擬逆行列G+が通常の逆行列G-1の代わりに用いられる。NRGAは正方系を選択するための複数の基準を供するが、非正方行列の中にはこれらの基準が常に有効とはならないものがあるので、サブシステムの正方対の全組合せについて検討する必要があると考えられる。一のサブシステムと他のサブシステムとを比較するため、RGA対生成規則が基準として用いられて良い。これにより、最善の正方行列に相当しうる組合せが生成される。
840では、1つ以上のRGAが、1つ以上の線形ゲイン行列(G)を用いて計算されて良い。たとえば正方行列が用いられるときには、次式のようになる。
Figure 2014513415
ここでGはゲイン行列であり、G-1はゲイン逆行列である。
845では、MVとCVの最善の組合せを探索するため、RGA中において対生成規則が用いられて良い。測定されたモデルパラメータを選択するためにRGA解析が用いられて良い。CV-MV対は、合計が1に最も近くなるように選ばれて良い。それに加えて負の構成要素での対生成は回避されて良い。それに加えて、RGA解析は、多数の候補モデルの決定、及び最善の場合の解を特定するのに用いられて良い。MVよりもCVが多く存在するとき、RGA解析は、最も制御可能なCV(MVに対するCVの感度解析)を選択するのに用いられて良い。
850では、システムの安定性及び条件が決定されて良い。たとえばNiedeerlinski安定性定理は、対角対生成の結果生じる閉ループ系は、次式の場合では不安定になることを示している。
Figure 2014513415
ここでNSTはNiedeerlinski指数で、Gはゲイン行列で、det(G)はゲイン行列(G)の行列式で、giiはゲイン行列の対角要素である。それに加えて、条件数(CN)は、最大の特異値と最小の特異値との比を用いて決定されて良い。Niedeerlinski定理に関するさらなる情報は非特許文献1を参照のこと。たとえばCNが50よりも大きいときには、システムは特異点近くであり、制御性能は不十分である。
855では、IEC-MIMOモデルは、実際の装置及び/又は性能の制約を用いて最適化されて良い。一部の例では、測定位置は性能を最適化するように検査及び選択されて良く、事前測定及び/又は事後測定処理の数は性能を最適化するように設定されて良く、多チャンバ処理は、処理結果を最適化するように検討されて良い。フィードバックはEWMAフィルタの調節によって最適化されて良い。MVについての時定数が決定されて良く、かつこの更新周波数は、ロット間(L2L)、W2W、WiW、及び処理工程値に基づいて良い。それに加えて、プロセスの中心点、CVの中心点、及びMVの中心点が、性能を最適化するように検討されて良い。履歴データはシミュレーションの実行に用いられて良い。
ウエハは1層以上の層を有して良い。その1層以上の層は、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの混合材料を有して良い。
他の実施例では、1つ以上のIEセンサウエハは、IEC-MIMOモデル及び/又はIECエッチング処理を検証するように処理されて良い。IEC-MIMOモデル又はIECエッチング処理が検証されるとき、1つ以上の検証された金属ゲート構造が試験用ウエハ上に作製されて良い。試験用ウエハが検査されるとき、試験用の参照周期構造が用いられて良い。検査の間、検査データは、検査用参照構造から得られて良い。最善の推定構造及び関連する最善推定データは、検証された金属ゲート構造及び関連するデータを有するIEC-MIMOライブラリから選ばれて良い。試験用参照周期構造とライブラリからの最善の推定構造との間で1つ以上の差異が計算されて良く、その差異は一致基準、生成基準、若しくは製造要件、又はこれらの結合と比較されて良い。一致基準が用いられるとき、試験用参照周期構造は多数のMIMOライブラリとして特定され、かつ一致基準が満たされている、すなわち超えている場合には、試験用ウエハは参照用の“金の”ウエハとして特定されて良い。生成基準が用いられるときには、試験用参照周期構造は新たなIEC-MIMOライブラリの一員として特定されて良く、かつ生成基準が満たされる場合には、試験用のウエハは妥当性が確認された参照ウエハとして特定されて良い。製造要件データが用いられるときには、試験用参照周期構造は妥当性が確認された構造として特定され、かつ1つ以上の製造要件が満たされる場合には、試験用のウエハは妥当性が確認された製造用ウエハとして特定されて良い。1つ以上の基準又は製造要件が満たされない場合には、補正作用が適用されて良い。試験用参照周期構造についてのIEC-MIMO信頼性データ及び/又はリスクデータが、試験用参照周期構造データ及び最善の推定構造データを用いて、設定されて良い。たとえばIEC-MIMO評価ライブラリデータは、適合度(GOF)データ、生成規則データ、測定データ、検査データ、検証データ、マップデータ、信頼性データ、正確性データ、プロセスデータ、若しくは均一性データ、又はこれらの結合を有して良い。
金属ゲート関連構造及び/若しくは標的部位構造が製造並びに/又は検査されるとき、正確さ及び/又は許容限界が用いられて良い。これらの限界が正しくないとき、精緻化処理が行われて良い。あるいはその代わりに、他の処理が行われて良く、他の位置が用いられて良く、又は他のウエハが用いられて良い。精緻化処理が用いられるとき、その精緻化処理は、双一次精緻化、ラグランジュ精緻化、キュービックスプライン精緻化、アイトケン(Aitken)精緻化、重み付け平均精緻化、多重二次精緻化、3次補間精緻化、チュラン(Turran)精緻化、ウエーブレット精緻化、ベッセル精緻化、エバレット精緻化、有限差分精緻化、ガウス精緻化、エルミート精緻化、ニュートン差分精緻化、接触精緻化、若しくはティールズ精緻化アルゴリズム、又はこれらの組合せを利用して良い。
図9は本発明の実施例によるIE関連処理を用いたウエハ処理方法の単純化したフローダイアグラムを示している。
910では、第1組のパターニングされたウエハ(501a,601a)及び関連するイオンエネルギー(IE)データが処理システムによって受け取られて良く、かつ、パターニングされたウエハ(501a,601a)の各々は第1のパターニングされたソフトマスク層(570a,670a)及び複数の追加層を有して良い。第1のパターニングされたソフトマスク層(570a,670a)は、複数のゲート関連ソフトマスク部位及び少なくとも1つの周期評価構造(図示されていない)を有して良い。ウエハデータは、第1のパターニングされたソフトマスク層内の少なくとも1つの周期構造についてのリアルタイム集積計測(IM)データを有して良い。
915では、パターニングされたウエハが、第1組のパターニングされたウエハから選ばれて良い。
920では、選ばれたパターニングされたウエハについての第1イオンエネルギー(IE)関連処理が、IEデータを用いて設定されて良い。
925では、第1IE関連処理が第1イオンエネルギーが制御された(IEC)エッチング処理を含むか否かを判断するように、第1クエリが実行されて良い。第1IE関連処理が第1IECエッチング処理を有するとき、処理900は、図9に図示されているように、930へ分岐して継続して良い。第1IE関連処理が第1IECエッチング処理を有しないとき、処理900は、図9に図示されているように、935へ分岐して継続して良い。
930では、第1IE関連処理が第1IECエッチング処理を含むときに、第1IECエッチング処理が実行され、かつ、第1IECエッチング処理が第1組のパターニングされたウエハを用いて実行されるときに、第2組のパターニングされたウエハが生成されて良い。
935では、第1IE関連処理手順が第1IECエッチング処理を含まないときには、少なくとも1つの補正作用が実行されて良い。
一部の実施例では、処理900は940で終了して良い。
他の実施例では、第1IE関連処理が第1IEOエッチング処理を含むか否かを判断するように、他のクエリが実行されて良く、かつ、第1ICエッチング処理が第1IEOエッチング処理を有するとき、第1IEOエッチング処理が実行されて良い。たとえば第1IEOエッチング処理が第1エッチングサブシステムを利用して良い。前記第1エッチングサブシステムは、該第1エッチングサブシステム内部に設けられた第1IEC処理チャンバと、前記第1エッチングサブシステムと結合する第1MIMO制御装置を有する。それに加えて、IECエッチング処理が第1IEOエッチング処理を含まないとき、第1補正作用が実行されて良い。
第1IECエッチング処理が第2IEOエッチング処理を含むとき、第2IEOエッチング処理が実行されて良い。たとえば第2IEOエッチング処理は第2エッチングサブシステムを利用して良い。前記第2エッチングサブシステムは、該第2エッチングサブシステム内に設けられた第2IEC処理チャンバと、前記第2エッチングサブシステムに結合する第2MIMO制御装置を有する。それに加えて、IECエッチング処理が第2IEOエッチング処理を含まないとき、第1検証処理が実行されて良い。
一部の例の間、第2IEOエッチング処理を実行する工程は、第1組のエッチングによってパターニングされたウエハからエッチングによりパターニングされたウエハを選択する工程、第2IEC処理チャンバ内において第2ウエハホルダ上に選択されたエッチングによりパターニングされたウエハを設ける工程、第2IEC処理チャンバ内に第2IEOプラズマを生成する工程、第2IEOプラズマを用いて選択されたエッチングによりパターニングされたウエハを処理する工程、及び、選択されたエッチングによりパターニングされたウエハが処理される間に第2IEセンサデータを取得する工程を有して良い。第2IEセンサデータは、第2IEC処理チャンバと結合し、かつ、第2IEセンサデータを取得するように構成される。
一部の実施例では、本発明の方法はさらに、第1IE関連処理が第2IECエッチング処理を含むか否かを判断する工程、第1IE関連処理が第2IECエッチング処理を含むときに第2IECエッチング処理を実行する工程、及び、第1IE関連処理が第2IECエッチング処理を含まないときに検証処理を実行する工程を有して良い。たとえば第1IECエッチング処理と第2IECエッチング処理が、第1組のパターニングされたウエハを用いて実行されるとき、第3組のパターニングされたウエハが生成されて良い。
第1IECエッチング処理が新しいIEOエッチング処理を含むか否かを判断するように、1つ以上のクエリが実行されて良い。新しい第1IEOエッチング処理が実行されるとき、前記新しい第1IEOエッチング処理は新しい第1エッチングサブシステムを利用して良い。前記新しい第1エッチングサブシステムは、該新しい第1エッチングサブシステム内に設けられた新しい第1IEC処理チャンバと、前記新しい第1エッチングサブシステムに結合する新しい第1MIMO制御装置を有する。IECエッチング処理が新しい第1IEOエッチング処理を含まないとき、新しい第1検証処理が実行されて良い。
それに加えて、新しい第1IEOエッチング処理を実行する工程は、エッチングによってパターニングされたウエハの組から新しいエッチングによりパターニングされたウエハを選択する工程、新しいIEC処理チャンバ内において新しいウエハホルダ上に選択されたエッチングによりパターニングされたウエハを設ける工程、新しいIEC処理チャンバ内に新しいIEOプラズマを生成する工程、新しいIEOプラズマを用いて選択されたエッチングによりパターニングされたウエハを処理する工程、及び、選択されたエッチングによりパターニングされたウエハが処理される間に新しいIEセンサデータを取得する工程を有して良い。新しいIEセンサは、新しいIEC処理チャンバと結合し、かつ、新しいIEセンサデータを取得するように構成される。
第2IECエッチング処理が新しい第2IEOエッチング処理を含むか否かを判断するように、他のクエリが実行されて良い。第2IECエッチング処理が新しい第2IEOエッチング処理を含むとき、新しい第2IEOエッチング処理が実行されて良い。たとえば新しい第2IEOエッチング処理は新しい第2エッチングサブシステムを利用して良い。前記新しい第2エッチングサブシステムは、該新しい第2エッチングサブシステム内に設けられた新しい第2IEC処理チャンバと、前記新しい第2エッチングサブシステムに結合する新しい第2MIMO制御装置を有する。第2IECエッチング処理が新しい第2IEOエッチング処理を含まないとき、新しい第2検証処理が実行されて良い。
第2IEOエッチング処理が実行されるとき、第2のエッチングによりパターニングされたウエハが、第1組のエッチングによってパターニングされたウエハから選択されて良く、選択されたエッチングによりパターニングされたウエハが、新しい第2IEC処理チャンバ内において新しい第2ウエハホルダ上に設けられて良く、新しい第2IEOプラズマが新しい第2IEC処理チャンバ内に生成されて良く、選択された第2のエッチングによりパターニングされたウエハが新しい第2IEOプラズマを用いて処理されて良く、及び、選択されたエッチングによりパターニングされたウエハが処理される間に新しい第2IEセンサデータが取得されて良い。新しい第2IEセンサは、新しい第2IEC処理チャンバと結合し、かつ、新しい第2IEセンサデータを取得するように構成されて良い。
他の実施例では、第1IE関連処理が第2IECエッチング処理と第3IECエッチング処理を含むか否かを判断するように、少なくとも1つの追加クエリが実行されて良い。第1IE関連処理が第2IECエッチング処理と第3IECエッチング処理を含むとき、第2IECエッチング処理と第3IECエッチング処理が実行されて良い。たとえば第1IECエッチング処理、第2IECエッチング処理、及び第3IECエッチング処理が第1組のパターニングされたウエハを用いて実行されるとき、第4組のパターニングされたウエハが生成されて良い。第1IE関連処理が第2IECエッチング処理と第3IECエッチング処理を含まないとき、1つ以上の検証処理が実行されて良い。
第3IECエッチング処理が新しい第3IEOエッチング処理を含むとき、前記新しい第3IEOエッチング処理は、新しい第3エッチングサブシステムを利用して良い。前記新しい第3エッチングサブシステムは、該新しい第3エッチングサブシステム内に設けられた新しい第3IEC処理チャンバと、前記新しい第3エッチングサブシステムに結合する新しい第3MIMO制御装置を有する。第3IECエッチング処理が新しい第3IEOエッチング処理を含まないとき、新しい第3検証処理が実行されて良い。
さらに他の実施例では、本発明の方法は、第1IEC-MIMOモデルを用いて第1IECエッチング処理のシミュレーションデータを生成する工程をさらに有して良い。たとえば第1IEC-MIMOモデルは、第1数(Na)の第1制御変数(CV1a,CV2a,…CVna)、第1数(Ma)の第1操作変数(MV1a,MV2a,…MVna)、及び第1数(La)の第1外乱変数(DV1a,DV2a,…DVna)を有して良い。ここでLa、Ma及びNaは2以上の整数である。第1IEセンサデータは、第1IECエッチング処理中に得られて良い。第1差分データは、第1シミュレーションデータと第1IEセンサデータとを比較することによって得られて良い。第1IECエッチング処理は、第1差分データが第1閾値データ以下のときに検証されて良い。第1差分データが第1閾値データよりも大きいときに、第1シミュレーションデータ及び/又は第1IEセンサデータは記憶されて良い。
それに加えて、第2IECエッチング処理についての第2シミュレーションデータが、第2IECエッチング処理についての第2IEC-MIMOモデルを用いて生成されて良い。第2IEC-MIMOモデルは、第2数(Nb)の第2制御変数(CV1b,CV2b,…CVNb)、第2数(Mb)の第2操作変数(MV1b,MV2b,…MVMb)、及び第2数(Lb)の第2外乱変数(DV1b,DV2b,…DVLb)を有して良い。ここでLb、Mb及びNbは2以上の整数である。
パターニングされたウエハ(図5A’の501a,502a,503a)又は(図5B’の501b,502b,503b)又は(図6A’の601a,602a,603a)又は(図6B’の601b,602b,603b)からなる組のうちの少なくとも1つについての評価データが得られるとき、評価データが1つ以上の限界の範囲内であるか否かを判断するように1つ以上のクエリが実行されて良い。評価データが1つ以上の限界の範囲内であるとき、パターニングされたウエハの組は、検証されたウエハとして特定されて良い。評価データが1つ以上の限界の範囲内でないとき、1つ以上の補正作用が実行されて良い。
さらに第3IECエッチング処理についての第3シミュレーションデータが、第3IECエッチング処理についての第3IEC-MIMOモデルを用いて生成されて良い。第3IEC-MIMOモデルは、第3数(Nc)の第3制御変数(CV1c,CV2c,…CVNc)、第3数(Mc)の第3操作変数(MV1c,MV2c,…MVMc)、及び第3数(Lc)の第3外乱変数(DV1c,DV2c,…DVLc)を有して良い。ここでLc、Mc及びNcは2以上の整数である。

IE関連データが収集されるとき、複数の検証ウエハ及び/又はIEセンサウエハが用いられ、かつ、候補となる外乱変数が特定されて良い。データ収集中、1つ以上のCVに係る変化が最小限に抑制され、かつ、収集されたデータがシミュレーションに用いられて良い。シミュレーションは、製造に用いられるIEOエッチング処理と同一の処理を実行して良い。たとえば、1つ以上の被処理ウエハが集積計測チャンバ内で測定されて良く、かつ、IMデータは、入ってくる各ウエハ上のパターニングされたマスク層内の多数の位置からのCD及びSWAデータを含んで良い。それに加えて、IEセンサデータ、処理センサデータ、及び/又は他のセンサデータが、受け取られ、かつ/又は、解析されて良い。回折格子密度とトランジスタの型は、最も重要なチップレベルの性能指標(たとえばp又はnチャネルトランジスタ型)と相関するように選ばれなければならない。なぜならトランジスタ構造の各々は、エッチングプロファイル制御の必要性に関連するばらつきを有する恐れがあるからである。
図10は、本発明の実施例によるイオンエネルギー(IE)センサウエハの典型的なブロック図を表している。表された実施例では、IEセンサウエハ1000の単純化された上面が図示されている。IEセンサウエハ1000は、約300mmの第1直径1001を有して良い。あるいはその代わりに直径1001はそれより小さくても良い。
IEセンサウエハ1000は、該IEセンサウエハ1000内部の1つ以上の第1位置に設けられる1つ以上のイオンエネルギーアナライザ1010を有して良い。たとえばIEセンサウエハ1000及び該IEセンサウエハ1000の使用方法は特許文献4に記載されている。それに加えて複数のIEセンサウエハ及び該複数のIEセンサウエハの使用方法は特許文献5に記載されている。さらに特許文献8は追加的情報を供する。イオンエネルギーアナライザ1010の上面が図示されている。イオンエネルギーアナライザ1010は、第2直径1011を有する少なくとも1つの環状開口部を有して良い。第2直径1011は約10mm〜約50mmまで変化して良い。
制御装置1050が図10に図示されている。信号バス1055は、制御装置1050とIEセンサウエハ1000とを電気的に接続するのに用いられて良い。たとえば制御装置1050は、信号バス1055を用いて、1つ以上のイオンエネルギーアナライザ1010とIE関連データをやり取りして良い。
一部の実施例では、イオンエネルギーアナライザ1010は、プラズマ中に存在する状態で高周波(RF)バイアス印加されたウエハ/基板に衝突するイオンのイオンエネルギー分布(IED)を決定するのに用いられて良い。イオンエネルギーアナライザ1010は、プラズマに曝された入射グリッド(図示されていない)、入射グリッドに隣接して設けられる電子排出グリッド(図示されていない)、及び、電子排出グリッドに隣接して設けられるイオン電流コレクタ(図示されていない)を有して良い。イオン電流コレクタは、イオン選択電源と結合し、制御装置1050内に設けられ、かつ、イオン選択電圧によってイオン電流コレクタに正のバイアスを印加するように構成されて良い。電子排出グリッドは、電子排出電源と結合し、制御装置1050内に設けられ、かつ、電子排出電圧によって電子排出擬リッドに負のバイアスを印加するように構成されて良い。それに加えて、制御装置1050内に設けられるイオン電流計は、イオン電流を測定するようにイオン電流コレクタに結合されて良い。
複数の試験用チップ1020は、IEセンサウエハ1000の上面の1つ以上の第2位置で取り外し可能なように結合されて良い。第2位置は第1位置に隣接して良い。たとえば試験用チップ1020は、1つ以上の部位の積層体(505a,506a,507a,505b,506b,507b)又は1つ以上のゲート積層体(605a,606a,607a,605b,606b,607b)を有して良い。
図11は、本発明の実施例によるIEセンサウエハの使用方法を表している。
1110では、IEセンサウエハ1000は、図2A-2G又は図3A-3Gに図示されたIECエッチングサブシステム内に設けられるIEC処理チャンバ(図2の210又は図3の310)内のウエハホルダ(図2の220又は図3の320)上に設けられて良い。
1115では、1つ以上の試験用チップ1020がIEセンサウエハ1000の上面の1つ以上の第2位置で取り外し可能なように結合して良い。第2位置は第1位置に隣接して良い。たとえば試験用チップ1020は、1つ以上の部位の積層体(505a,506a,507a,505b,506b,507b)又は1つ以上のゲート積層体(605a,606a,607a,605b,606b,607b)を有して良い。
1120では、(イオンエネルギーが最適化された)IEOエッチング処理が実行されて良い。IEOエッチング処理では、(イオンエネルギーが最適化された)IEOプラズマが、少なくとも1つのIEC処理チャンバ(図2の210又は図3の310)内に生成される。
1125では、IEセンサウエハ1000内に設けられるイオンエネルギーアナライザ1010はイオン電流コレクタを有して良い。イオン電流コレクタが受けるイオン電流は、コレクタ1050によって測定されて良い。イオン電流は、選択グリッド上のイオン選択電圧の関数として記憶されて良い。たとえばイオン電流コレクタは、測定のためにイオン電流を受ける機能と受けたイオン電流に寄与するイオンを選択する機能の二重の機能を供することができる。
イオンエネルギーアナライザ1010が入射グリッドを有するとき、入射グリッドは、浮遊DC電位でプラズマに曝されて良い。イオンエネルギーアナライザ1010が、入射グリッドに隣接する電子排出グリッドを有するとき、電子排出グリッドは、プラズマから電子を排出するように負のDC電圧によってバイアス印加されて良い。イオンエネルギーアナライザ1010が、電子排出グリッドに隣接するイオン電流コレクタを有するとき、イオン電流コレクタは、イオン電流コレクタに到達するイオンを区別するように、制御装置1050から正のDC電圧によってバイアス印加されて良い。IEOプラズマが生成されるとき、イオン電流コレクタでの1つ以上の選ばれたイオン電流が、制御装置1050によって測定されて良い。たとえば選ばれたイオン電流は、イオン電流コレクタ上での正のDC電圧の関数として、制御装置1050によって記憶されて良い。イオン電流コレクタ上の正のDC電圧は変化して良い。よってイオン選択電圧の関数として記憶されたイオン電流データは、試験回路に関連するIEDを決定するように、制御装置1050によって積分される。
1130では、処理データが、IEOエッチング処理中に測定及び記憶されて良い。たとえば1つ以上の処理センサ(図2の236)又は(図3の336)は、性能データを得るためにIEC処理チャンバ(図2の210)又は(図3の310)と結合して良い。制御装置1050は、性能データを受け取って解析するため、処理センサ(図2の236)又は(図3の336)と結合して良い。
1135では、1つ以上の試験用チップ1020が、IEOエッチング処理の実行後に、IEセンサウエハから取り外されて良い。
1140では、試験用チップ1020がIEセンサウエハから取り外され、かつ、IEOエッチング処理が実行された後、1つ以上の試験用チップ1020の測定データが得られて良い。たとえば、走査電子顕微鏡の限界寸法(CD-SEM)データが得られて良く、かつ、透過型電子顕微鏡(TEM)データが得られて良い。
1145では、IE関連差分データが、測定データとIE関連基準データを用いて決定されて良い。たとえばIE関連基準データは、IE関連データライブラリから得られて良い。
1150では、IEOエッチング処理に係るプロセスレシピは、差分データがIEO関連閾値以下であるときに、検証されたIEOプロセスレシピとして特定されて良い。
1155では、IEOエッチング処理に係るプロセスレシピは、差分データがIEO関連閾値よりも大きいときに、未検証IEOプロセスレシピとして特定されて良い。
図12は、本発明の実施例による第1組のイオンエネルギー分布(IED)データを表している。図示された実施例では、第1イオンエネルギー分布(IED)データ1200が図示されている。第1IEDデータでは、測定IED(A/eV)データ1201と基準IED(A/eV)データ1202が、第1IEOエッチング処理のエネルギー(eV)に対してプロットされている。第1の測定されたIEDグラフ1201では、第1の測定された上側データ点1202、第2の測定された上側データ点1204、及び第3の測定された上側データ点1206が示されている。1つ以上の測定された上側データ点(1202,1204,1206)は、第1IEOエッチング処理を評価するのに用いられ得る。たとえば、測定されたIEDグラフ1201上の測定された上側データ点(1202,1204,1206)と基準となるグラフ1202上の対応する点との間の第1エラー値が計算されて良い。1つ以上の第1エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。第1の測定されたIEDグラフ1201では、第1の測定された下側データ点1212、第2の測定された下側データ点1214、及び第3の測定された下側データ点1216が示されている。1つ以上の測定された下側データ点(1212,1214,1216)は、第1IEOエッチング処理を評価するのに用いられ得る。それに加えて、測定されたIEDグラフ1201上の測定された下側データ点(1212,1214,1216)と基準となるグラフ1202上の対応する点との間の別な第1エラー値が計算されて良い。1つ以上の別な第1エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。
第1IEDグラフ1200についての測定された下側差分値1222と測定された上側差分値1255が図示されている。1つ以上の測定された差分値(1222,1255)は、第1IEOエッチング処理を評価するのに用いられて良い。たとえば、測定されたIEDグラフ1201上の測定された差分値(1222,1255)と基準となるグラフ1202上の対応する点(図示されていない)との間の第2エラー値が計算されて良い。1つ以上の第2エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。
第1の測定されたIEDグラフ1200では、測定された下側差分値1222及び測定された上側差分値1255が示されている。1つ以上の測定された差分値(1222,1255)は、第1IEOエッチング処理を評価するのに用いられ得る。たとえば、測定されたIEDグラフ1201上の測定された差分値(1222,1255)と基準となるグラフ1202上の対応する点との間の第2エラー値が計算されて良い。1つ以上の第2エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。
第1IEDグラフ1200では、第1測定ピークデータ点1231、第2測定ピークデータ点1232、及び測定ピーク分離値1235が図示されている。1つ以上の測定ピークデータ点(1231,1232)及び/又は測定ピーク分離値1235は、第1IEOエッチング処理を評価するのに用いられて良い。たとえば、測定されたIEDグラフ1201上の測定ピークデータ点(1231,1232)と基準となるグラフ1202上の対応する点との間の第3エラー値が計算されて良い。1つ以上の第3エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。測定されたIEDグラフ1201上の測定ピーク分離値1235と基準となるグラフ1202上の対応する点との間の別な第3エラー値が計算されて良い。1つ以上の別な第3エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。
第1IEDグラフ1200では、第1測定バレーデータ点1250が図示されている。1つ以上の測定バレーデータ点1250は、第1IEOエッチング処理を評価するのに用いられて良い。たとえば、測定されたIEDグラフ1201上の測定バレーデータ点1250と基準となるグラフ1202上の対応する点との間の第4エラー値が計算されて良い。1つ以上の第4エラー値は、第1IEOエッチング処理を最適化及び/又は評価するのに用いられ得る。
それに加えて、データ点(1202,1204,1206,1212,1214,1216)、差分データ(1222,1224,1226)、及びピークデータ値(1232,1234,1236)について、平均値、閾値、及び/又は限界が計算されて良い。
CDのDVは、限界DVで、かつ、IECエッチング処理中の作業での機構に起因する測定を修正するDVを有して良い。SWAは、角度が90°未満となることで感度を増大させる1次修正子であって良い。それに加えて、中間CDが最終CDに最も正確な相関を与える場合には、中間CDが用いられて良い。中間CDは単純な項において最善となる。その理由は、中間CDは、上側CD測定と下側CD測定のばらつきを平均化するからである。
CDの第2修正子は、ウエハ全体にわたるBARCの厚さばらつき、及び、ウエハ間でのBARCの厚さばらつきであって良い。厚さが不均一な場合、BARC厚さはCDに影響を及ぼす。その理由は、BARCエッチング中、レジストがエッチングされ続けるからである。BARCが薄ければ薄いほどエッチング時間は短くなりうる。BARCが厚ければ厚いほどエッチング時間は長くなりうる。エッチング時間が長くなる結果、CDが小さくなる。従ってBARCが不均一な結果、部分エッチング及び最終エッチング間での制御のため、中心から端部にかけてCDのばらつきの増大が、モデル化される必要がある。
それに加えて、処理センサ及びIEセンサのデータが、予想プラズマチャンバ状態を示すDVに用いられて良い。たとえばロット(ウエハ)が、条件設定用ウエハを用いることなく処理されているとき、チャンバ状態はドリフトによる影響を受けると考えられる。チャンバ状態のフィードフォワードDVに寄与する変化は、たとえばチャンバの洗浄、部品交換、化学変化、不稼働時間、条件設定用ウエハ、チャンバの中断、手動調節、ウエハ材料の変化、及び製造密度変化のような事象を含みうる。たとえば、測定DVは、統計学上同一の母集団ではないと思われる位置を排除する箱髭アルゴリズムを用いてフィルタリングされて良い。残りの位置は、ウエハの物理的領域を表すように平均化されて良い。
IECエッチング処理についてのIEOプロセスレシピが、IEC-MIMOモデル最適化中に設定される1つ以上のMVを用いることによって定義されて良い。現在のプロセスレシピは、MVについての新しい値を用いて調節されて良い。非線形最適化が、各処理の実行後にレシピを調節することによってIECエッチング処理の性能を最大化するように、エッチング処理に係る非線形関係と制約を処理するのに用いられて良い。
IMデータは、操作変数(MV)の値を計算するように、1つ以上の最適化制御装置へフィードフォワードされて良い。各制御変数(CV)に係る非線形モデルの式は、各CV目標値と共に用いられて良い。2次対象関数が、その対象関数中の各CV項を優先する重み付け因子を利用して良い。IEC-MIMO内の最適化器は、非線形プログラミングを用いてMVが制約される対象関数を最大化又は最小化することによってエッチングレシピを決定するのに用いられて良い。
一部の例では、1つ以上のウエハが、修正されたレシピを用いることによって処理されて良い。たとえば修正されたレシピは、IECエッチング処理用の最適化器からの最適化されたMVを有して良い。続いて1つ以上の被処理ウエハの測定データが得られて良い。たとえばウエハ上の1つ以上の位置で測定が行われて良い。ポリエッチング処理の実行後及び/又は金属ゲートエッチング処理の実行後、CVの出力が、IM装置を用いて測定されて良い。IECエッチング処理から得られるデータは、フィルタリング及び/又は適合されて良い。それに加えて、IECエッチング処理の処理エラーが計算されて良い。たとえば各CVのエラー((実際の出力)−(モデル出力))が計算されて良い。次に、IECエッチング処理についてのフィードバックデータ項目が計算されて良い。エラーは、指数重み付け移動平均(EWMA)フィルタを用いることによって、IEC-MIMOモデルのCVオフセットを更新するのに用いられて良い。続いて、IECエッチング処理について新しいモデルオフセットが更新され、かつ、これらのオフセット値が、次の処理の実行を妨害するものを補償するのに用いられる最適化制御装置へ供されて良い。たとえば、このオフセット値は、新しい更新が計算されるまで用いられて良く、かつ、この処理は、最終的なパターニングされたウエハが処理されるまで実行されて良い。
先行ウエハが用いられるとき、IMデータが、IECエッチング処理における中間点で得られて良い。新たな及び/若しくは追加の測定データ、検査データ、並びに/又は評価データが必要とされるとき、追加のIMデータが、基板上の1つ以上の位置から得られて良い。たとえば測定構造-たとえば周期回折格子、周期アレイ、及び/又は周期構造-が、1つ以上の測定位置で測定されて良い。
一部の実施例では、1つ以上のウエハについての、履歴及び/又はリアルタイムデータは、IEマップ、ウエハ関連マップ、プロセス関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、危険性マップ、検査マップ、妥当性確認マップ、評価マップ、粒子マップ、及び/又は信頼性マップを有して良い。それに加えて、IECエッチング処理は、1つ以上の適合度(GOF)マップ、1つ以上の厚さマップ、1つ以上のゲート関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の構造関連マップ、1つ以上の側壁角マップ、1つ以上の差分マップ、又はこれらの結合を含むウエハマップを用いて良い。
ウエハマップが生成及び/又は修正されるとき、ウエハ全体についての値は計算されなくて良く、かつ/又は必要とされず、またウエハマップは、1つ以上の位置、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有して良い。たとえば処理チャンバは、ウエハのある領域での処理結果の品質に影響を及ぼしうる独自の特性を有して良い。それに加えて、製造者は、ウエハの1つ以上の領域でのチップ/ダイについてのプロセスデータ及び/又は評価データがそれほど正確でなくても、歩留まりを最大にすることが可能となる。マップ内での値が限界に近いとき、信頼性値は、そのマップ内の値が限界に近くないときよりも低くて良い。それに加えて、各異なるチップ/ダイ及び/又は各異なる基板領域について、正確さの値が重み付けされて良い。たとえばより高い信頼性の重み付けが、正確さの計算及び/又はこれまでに用いられてきた1つ以上の評価位置に関連する正確さのデータに割り当てられて良い。
それに加えて、1つ以上のプロセスに関連する、プロセスの結果、測定、検査、妥当性の確認、評価、及び/又は予測マップは、基板についての信頼性マップを計算するのに用いられて良い。たとえば他のマップからの値が重み付け因子として用いられて良い。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。
よって本記載は本発明を限定するものではない。本発明の設定、動作、及び挙動は、本明細書に存在するレベルの詳細が与えられれば、実施例の修正型及び変化型が可能であるという理解を前提として記載されている。従って前述の詳細な説明は如何なる意味においても本発明を限定するものではない。本発明の技術的範囲は、この詳細な説明によってではなく「特許請求の範囲」の請求項によって定義される。
1 フォトレジスト積層体
2 限界寸法(CD)
3 側壁角(SWA)
4 第1組のパラメータ
5 ゲート積層体
6 CD
7 SWA
8 第2組のパラメータ
10 第1集積計測装置用制御装置/モデル
11 CDデータ
12 SWAデータ
15 フィードフォワードデータ
16 データ項目
20 エッチング装置用制御装置/モデル
21 1組以上のレシピパラメータ
25 ゲート積層体のプロファイル制御
27 予測データ項目
30 第2集積計測装置用制御装置/モデル
31 CDデータ
32 SWAデータ
33 実際の出力
35 フィードバックデータ
40 第1計算構成要素
41 第1組の標的パラメータ
42 出力データ項目
45 標的データ項目
50 IEC-MIMOモデル最適化器
51 制約パラメータ
55 フィードバックデータ項目
65 エラー値
70 EWMAフィルタ
71 第1のフィルタリングされた出力
72 第2のフィルタリングされた出力
80 重み付け用構成要素
81 動的に変化する重み付け入力
100 処理システム
105 ウエハ
110 リソグラフィサブシステム
111 接続
112 搬送/格納部
113 処理部
114 制御装置
115 評価部
120 スキャナサブシステム
121 接続
122 搬送/格納部
123 処理部
124 制御装置
125 評価部
130 エッチングサブシステム
131 接続
132 搬送/格納部
133 処理部
134 制御装置
135 評価部
140 堆積サブシステム
141 接続
142 搬送/格納部
143 処理部
144 制御装置
145 評価部
150 検査サブシステム
151 接続
152 搬送/格納部
153 処理部
154 制御装置
155 評価部
160 計測サブシステム
161 接続
162 搬送/格納部
163 処理部
164 制御装置
165 評価部
170 搬送サブシステム
174 搬送部
175 搬送トラック
176 搬送トラック
177 搬送トラック
180 製造実行システム
181 接続
190 システム制御装置
195 メモリ/データベース
305 DC電極
306 DC電源
401 第1パターニングされたゲート積層体
402 ソフトマスク部位のCD
403 ソフトマスク部位の側壁角(SWA)
404 第1組のパラメータ
405 後処理されたゲート積層体
406 CD
407 SWA
408 第2組のパラメータ
410 第1集積計測(IM)装置(第1ODP-IM)用制御装置/モデル
411 フィードフォワードデータ
412 データ
415 フィードフォワードデータ
416 データ項目
420 エッチング装置用制御装置/モデル
421 洗浄/アッシング装置用制御装置/モデル
422 金属ゲートエッチング(MGE)用制御装置/モデル
425 ゲート積層体のプロファイル制御
427 予測データ項目
430 出力計測装置(第2ODP-IM)用制御装置/モデル
431 データ
433 出力
435 フィードバックデータ
440 第1計算用構成要素
441 第1組の標的パラメータ
442 出力データ項目
445 標的データ項目
450 MIMOモデル最適化器
455 フィードバックデータ項目
456 レシピ/チャンバパラメータ
460 比較用構成要素
470 EWMAフィルタ
471 フィルタリングされた出力
472 実際の出力
480 重み付け用構成要素

Claims (20)

  1. ウエハの処理方法であって:
    第1組のパターニングされたウエハと該ウエハに係るイオンエネルギー(IE)データを処理システムによって受ける工程であって、前記パターニングされたウエハの各々は、上に第1パターニングされたソフトマスク層と複数の別な層を有する、工程;
    前記第1組のパターニングされたウエハからパターニングされたウエハを選ぶ工程;
    前記選ばれたパターニングされたウエハの第1イオンエネルギー(IE)関連処理を設定する工程;
    前記第1IE関連処理が第1IEが第1イオンエネルギーが制御された(IEC)エッチング処理を含むか否かを判断する工程;
    前記第1IE関連処理が前記第1IECエッチング処理を含むときに、前記第1IECエッチング処理を実行する工程であって、前記第1IECエッチング処理が前記第1組のパターニングされたウエハを用いて実行されるときに、第2組のパターニングされたウエハが生成される、工程;
    前記第1IE関連処理が前記第1IECエッチング処理を含まないときに、補正作用を実行する工程;
    を有する方法。
  2. 前記第1IECエッチング処理が第1イオンエネルギーが最適化された(IEO)エッチング処理を含むか否かを判断する工程;
    前記第1 IECエッチング処理が前記第1IEOエッチング処理を含むときに、前記第1 IEOエッチング処理を実行する工程であって、
    前記第1IEOエッチング処理は第1エッチングサブシステムを利用し、
    前記第1エッチングサブシステムは、該第1エッチングサブシステム内部に設けられた第1IEC処理チャンバと、前記第1エッチングサブシステムと結合する第1多入力/多出力(MIMO)制御装置を有する、
    工程;
    前記第1 IECエッチング処理が第1IEOエッチング処理を含まないときに、補正作用を実行する工程;
    をさらに有する、請求項1に記載の方法。
  3. 前記第1 IEOエッチング処理を実行する工程が:
    前記第1組のパターニングされたウエハからパターニングされたウエハを選ぶ工程;
    前記第1IEC処理チャンバ内において第1ウエハホルダ上に前記の選ばれたパターニングされたウエハを設ける工程;
    前記第1IEC処理チャンバ内に第1イオンエネルギーが最適化された(IEO)プラズマを生成する工程;
    前記第1IEOプラズマを用いて前記の選ばれたパターニングされたウエハを処理する工程;及び、
    前記の選ばれたパターニングされたウエハが処理されている間に第1IEセンサデータを取得する工程であって、第1イオンエネルギー(IE)センサが、前記第1IEC処理チャンバに結合され、かつ、前記第1IEセンサデータを取得するように構成される、工程;
    を有する、請求項2に記載の方法。
  4. 前記第1IECエッチング処理が第2IEOエッチング処理を含むか否かを判断する工程;
    前記第1 IECエッチング処理が前記第2IEOエッチング処理を含むときに、前記第2 IEOエッチング処理を実行する工程であって、
    前記第2IEOエッチング処理は第2エッチングサブシステムを利用し、
    前記第2エッチングサブシステムは、該第2エッチングサブシステム内部に設けられた第2IEC処理チャンバと、前記第2エッチングサブシステムと結合する第2 MIMO制御装置を有する、
    工程;
    前記第1 IECエッチング処理が第1IEOエッチング処理を含まないときに、第1検証処理を実行する工程;
    をさらに有する、請求項3に記載の方法。
  5. 前記第2IEOエッチング処理を実行する工程が:
    前記第1組のエッチングによりパターニングされたウエハからエッチングによりパターニングされたウエハを選ぶ工程;
    前記第2IEC処理チャンバ内において第2ウエハホルダ上に前記の選ばれたエッチングによりパターニングされたウエハを設ける工程;
    前記第2IEC処理チャンバ内に第2IEOプラズマを生成する工程;
    前記第2IEOプラズマを用いて前記の選ばれたエッチングによりパターニングされたウエハを処理する工程;及び、
    前記の選ばれたエッチングによりパターニングされたウエハが処理されている間に第2IEセンサデータを取得する工程であって、第2IEセンサが、前記第2IEC処理チャンバに結合され、かつ、前記第2IEセンサデータを取得するように構成される、工程;
    を有する、請求項4に記載の方法。
  6. 前記第1IE関連処理が第2IECエッチング処理を含むか否かを判断する工程;
    前記第1IE関連処理が前記第2IECエッチング処理を含むときに、前記第2IECエッチング処理を実行する工程であって、前記第1IECエッチング処理と前記第2IECエッチング処理が前記第1組のパターニングされたウエハを用いて実行されるときに、第3組のパターニングされたウエハが生成される、工程;
    前記第1 IE関連処理が前記第2IEOエッチング処理を含まないときに、検証処理を実行する工程;
    をさらに有する、請求項1に記載の方法。
  7. 前記第2IECエッチング処理が新しい第1イオンエネルギーが最適化された(IEO)エッチング処理を含むか否かを判断する工程;
    前記第2 IECエッチング処理が前記新しい第1IEOエッチング処理を含むときに、前記新しい第1IEOエッチング処理を実行する工程であって、
    前記新しい第1IEOエッチング処理は新しい第1エッチングサブシステムを利用し、
    前記新しい第1エッチングサブシステムは、該新しい第1エッチングサブシステム内部に設けられた新しい第1IEC処理チャンバと、前記新しい第1エッチングサブシステムと結合する新しい第1 MIMO制御装置を有する、
    工程;
    前記第2IECエッチング処理が前記新しい第1IEOエッチング処理を含まないときに、新しい第1検証処理を実行する工程;
    をさらに有する、請求項6に記載の方法。
  8. 前記新しい第1IEOエッチング処理を実行する工程が:
    第2組のエッチングによりパターニングされたウエハから第2パターニングされたウエハを選ぶ工程;
    前記第2IEC処理チャンバ内において第2ウエハホルダ上に前記の選ばれた第2パターニングされたウエハを設ける工程;
    前記第2IEC処理チャンバ内に第2IEOプラズマを生成する工程;
    前記第2IEOプラズマを用いて前記の選ばれた第2パターニングされたウエハを処理する工程;及び、
    前記の選ばれた第2パターニングされたウエハが処理されている間に第2IEセンサデータを取得する工程であって、第2IEセンサが、前記第2IEC処理チャンバに結合され、かつ、前記第2IEセンサデータを取得するように構成される、工程;
    を有する、請求項7に記載の方法。
  9. 前記第2IECエッチング処理が新しい第2IEOエッチング処理を含むか否かを判断する工程;
    前記第2 IECエッチング処理が前記新しい第2IEOエッチング処理を含むときに、前記新しい第2IEOエッチング処理を実行する工程であって、
    前記新しい第2IEOエッチング処理は新しい第2エッチングサブシステムを利用し、
    前記新しい第2エッチングサブシステムは、該新しい第2エッチングサブシステム内部に設けられた新しい第2IEC処理チャンバと、前記新しい第2エッチングサブシステムと結合する新しい第2MIMO制御装置を有する、
    工程;
    前記第2IECエッチング処理が前記新しい第2IEOエッチング処理を含まないときに、新しい第2検証処理を実行する工程;
    をさらに有する、請求項8に記載の方法。
  10. 前記第2IEOエッチング処理を実行する工程が:
    第1組の第2エッチングによりパターニングされたウエハから第2エッチングによりパターニングされたウエハを選ぶ工程;
    前記新しい第2IEC処理チャンバ内において新しい第2ウエハホルダ上に前記の選ばれた第2エッチングによりパターニングされたウエハを設ける工程;
    前記新しい第2IEC処理チャンバ内に新しい第2IEOプラズマを生成する工程;
    前記新しい第2IEOプラズマを用いて前記の選ばれた第2エッチングによりパターニングされたウエハを処理する工程;及び、
    前記の選ばれた第2エッチングによりパターニングされたウエハが処理されている間に新しい第2IEセンサデータを取得する工程であって、新しい第2IEセンサが、前記新しい第2IEC処理チャンバに結合され、かつ、前記新しい第2IEセンサデータを取得するように構成される、工程;
    を有する、請求項9に記載の方法。
  11. 前記第1IE関連処理が第2IECエッチング処理と第3IECエッチング処理を含むか否かを判断する工程;
    前記第1IE関連処理が前記第2IECエッチング処理と前記第3IECエッチング処理を含むときに、前記第2IECエッチング処理と前記第3IECエッチング処理を実行する工程であって、前記第1IECエッチング処理と、前記第2IECエッチング処理と、前記第3IECエッチング処理が前記第1組のパターニングされたウエハを用いて実行されるときに、第4組のパターニングされたウエハが生成される、工程;
    前記第1 IE関連処理が前記第2IEOエッチング処理と前記第3IECエッチング処理を含まないときに、検証処理を実行する工程;
    をさらに有する、請求項1に記載の方法。
  12. 前記第2IECエッチング処理が新しい第1IEOエッチング処理を含むか否かを判断する工程;
    前記第2 IECエッチング処理が前記新しい第1IEOエッチング処理を含むときに、前記新しい第1IEOエッチング処理を実行する工程であって、
    前記新しい第1IEOエッチング処理は新しい第1エッチングサブシステムを利用し、
    前記新しい第1エッチングサブシステムは、該新しい第1エッチングサブシステム内部に設けられた新しいイオンエネルギーが制御された(IEC)処理チャンバと、前記新しい第1エッチングサブシステムと結合する新しい第1MIMO制御装置を有する、
    工程;
    前記第2IECエッチング処理が前記新しい第1IEOエッチング処理を含まないときに、新しい第1検証処理を実行する工程;
    をさらに有する、請求項11に記載の方法。
  13. 前記第3IECエッチング処理が新しい第1IEOエッチング処理を含むか否かを判断する工程;
    前記第3 IECエッチング処理が前記新しい第1IEOエッチング処理を含むときに、前記新しい第1IEOエッチング処理を実行する工程であって、
    前記新しい第1IEOエッチング処理は新しい第1エッチングサブシステムを利用し、
    前記新しい第1エッチングサブシステムは、該新しい第1エッチングサブシステム内部に設けられた新しいイオンエネルギーが制御された(IEC)処理チャンバと、前記新しい第1エッチングサブシステムと結合する新しい第1MIMO制御装置を有する、
    工程;
    前記第2IECエッチング処理が前記新しい第1IEOエッチング処理を含まないときに、新しい第1検証処理を実行する工程;
    をさらに有する、請求項11に記載の方法。
  14. 第1イオンエネルギーが制御された多入力多出力(IEC-MIMO)モデルを用いることによって、前記第1IECエッチング処理についての第1シミュレーションデータを生成する工程であって、
    前記第1IEC-MIMOモデルは、第1数(Na)の第1制御変数(CV1a,CV2a,…CVNa)、第1数(Ma)の第1操作変数(MV1a,MV2a,…MVMa)、及び第1数(La)の第1外乱変数(DV1a,DV2a,…DVLa)を有し、
    ここでLa、Ma及びNaは2以上の整数である、
    工程;
    前記第1IECエッチング処理中に第1IEセンサデータを取得する工程であって、第1イオンエネルギー(IE)センサは、第1イオンエネルギーが制御された(IEC)処理チャンバと結合する、工程;
    前記第1シミュレーションデータと前記第1IEセンサデータとを比較することによって第1差分データを得る工程;
    前記第1差分データが第1閾値データ以下のときに前記第1IECエッチング処理を検証する工程;
    前記第1差分データが前記第1閾値データよりも大きいときに、前記第1シミュレーションデータ及び/又は前記第1IEセンサデータを記憶する工程;
    をさらに有する、請求項1に記載の方法。
  15. 前記第1差分データを用いることによって前記第1IECエッチング処理の危険性データを決定する工程;
    前記危険性データが第1危険性限界未満であるときに、前記第1IECエッチング処理を妥当性が確認された処理として特定する工程;及び、
    前記危険性データが第1危険性限界以上であるときに、前記第1IECエッチング処理を妥当性が確認されていない処理として特定する工程;
    をさらに有する、請求項14に記載の方法。
  16. 前記第1IECエッチング処理が、イオンエネルギーが最適化された(IEO)ハードマスクエッチング及びIEO幅制御エッチング処理を有する、請求項1に記載の方法。
  17. 前記第1IEOプラズマを生成する工程が:
    前記第1イオンエネルギーが制御された(IEC)処理チャンバ内での第1チャンバ圧力を12mT乃至18mTの範囲に設定する工程;
    第1回の間、前記第1ウエハホルダの第1端部温度を8℃乃至12℃に設定し、第1中心温度を12℃乃至20℃に設定する工程;
    二重背面ガスシステムを用いることによって、第1端部背圧を15Torr乃至25Torrに設定し、第1中心背圧を27Torr乃至33Torrに設定する工程;
    前記第1回の間、前記第1IEC処理チャンバへ第1プロセスガスを供する工程であって、
    前記第1プロセスガスは、流量が60sccm乃至100sccmで変化するCF4と、流量が40sccm乃至60sccmで変化CHF3を含み、
    前記第1IEC処理チャンバ内のガスプレナムは、前記第1プロセスガスを1つ以上の処理領域へ供するように構成される、
    工程;
    前記第1回の間、前記第1IEC処理チャンバ内で2つの上側電極と結合する第1パワースプリッタを用いることによって、前記第1IEC処理チャンバ内の中心領域に第1高周波(RF)出力を供して、前記第1IEC処理チャンバ内の端部領域に第2RF出力を供する工程であって、
    第1RF源は前記第1パワースプリッタと結合し、
    前記第1RF源は0.1MHz乃至200MHzの周波数範囲で動作し、
    前記第1RF出力は450[W]乃至550[W]の範囲で、かつ、
    前記第2RF出力は10[W]乃至100[W]の範囲である、
    工程;並びに、
    RF発生装置及びインピーダンス整合ネットワークを用いることによって前記第1ウエハホルダ内の下側電極に下側高周波(RF)出力を供する工程であって、
    前記下側RF源は0.1MHz乃至200MHzの第1周波数範囲で動作し、
    前記下側RF出力は450[W]乃至550[W]の範囲で、かつ、
    前記下側RF出力は90[W]乃至110[W]の範囲である、
    工程;
    を有する、請求項3に記載の方法。
  18. 前記第1組のパターニングされたウエハが、pFET構造、nFET構造、トリゲート構造、及びFinFET構造を含む、請求項1に記載の方法。
  19. イオンエネルギーが制御された(IEC)処理チャンバを用いてウエハを処理する方法であって:
    前記IEC処理チャンバ内においてウエハホルダ上にIEセンサウエハを設ける工程;
    前記IEセンサウエハの上面に1つ以上の試験用チップを取り外し可能なように結合する工程;
    前記IEC処理チャンバ内においてイオンエネルギーが最適化された(IEO)エッチング処理を実行する工程;
    前記IEOエッチング処理中のイオンエネルギーデータと処理データを測定する工程;及び、
    前記IEOエッチング処理の実行後に前記試験用チップの少なくとも1つを取り外す工程;
    を有する方法。
  20. 前記1つ以上の試験用チップの測定データを取得する工程;
    前記測定データと基準データとの差分データを決定する工程;
    前記差分データがIEO関連閾値以下であるときに、IEOエッチング処理に係るプロセスレシピを妥当性が確認されたIEOプロセスレシピとして特定する工程;及び、
    前記差分データが前記IEO関連閾値よりも大きいときに、前記IEOエッチング処理に係るプロセスレシピを妥当性が確認されないIEOプロセスレシピとして特定する工程;
    をさらに有する、請求項19に記載の方法。
JP2014502768A 2011-03-28 2012-03-29 適合性レシピ選択 Pending JP2014513415A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/073,237 US8501499B2 (en) 2011-03-28 2011-03-28 Adaptive recipe selector
PCT/US2012/031045 WO2012135396A2 (en) 2011-03-28 2012-03-29 Adaptive recipe selector

Publications (1)

Publication Number Publication Date
JP2014513415A true JP2014513415A (ja) 2014-05-29

Family

ID=46927757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014502768A Pending JP2014513415A (ja) 2011-03-28 2012-03-29 適合性レシピ選択

Country Status (6)

Country Link
US (1) US8501499B2 (ja)
JP (1) JP2014513415A (ja)
KR (1) KR101960112B1 (ja)
CN (1) CN104040679B (ja)
TW (1) TWI459168B (ja)
WO (1) WO2012135396A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022504561A (ja) * 2018-10-09 2022-01-13 アプライド マテリアルズ インコーポレイテッド 先進の半導体プロセス最適化および生産中の適応制御
WO2022026191A1 (en) * 2020-07-30 2022-02-03 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
JP7460627B2 (ja) 2018-12-13 2024-04-02 アプライド マテリアルズ インコーポレイテッド 高度に共線的なレスポンススペースにおける処方分析

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
US10247644B2 (en) * 2013-02-19 2019-04-02 Garrett Thermal Systems Limited Air sampling system for a low-temperature space that enables removal of ice build-up within a sampling conduit
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
KR20160101021A (ko) * 2013-12-17 2016-08-24 도쿄엘렉트론가부시키가이샤 플라즈마 밀도를 제어하는 시스템 및 방법
CN103760753B (zh) * 2013-12-31 2017-04-12 深圳市华星光电技术有限公司 基板烘烤装置及其温度调节方法
US9508578B2 (en) * 2014-02-04 2016-11-29 Globalfoundries Inc. Method and apparatus for detecting foreign material on a chuck
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9466466B1 (en) * 2015-07-02 2016-10-11 Lam Research Corporation Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
JP2017091126A (ja) * 2015-11-09 2017-05-25 アズビル株式会社 調節計
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
TWI676149B (zh) * 2017-01-03 2019-11-01 行政院環境保護署 運作中工廠製程動態篩檢方法
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
US10861677B2 (en) 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
CN109891566B (zh) * 2019-01-29 2021-01-29 长江存储科技有限责任公司 智能可定制湿法处理系统
US10950508B2 (en) 2019-03-20 2021-03-16 Samsung Electronics Co., Ltd. Ion depth profile control method, ion implantation method and semiconductor device manufacturing method based on the control method, and ion implantation system adapting the control method
CN110416052B (zh) * 2019-07-24 2022-06-17 拓荆科技股份有限公司 具有共振电路的晶圆支撑座
KR20220083811A (ko) * 2019-10-23 2022-06-20 램 리써치 코포레이션 반도체 제작을 위한 레시피의 결정 (determination)
CN113287123A (zh) * 2019-12-03 2021-08-20 株式会社日立高新技术 搜索装置、搜索程序以及等离子处理装置
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
US11068637B1 (en) * 2020-03-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for context aware circuit design
US11222805B2 (en) * 2020-04-01 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and methods of cleaning thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080311688A1 (en) * 2007-06-13 2008-12-18 Tokyo Electron Limited Method and Apparatus for Creating a Gate Optimization Evaluation Library
US20090081815A1 (en) * 2007-09-21 2009-03-26 Tokyo Electron Limited Method and Apparatus for Spacer-Optimization (S-O)
JP2010041051A (ja) * 2008-08-06 2010-02-18 Tokyo Electron Ltd 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4509248A (en) * 1982-03-04 1985-04-09 Spire Corporation Encapsulation of solar cells
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
AU2002364719A1 (en) * 2001-12-31 2003-07-24 Tokyo Electron Limited Method of fault detection for material process system
US20050118812A1 (en) * 2001-12-31 2005-06-02 Tokyo Elecron Limited Method of detecting, identifying and correcting process performance
TWI264043B (en) * 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP5112624B2 (ja) * 2004-09-01 2013-01-09 ラム リサーチ コーポレーション プロセスチャンバ及びプラズマチャンバを操作する方法
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
JP5050830B2 (ja) 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US8078552B2 (en) * 2008-03-08 2011-12-13 Tokyo Electron Limited Autonomous adaptive system and method for improving semiconductor manufacturing quality
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7875859B2 (en) 2008-03-31 2011-01-25 Tokyo Electron Limited Ion energy analyzer and methods of manufacturing and operating
US8019458B2 (en) 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
SG176562A1 (en) * 2009-06-25 2012-01-30 Lam Res Ag Method for treating a semiconductor wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080311688A1 (en) * 2007-06-13 2008-12-18 Tokyo Electron Limited Method and Apparatus for Creating a Gate Optimization Evaluation Library
US20090081815A1 (en) * 2007-09-21 2009-03-26 Tokyo Electron Limited Method and Apparatus for Spacer-Optimization (S-O)
JP2010041051A (ja) * 2008-08-06 2010-02-18 Tokyo Electron Ltd 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022504561A (ja) * 2018-10-09 2022-01-13 アプライド マテリアルズ インコーポレイテッド 先進の半導体プロセス最適化および生産中の適応制御
JP7177923B2 (ja) 2018-10-09 2022-11-24 アプライド マテリアルズ インコーポレイテッド 先進の半導体プロセス最適化および生産中の適応制御
JP7177923B6 (ja) 2018-10-09 2022-12-16 アプライド マテリアルズ インコーポレイテッド 先進の半導体プロセス最適化および生産中の適応制御
JP7460627B2 (ja) 2018-12-13 2024-04-02 アプライド マテリアルズ インコーポレイテッド 高度に共線的なレスポンススペースにおける処方分析
WO2022026191A1 (en) * 2020-07-30 2022-02-03 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models

Also Published As

Publication number Publication date
KR20140130009A (ko) 2014-11-07
CN104040679A (zh) 2014-09-10
KR101960112B1 (ko) 2019-03-19
US8501499B2 (en) 2013-08-06
WO2012135396A2 (en) 2012-10-04
WO2012135396A3 (en) 2014-05-01
TWI459168B (zh) 2014-11-01
TW201245918A (en) 2012-11-16
CN104040679B (zh) 2016-12-07
US20120252141A1 (en) 2012-10-04

Similar Documents

Publication Publication Date Title
JP2014513415A (ja) 適合性レシピ選択
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
KR101530098B1 (ko) 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
JP5577532B2 (ja) Dc/rfハイブリッド処理システム
JP5968225B2 (ja) 切り換え可能な中性ビーム源
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
US20080081482A1 (en) Selective-redeposition structures for calibrating a plasma process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161003

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170425