KR101960112B1 - 적응적 레시피 선택기 - Google Patents

적응적 레시피 선택기 Download PDF

Info

Publication number
KR101960112B1
KR101960112B1 KR1020137028475A KR20137028475A KR101960112B1 KR 101960112 B1 KR101960112 B1 KR 101960112B1 KR 1020137028475 A KR1020137028475 A KR 1020137028475A KR 20137028475 A KR20137028475 A KR 20137028475A KR 101960112 B1 KR101960112 B1 KR 101960112B1
Authority
KR
South Korea
Prior art keywords
iec
etch
data
ieo
sequence
Prior art date
Application number
KR1020137028475A
Other languages
English (en)
Other versions
KR20140130009A (ko
Inventor
라드하 선다라라잔
메리트 펀크
리 첸
바튼 레인
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140130009A publication Critical patent/KR20140130009A/ko
Application granted granted Critical
Publication of KR101960112B1 publication Critical patent/KR101960112B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Computing Systems (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Physics (AREA)
  • Pure & Applied Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은, 하나 이상의 측정 절차, 하나 이상의 IEC 에칭 시퀀스 및 하나 이상의 IEO(Ion Energy Optimized) 에칭 절차를 포함할 수 있는 IE(Ion Energy) 관련 다층 공정 시퀀스와 IEC-MIMO(Ion Energy Controlled Multi-Inout/Multi-Output) 모델 및 라이브러리를 이용하여 웨이퍼를 처리하는 방법을 제공한다. IEC-MIMO 공정 제어는 다층 및/또는 다중 IEC 에칭 시퀀스 사이의 동적으로 상호 작용하는 거동 모델링 이용한다. 다층 및/또는 다중 IEC 에칭 시퀀스는 IEO 에칭 절차를 이용하여 형성될 수 있는 라인, 트렌치, 비아, 스페이서, 콘택 및 게이터 구조의 형성과 관련될 수 있다.

Description

적응적 레시피 선택기{ADAPTIVE RECIPE SELECTOR}
[관련 출원에 대한 교차 참조]
본 출원은, 2010년 2월 11일 제2010/0036514호로 간행된 발명의 명칭이 "Creating Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models for Metal-Gate Structure"이고 공동 계류 중인 미국 특허 출원 제12/186,668호; 2009년 10월 1일 제2009/0242513호로 간행된 발명의 명칭이 "Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models and Method for Using"이고 공동 계류 중인 미국 특허 출원 제12/059,624호; 및 본 출원과 동일자로 출원되고 발명의 명칭이 "Ion Energy Analyzer and Methods of Manufacturing and Operating"이고 공동 계류 중인 미국 특허 가출원 제61/468,187호(대리인 도켓 번호 TEA-051PROV)에 관련된다. 이 출원들의 각각의 내용은 전문이 본 명세서에 참조로서 편입된다. 또한, 본 출원은 2010년 8월 17일 발행되고 발명의 명칭이 "Two-Grid Ion Energy Analyzer and Methods of Manufacturing and Operating"인 Chen 등의 미국 특허 제7,777,179호에 관련되고, 이 특허는 본 명세서에 전문이 참조로서 편입된다. 또한, 본 출원은 2011년 1월 25일 발행되고 발명의 명칭이 "Ion Energy Analyzer and Methods of Manufacturing and Operating"인 Chen 등의 미국 특허 제7,875,859호에 관련되고, 이 특허는 본 명세서에 전문이 참조로서 편입된다. 더하여, 본 출원은 2011년 1월 25일 발행되고 발명의 명칭이 "Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models for Metal-Gate Structure"인 Funk 등의 미국 특허 제7,894,927호에 관련되고, 이 특허는 본 명세서에 전문이 참조로서 편입된다.
[기술분야]
본 출원은 웨이퍼 처리에 관한 것으로, 더욱 상세하게는 IEC(Ion Energy Controlled) 에칭 시퀀스 및 관련된 ICE-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델을 이용하여 실시간으로 웨이퍼 상에서 금속-게이트 구조를 형성하는 장치 및 방법에 관한 것이다.
에칭 공정 거동은 본질적으로 스텝(층) 사이에서 또는 공정 스택이 만들어지는(에칭/cvd/임플란트) 것에 따라 비선형적이고 상호 작용한다. 도쿄 일렉트론 주식회사(Tokyo Electron Limited(TEL)) 챔버 및 기본 공정의 물리적 모델링에 기초한 공정 상호 작용과, 공정 개선과 튜닝으로부터의 측정 및 실험 데이터를 알면서, CD(Critical Dimension), SWA(Sidewall Angle), 깊이, 막 두께, 과 에칭(over etching), 언더컷(undercut), 표면 세정에 대한 제어 및 손상 제어는 다중 입력 다중 출력(Multi Input Multi Output)의 비선형 모델을 이용하여 회귀적으로 계산되어 최적화될 수 있다. 종래의 저비용 제품은 벌크 실리콘 기술을 사용한다. 트랜지스터가 계속 줄어드는 것에 따라, 채널 깊이의 영향은 중요하게 되고 있다(초박형의 소스/드레인 연장부). SOI(Silicon on Insulaor) 필름이 줄어드는 것에 따라 게이트 및/또는 스페이서 두께와 SIO 필름의 두께에서의 더 작은 변동이 트랜지스터의 성능에 영향을 미칠 수 있다. 에칭 절차가 제어되지 않을 때, 게이트 근처에서의 재료의 제거는 전기적 성능에 영향을 미친다. 종래의 고성능 마이크로프로세스는 PD SOI(partially depleted Silocon-on-Insulator - 0.2V의 임계 전압을 제공) 필름을 이용한다. PD SOI 필름은, 게이트 및/또는 스페이서 감소량이 전체 게이트 및/또는 스페이서 두께의 큰 비율(10%)일 수 있지만, 대략 50 nm이다. 미래 세대의 SOI 필름은 FD SOI이라 불린다(완전히 공핍되고 0.08V의 임계 전압과 ~25 nm의 두께를 제공). 종래의 이러한 필름들은 두께 제어 균일성에서의 한계와 결함 때문에 생산되지 않는다. 채널 이동성(channel mobility)은 SOI 두께가 감소함에 따라 열화된다. 필름이 더 얇아짐에 따라, 금속-게이트 구조는 더욱 중요하게 된다.
본 발명은 IEC(Ion Energy Controlled) 에칭 시퀀스 및 관련된 (IE) MIMO(Multi-Input/Multi-Output) 모델을 이용하여 실시간으로 패터닝된 웨이퍼 상에 게이트 구조와 타겟 특징을 형성하는 장치 및 방법을 제공할 수 있다. 본 발명의 다른 양태는 이어지는 발명을 실시하기 위한 구체적인 내용과 첨부된 도면으로부터 명백하게 될 것이다.
본 발명의 실시예들이, 단지 예로서, 대응하는 도면 부호가 대응하는 부분을 나타내는 다음의 첨부된 개략도를 참조하여 설명될 것이다:
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 도시한다;
도 2a 내지 2g는 본 발명의 실시예에 따른 IEC(Ion Energy Controlled) 에칭 서브 시스템의 예시적인 블록도를 도시한다;
도 3a 내지 3g는 본 발명의 실시예에 따른 다른 IEC(Ion Energy Controlled) 에칭 서브 시스템의 예시적인 블록도를 도시한다;
도 4는 본 발명의 실시예에 따른 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델 최적화 및 제어 방법에 대한 간략화된 블록도를 도시한다;
도 5a, 5aa, 5b 및 5bb는 본 발명의 실시예에 따라 금속 게이트 구조를 형성하는 제1 IE(Ion Energy) 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다;
도 6a, 6aa, 6b 및 6bb는 본 발명의 실시예에 따라 금속 게이트 구조를 형성하는 제2 IE(Ion Energy) 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다;
도 7은 본 발명의 실시예에 따른 2부분 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델에 대한 예시적인 블록도를 도시한다;
도 8은 본 발명의 실시예에 따라 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델을 개발하는 절차에 대한 예시적인 흐름도를 도시한다;
도 9는 본 발명의 실시예에 따라 IE 관련 공정 시퀀스를 이용하여 웨이퍼를 처리하는 방법에 대한 간략화된 흐름도이다;
도 10은 본 발명의 실시예에 따른 IE(Ion Energy) 센서 웨이퍼에 대한 예시적인 블록도를 도시한다;
도 11은 본 발명의 실시예에 따른 IE 센서 웨이퍼를 이용하는 방법을 도시한다; 그리고,
도 12는 본 발명의 실시예에 따른 제1 IED(Ion Energy Districution) 데이터 세트를 도시한다.
IEC(Ion Energy Controlled)-MIMO 모델은 애플리케이션 요구에 기초하여 유한 입도(finite granularity)의 층들로 세분화될 수 있다. 각 층은 물리적 재료부일 수 있으며, 층 간격은 재료의 변화 또는 치수적인 층 경계로 표시된다. 층들은, 마스킹층, 금속 게이트 스택층, 스페이서 부착층 및 에칭된 금속 게이트 스택층을 포함할 수 있다. 층들은 IEC(Ion Energy Controlled) 에칭 시퀀스 또는 IEO(Ion Energy Optimized) 에칭 절차로 매핑될 수 있으며, 시간 또는 종료 지점 검출(EPD(End Point Detection))이 단계들을 구분하는데 사용된다. 또한, 연속적인 실시간 컨트롤러는, 계측 데이터, 센서 및 에칭 모델의 조합으로부터 실시간 업테이트로 실행될 수 있다.
DOE는 각 전위 제어 노브(potential control knob)의 공정 이득과 각 층과 관련된 입력 및 출력의 상호 작용, 그리고 층에 대한 공정 제어 루프층의 상호 작용 및 이득을 모델링하도록 실행될 수 있다. 각 제어 노브와 출력 사이의 상호 작용을 결정하는 방법은, RGA(Relative Gain Arrary) 해석과 같이 모델 안정성을 평가하고 최적화하는데 사용될 수 있다. 또한, 이 정보는 상호 작용하지 않는 개별 피드백 루프의 설정을 구동할 수 있다.
IEC-MIMO 모델은 목표(또는 타겟 출력) 세트에 대한 최적 입력을 계산하는데 사용될 수 있다. 제한 사항(constraint)은, 시간, 가스 흐름 및 층별 온도와 같은 다양한 공정 파라미터일 수 있다. IEC-MIMO 모델 개발 동안, 가중치 세트가 주어진 시간에서의 현재 공정 계산에 대하여 최고 가치를 갖는 출력을 우선시키도록 최적화기(optimizer)를 가이드하는데 적용될 수 있다. 타겟 가중치는, 수식이 가중치 계산에 적용되는 데 사용될 수 있으며, 최적화기가 선형 또는 비선형 방식으로 타겟으로부터 멀리 벗어남에 따라 타겟 및 이득 상수가 효율적으로 페널티를 준다. 타겟은 중심 타겟(center target) 또는 한계 타겟(limit target)(주어진 값 이상 - 예를 들어, SWA를 이용하여)일 수 있다.
피드백은, 실제에 기초한 피드백 에러에 대한 계산이 예측 에러보다 작도록, 각각의 타겟 출력에 대하여 하나씩인 다중 루프의 형태를 취할 수 있다. IEC-MIMO 모델을 이용할 때, 각각의 예측된 출력 에러가 계산되어 실제 에러를 결정하기 위하여 피드백 측정에 매칭될 수 있다. EWMA(Exponentially Weighted Moving Average) 필터 또는 칼만 필터(Kalman filter)와 같은 피드백 필터링 방법이 노이즈를 필터링하는데 사용될 수 있다. IEC 에칭 시퀀스 또는 IEO 에칭 절차와 관련된 컨트롤러로부터의 출력은 GOF(goodness of fit)를 포함할 수 있으며, 이 GOF 값은 케스케이드 컨트롤러로의 입력으로서 사용될 수 있다.
MIMO 컨트롤러는 처리 단계들이 수행됨에 따라 상이한 시간에 업데이트를 계산할 수 있어, 컨트롤러가 이전 계산, 계산 에러, 도구(tool) 상태 또는 재료 상태에서의 변동 기초하여 새로운 업데이트를 형성하고, 가장 최근의 업데이트로 편입될 수 있다.
일부 IEC 에칭 시퀀스에서, 레지스트(resist) 파라미터가 측정될 때, 이는 피드 포워드에 대하여 사용될 수 있으며, 이전 웨이퍼 피드백 및 챔버 상태 정보에 기초하여 가중치가 부여될 수 있다. 한 롯트(lot)의 시작에서, IEC-MIMO 모델은 패터닝된 소프트 마스크층에 대한 최상의 알려진 값을 이용하도록 구성될 수 있고, 이는 이전 롯트의 분포의 중심에 대하여 가중치가 부여될 수 있다. 롯트 처리 동안, WCL(Width Control Layer) 또는 하드 마스크층에 대한 파라미터가 측정되고 EWMA와 같은 가중 방법을 이용하여 필더링되어 W2W(wafer-to-wafer) 변동을 제거할 수 있고, 현재의 피드 포워드 SWA 값을 업데이트하도록 피드백될 수 있다. SWA 패턴 분석 기능은 2가지 모드를 갖는 패턴으로 그루핑될 수 있어, 2개의 쓰레드가 데이터를 피드백 및/또는 피드 포워드 하도록 관리될 수 있다. 일례에서, SWA W2W 변동은 스캐너 스테이지에 의해 더욱 일반적으로 구동되어, 2개의 피드 포워드/피드백 쓰레드가 성능을 최적화하도록 관리될 수 있다. 제2 예에서, 리소그라피 도구로부터의 W2W CD 변동이 고온 플레이트에 의해 지배될 수 있어, 2, 3 또는 4개의 변동 패턴이 관찰될 수 있다. IM 측정이 리소그라피 공정 후에 이루어질 때, 웨이퍼에 걸친 패턴은 웨이퍼 처리 전에 확립될 수 있고, 웨이퍼 CD 및 SWA 패턴은 웨이퍼가 에처(etcher)로 보내지기 전에 확립될 수 있다. 2 이상의 처리 쓰레드가 사용될 때, 쓰레드 번호가 웨이퍼를 위한 배경(context) 항목으로서 추가될 수 있다. 또한, 스캐너 및/또는 트랙 셀 번호, 스캐너 모듈 번호, 고온 플레이트 번호가 사용 가능할 때, 이들은 웨이퍼를 그루핑하고 리소그라피 도구로부터 에칭 도구로의 피드 포워드 쓰레드를 확립하는데 사용될 수도 있다. 이 대신에, 코팅기/현상기의 다른 조합도 사용될 수 있다.
웨이퍼가 배경 그룹에 기초하여 소팅될 때, 웨이퍼는 자신의 그룹 또는 IEC 에칭 시퀀스에 기초하여 처리될 수 있다. 에칭 도구에서의 에칭 순서가 리소그라피 도구에서의 처리 순서와 동일할 때, 현재 피드백(FB) 컨트롤러는 리소그라피 도구 내부의 인커밍(incoming) 드리프트와 에칭 도구 내부의 드리프트에 대하여 W2W를 조정하도록 프로그래밍될 수 있다.
본 발명은 상당히 많은 수의 반도체 장치와 훨씬 더 많은 수의 트랜지스터 게이트 및/또는 스페이서 구조를 갖는 웨이퍼를 처리하는 장치 및 방법을 제공한다. 다양한 실시예에서, 하나 이상의 IEC 금속 게이트 에칭 시퀀스, 하나 이상의 IEC 타겟층 에칭 시퀀스, 하나 이상의 측정 절차, 하나 이상의 세정 절차, 및/또는 하나 이상의 검증 절차를 포함할 수 있는 IE 관련 공정 시퀀스를 수행하기 위하여, IEC-MIMO 평가 라이브러리를 형성하고 그리고/또는 이용하는 장치 및 방법이 제공된다.
하나 이상의 주기성 구조가 웨이퍼 상의 다양한 위치에 제공될 수 있고, IEC-MIMO 모델 및 관련된 IE 관련 공정 시퀀스를 평가하고 그리고/또는 검증하는데 사용될 수 있다. 웨이퍼는 이와 관련된 웨이퍼를 가질 수 있고, 웨이퍼 데이터는 실시간 및 이력(historical) IE 관련 데이터를 포함할 수 있다. 또한, 웨이퍼는 이와 관련된 다른 데이터를 가질 수 있고, 다른 데이터는 게이트 구조 데이터, 필요한 사이트의 수, 방문된 사이트의 수, 하나 이상의 사이트에 대한 신뢰성 데이터 및/또는 위험 데이터, 사이트 순위(ranling) 데이터, 이송 시퀀스 데이터, 공정 관련 데이터 또는 평가/검증 관련 데이터나, 이들의 임의의 조합을 포함할 수 있다. IE 관련 공정 시퀀스와 관련된 데이터는 웨이퍼를 언제 그리고 어디로 이송할지를 설정하는데 사용될 수 있는 이송 시퀀스를 포함할 수 있고, IE 관련 시퀀스는 운영 상태 데이터를 이용하여 변경될 수 있다.
IEC-MIMO 모델은 애플리케이션 요구에 기초하여 유한 입도의 층들로 세분화될 수 있다. 각 층은 물리적 재료일 수 있으며, 층 간격은 재료의 변화 또는 치수적인 층 경계로 표시된다. 층들은, 마스킹층, 금속 게이트 스택층, 스페이서 부착층 및 에칭된 금속 게이트 스택층을 포함할 수 있다.
층들은 에칭 단계들에 매핑될 수 있으며, 시간 또는 종료 지점 검출(EPD(End Point Detection))는 단계들을 구분하는데 사용된다. 또한, 연속적인 실시간 컨트롤러는, 계측 데이터, 센서 및 에칭 모델의 조합으로부터 실시간 업테이트로 실행될 수 있다.
단일 루프 제어의 다변수 제어에 대한 비교에 기초하여, 분석 장치가 공정 제어 다변수 애플리케이션에서 사용되고; 입력-출력 변수 쌍 사이의 단일 루프 거동의 측정값의, 다변수 제어의 일부 이상화(idealization) 하에서의 동일한 입력-출력 쌍의 거동의 관련된 측정값에 대한 비의 어레이(모든 가능한 입력-출력 쌍에 대하여)로서 표현된다.
MIMO 모델링이 목표(또는 타겟 출력) 세트에 대한 최적 입력을 계산하는데 사용될 수 있다. 제한 사항은, 시간, 가스 흐름 및 층별 온도와 같은 다양한 공정 파라미터일 수 있다. MIMO를 이용하여, 가중치 세트가 주어진 시간에서의 현재 공정 계산에 대하여 최고 가치를 갖는 출력을 우선시키도록 최적화기를 가이드하는데 적용될 수 있다. 타겟 가중치는, 수식이 가중치 계산에 적용되는 데 사용될 수 있으며, 최적화기가 선형 또는 비선형 방식으로 타겟으로부터 멀리 이동함에 따라 타겟 및 이득 상수는 효율적으로 페널티를 준다. 타겟은 중심 타겟 또는 한계 타겟(주어진 값 이상 - 예를 들어, SWA를 이용하여)일 수 있다.
피드백은 각각의 타겟 출력에 대하여 하나씩인 다중 루프의 형태를 취할 수 있으며, 실제에 기초한 피드백 에러에 대한 계산이 예측 에러보다 작다. MIMO를 이용하여, 각각의 예측된 출력 에러가 계산되어 실제 에러를 결정하기 위하여 피드백 측정에 매칭될 필요가 있다. EWMA 필터 또는 칼만 필터와 같은 피드백 필터링 방법이 노이즈를 필터링하는데 사용될 수 있다. 컨트롤러의 출력은 GOF(goodness of fit)를 포함할 수 있으며, 이 GOF 값은 케스케이드 층 컨트롤러로의 입력으로서 사용될 수 있다.
웨이퍼는 하나 이상의 상부 에지 영역, 하나 이상의 중심 영역 및 하나 이상의 하부 에지 영역으로 분할될 수 있다.
층 컨트롤러는 처리 단계들이 수행됨에 따라 상이한 시간에 업데이트를 포함할 수 있어, 컨트롤러가 이전 계산, 계산 에러, 도구 상태 또는 재료 상태에서의 변동 기초하여 새로운 업데이트를 형성하고, 업데이트로 편입될 수 있다.
특징부 크기가 65 nm 노드 이하로 감소함에 따라, 정확한 처리 및/또는 측정 데이터가 더욱 중요하고 획득하기 더욱 어렵게 된다. IEC-MIMO 모델 및 관련된 IE 관련 공정 시퀀스는 이러한 극소형 장치 및 특징부를 더욱 정확하게 처리 및/또는 측정하는데 사용될 수 있다. IEO 에칭 절차로부터의 데이터는 경고 및/또는 제어 한계와 비교될 수 있고, 실행 규칙이 위반될 때, 처리 문제점을 나타내는 경고가 생성될 수 있고, 보정 절차가 실시간으로 수행될 수 있다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 도시한다. 도시된 실시예에서, 처리 시스템(100)은 리소그라피 시스템(110), 스캐너 서브 시스템(120), 에칭 서브 시스템(130), 증착 서브 시스템(130), 검사 서브 시스템(150), 계측 서브 시스템(160), 이송 서브 시스템(170), 제조 실행 시스템(MES(manufacturing execution system))(180), 시스템 컨트롤러(190) 및 메모리/데이터베이스(195)를 포함한다. 단일의 서브 시스템(110, 120, 130, 140, 150, 160, 170)이 예시된 실시예에서 도시되지만, 이는 본 발명에 요구되지 않는다. 일부 실시예에서 다중의 서브 시스템(110, 120, 130, 140, 150, 160, 170)이 처리 시스템(100)에서 사용될 수 있다. 또한, 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160 및 170)은 IEC-MIMO 모델 및 관련된 IE 관련 공정 시퀀스에서 사용될 수 있는 하나 이상의 처리 요소를 포함할 수 있다.
시스템 컨트롤러(190)는 데이터 이송 서브 시스템(191)을 이용하여 리소그라피 서브 시스템(110), 스캐너 서브 시스템(120), 에칭 서브 시스템(130), 증착 서브 시스템(140), 검사 서브 시스템(150), 계측 서브 시스템(160) 및 이송 서브 시스템(170)에 결합될 수 있다. 시스템 컨트롤러(190)는 데이터 이송 서브 시스템(181)을 이용하여 MES(180)에 결합될 수 있다. 이 대신에, 다른 구성이 사용될 수 있다. 예를 들어, 에칭 서브 시스템(130), 증착 서브 시스템(140), 계측 서브 시스템(150) 및 이송 서브 시스템의 일부는 도쿄 일렉트론 주식회사로부터 입수 가능한 Tactras™의 일부일 수 있다.
리소그라피 서브 시스템(110)은 하나 이상의 이송/저장 요소(112), 하나 이상의 처리 요소(113), 하나 이상의 컨트롤러(114) 및 하나 이상의 평가 요소(115)를 포함할 수 있다. 하나 이상의 이송/저장 요소(112)는 하나 이상의 처리 요소(113) 및/또는 하나 이상의 평가 요소(115)에 결합될 수 있고, 이송 서브 시스템(170)에 결합될 수 있다(111). 이송 서브 시스템(170)은 리소그라피 서브 시스템(110)에 결합될 수 있고(111), 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 리소그라피 서브 시스템(110) 사이에서 실시간으로 이송될 수 있다(111). 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(112), 하나 이상의 처리 요소(113) 및/또는 하나 이상의 평가 요소(115)에 결합될 수 있다. 하나 이상의 컨트롤러(114)는 하나 이상의 이송/저장 요소(112), 하나 이상의 처리 요소(113) 및/또는 하나 이상의 평가 요소(115)에 결합될 수 있다.
일부 실시예에서, 리소그라피 서브 시스템(110)은 절차들 이용하는 하나 이상의 웨이퍼 상에서 코팅 절차, 열 절차, 측정 절차, 검사 절차, 정렬 절차 및/또는 저장 절차를 수행할 수 있다. 예를 들어, 하나 이상의 리소그라피 관련 공정은 포토레지스트 재료 및/또는 반사 방지 코팅(ARC(anti-reflective coating)) 재료를 포함할 수 있는 하나 이상의 마스킹층을 부착하는데 사용될 수 있고, 하나 이상의 마스킹층을 열처리(베이크)하는데 사용될 수 있다. 또한, 리소그라피 서브 시스템(110)은, 하나 이상의 웨이퍼 상에 하나 이상의 패터닝된 마스킹층을 형성하고, 측정하고 그리고/또는 검사하는데 사용될 수 있다.
스캐너 서브 시스템(120)은 하나 이상의 이송/저장 요소(122), 하나 이상의 처리 요소(123), 하나 이상의 컨트롤러(124) 및 하나 이상의 평가 요소(125)를 포함할 수 있다. 하나 이상의 이송/저장 요소(122)는 하나 이상의 처리 요소(123) 및/또는 하나 이상의 평가 요소(125)에 결합될 수 있으며, 링크(121)를 이용하여 이송 서브 시스템(170)에 결합될 수 있다. 이송 서브 시스템(170)은 링크(121)를 이용하여 스캐너 서브 시스템(120)에 결합될 수 있고, 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 스캐너 서브 시스템(120) 사이에 실시간으로 이송될 수 있다. 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(122), 하나 이상의 처리 요소(123) 및/또는 하나 이상의 평가 요소(125)에 결합될 수 있다. 하나 이상의 컨트롤러(124)는 하나 이상의 이송/저장 요소(122), 하나 이상의 처리 요소(123) 그리고/또는 하나 이상의 평가 요소(125)에 결합될 수 있다.
일부 실시예에서, 스캐너 서브 시스템(120)는 습식 및/또는 건식 노출 절차를 수행하는데 사용될 수 있고, 다른 경우에, 스캐너 서브 시스템(120)은 EUV(extreme untraviolet) 노출 절차를 수행하는데 사용될 수 있다.
에칭 서브 시스템(130)은 하나 이상의 이송/저장 요소(132), 하나 이상의 처리 요소(133), 하나 이상의 컨트롤러(134) 및 하나 이상의 평가 요소(135)를 포함할 수 있다. 하나 이상의 이송/저장 요소(132)는 하나 이상의 처리 요소(133) 및/또는 하나 이상의 평가 요소(135)에 결합될 수 있으며, 링크(131)를 이용하여 이송 서브 시스템(170)에 결합될 수 있다. 이송 서브 시스템(170)은 링크(131)를 이용하여 에칭 서브 시스템(130)에 결합될 수 있고, 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 에칭 서브 시스템(130) 사이에 실시간으로 이송될 수 있다. 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(132), 하나 이상의 처리 요소(133) 및/또는 하나 이상의 평가 요소(135)에 결합될 수 있다. 하나 이상의 컨트롤러(134)는 하나 이상의 이송/저장 요소(132), 하나 이상의 처리 요소(133) 그리고/또는 하나 이상의 평가 요소(135)에 결합될 수 있다. 예를 들어, 하나 이상의 처리 요소(133)는 플라즈마 또는 비플라즈마 에칭, 애싱(ashing), 트리밍 및 세정 절차를 수행하는데 사용될 수 있다. 평가 절차 및/또는 검사 절차는 웨이퍼의 하나 이상의 표면 및/또는 층을 측정하고 그리고/또는 검사하는데 사용될 수 있다. 에칭 서브 시스템(130)은 도 2a 내지 2g와 도 3a 내지 3g에서 설명되는 바와 같이 구성될 수 있다.
증착 서브 시스템(140)은 하나 이상의 이송/저장 요소(142), 하나 이상의 처리 요소(143), 하나 이상의 컨트롤러(144) 및 하나 이상의 평가 요소(145)를 포함할 수 있다. 하나 이상의 이송/저장 요소(142)는 하나 이상의 처리 요소(143) 및/또는 하나 이상의 평가 요소(145)에 결합될 수 있으며, 링크(141)를 이용하여 이송 서브 시스템(170)에 결합될 수 있다. 이송 서브 시스템(170)은 링크(141)를 이용하여 증착 서브 시스템(140)에 결합될 수 있고, 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 증착 서브 시스템(140) 사이에 실시간으로 이송될 수 있다. 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(142), 하나 이상의 처리 요소(143) 및/또는 하나 이상의 평가 요소(145)에 결합될 수 있다. 하나 이상의 컨트롤러(144)는 하나 이상의 이송/저장 요소(142), 하나 이상의 처리 요소(143) 및/또는 하나 이상의 평가 요소(145)에 결합될 수 있다. 예를 들어, 하나 이상의 처리 요소(143)는 물리적 기상 증착(PVD) 절차, 화학적 기상 증착(CVD) 절차, 이온화 물리적 기상 증착(iPVD) 절차, 원자층 증착(ALD) 절차, 플라즈마 강화 원자층 증착(PEALD) 절차 및/또는 플라즈마 강화 화학적 기상 증착(PECVD) 절차를 수행하는데 사용될 수 있다. 평가 절차 및/또는 검사 절차는 웨이퍼의 하나 이상의 표면을 측정하고 그리고/또는 검사하는데 사용될 수 있다.
검사 서브 시스템(150)은 하나 이상의 이송/저장 요소(152), 하나 이상의 처리 요소(153), 하나 이상의 컨트롤러(154) 및 하나 이상의 평가 요소(155)를 포함할 수 있다. 하나 이상의 이송/저장 요소(152)는 하나 이상의 처리 요소(153) 및/또는 하나 이상의 평가 요소(155)에 결합될 수 있으며, 링크(151)를 이용하여 이송 서브 시스템(170)에 결합될 수 있다. 이송 서브 시스템(170)은 링크(151)를 이용하여 검사 서브 시스템(150)에 결합될 수 있고, 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 검사 서브 시스템(150) 사이에 실시간으로 이송될 수 있다(151). 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(152), 하나 이상의 처리 요소(153) 및/또는 하나 이상의 평가 요소(155)에 결합될 수 있다. 하나 이상의 컨트롤러(154)는 하나 이상의 이송/저장 요소(152), 하나 이상의 처리 요소(153) 그리고/또는 하나 이상의 평가 요소(155)에 결합될 수 있다.
계측 서브 시스템(160)은 하나 이상의 이송/저장 요소(162), 하나 이상의 처리 요소(163), 하나 이상의 컨트롤러(164) 및 하나 이상의 평가 요소(165)를 포함할 수 있다. 하나 이상의 이송/저장 요소(162)는 하나 이상의 처리 요소(163) 및/또는 하나 이상의 평가 요소(165)에 결합될 수 있으며, 링크(161)를 이용하여 이송 서브 시스템(170)에 결합될 수 있다. 이송 서브 시스템(170)은 링크(161)를 이용하여 계측 서브 시스템(160)에 결합될 수 있고, 하나 이상의 웨이퍼(105)는 이송 서브 시스템(170)과 계측 서브 시스템(160) 사이에 실시간으로 이송될 수 있다(161). 예를 들어, 이송 서브 시스템(170)은 하나 이상의 이송/저장 요소(162), 하나 이상의 처리 요소(163) 및/또는 하나 이상의 평가 요소(165)에 결합될 수 있다. 하나 이상의 컨트롤러(164)는 하나 이상의 이송/저장 요소(162), 하나 이상의 처리 요소(163) 그리고/또는 하나 이상의 평가 요소(165)에 결합될 수 있다. 계측 서브 시스템(160)은 라이브러리 기반 또는 회귀(regression) 기반 기술을 이용하여 웨이퍼 상의 하나 이상의 사이트에서 타겟 구조를 측정하는데 사용될 수 있는 실시간 광학 계측 절차를 수행하는데 이용될 수 있는 하나 이상의 처리 요소(163)를 포함할 수 있다. 예를 들어, 웨이퍼 상의 사이트는 MIMO 사이트, 타겟 사이트, 오버레이 사이트, 정렬 사이트, 측정 사이트, 검증 사이트, 검사 사이트 또는 손상 평가 사이트나, 이들의 임의의 조합을 포함할 수 있다. 예를 들어, 하나 이상의 "골든 웨이퍼(golden wafer) 또는 레퍼런스 칩이 저장되어 하나 이상의 처리 요소(163) 및/또는 하나 이상의 평가 요소(165)의 성능을 검증하기 위하여 주기적으로 사용될 수 있다.
일부 실시예에서, 계측 서브 시스템(160)은 iODP(integrated Optical Digital Profilometry) 요소(미도시)를 포함할 수 있고, iODP 요소/서브 시스템은 Timbre Technologies Inc.(TEL company)로부터 입수 가능하다. 이 대신에, 다른 계측 시스템이 사용될 수 있다. 예를 들어, iODP 기술은 임계 치수(CD(critical dimension)) 데이터, 게이트 구조 데이터 및 두께 데이터를 포함할 수 있는 실시간 데이터를 획득하는데 사용될 수 있고, iODP 데이터에 대한 파장 범위는 대략 200 nm 보다 작은 것으로부터 대략 900 nm 보다 큰 것까지의 범위를 가질 수 있다. 예시적인 iODP 요소는 ODP 프로파일러 라이브러리 요소, PAS(Profiler Application Server) 요소 및 ODP 프로파일러 소프트웨어 요소를 포함할 수 있다. ODP 프로파일러 라이브러리 요소는 광학 스펙트럼의 애플리케이션 전용 데이터베이스 요소와 이의 대응하는 반도체 프로파일, CD 및 막 두께를 포함할 수 있다. PAS 요소는 광학 하드웨어 및 컴퓨터 네트워크와 연결되는 적어도 하나의 컴퓨터를 포함할 수 있다. PAS 요소는 데이터 통신, ODP 라이브러리 동작, 측정 공정, 결과 생성, 결과 분석 및 결과 출력을 제공하도록 구성될 수 있다. ODP 프로파일러 소프트웨어 요소는 측정 레시피, ODP 프로파일러 라이브러리 요소, ODP 프로파일러 데이터, ODP 프로파일러 검색/매칭 결과, ODP 프로파일러 계산/분석 결과, 데이터 통신 및 다양한 계측 요소와 컴퓨터 네트워크에 대한 PAS 인터페이스를 포함할 수 있다.
계측 서브 시스템(160)은, 정확한 장치 프로파일, 정확한 CD 및 웨이퍼의 다층막 두께를 측정하기 위하여, 분극 반사 측정(polarizing reflectometry), 분광 타원 분석(polarizing reflectometry), 반사 측정(reflectometry) 또는 다른 광학 측정 기술을 이용할 수 있다. 통합 계측 공정(iODP)이 통합 서브 시스템 그룹에서 통합 공정으로서 실행될 수 있다. 또한, 통합 공정은 외부 시스템으로부터의 데이터에 대하여 장기간 대기하거나 분석을 수행하기 위하여 웨이퍼를 파손시키는 필요성을 제거한다. iODP 기술은 인라인 프로파일과 CD 측정을 위하여 종래의 박막 계측 시스템과 함께 사용될 수 있고, TEL 처리 시스템 및/또는 리소그라피 시스템에 통합되어 실시간 공정 모니터링 및 제어를 제공할 수 있다. 시뮬레이션된 계측 데이터는 맥스웰 방정식을 적용하고 맥스웰 방정식을 풀이하기 위하여 수치 해석 기술을 이용함으로써 생성될 수 있다. 이 대신에, 훈련된 기계 학습 시스템이 시뮬레이션된 계측 데이터를 생성하는데 사용될 수 있다.
이송 시스템(170)은 웨이퍼를 공급받고, 웨이퍼를 이송하고, 웨이퍼를 정렬하고, 웨이퍼를 저장하고 그리고/또는 웨이퍼를 지연시키는데 사용될 수 있는 이송 트랙(175, 176, 177)에 연결된 이송 요소(174)를 포함할 수 있다. 예를 들어, 이송 요소(174)는 2 이상의 웨이퍼를 지지할 수 있다. 이 대신에, 다른 이송 수단이 사용될 수 있다. 이송 서브 시스템(170)은 IEC-MIMO 모델, IE 관련 공정 시퀀스, 이송 시퀀스, 동작 상태, 웨이퍼 및/또는 처리 상태, 처리 시간, 현재 시간, 웨이퍼 데이터, 웨이퍼 상의 사이트 개수, 웨이퍼 상의 사이트 종류, 필요한 사이트 개수, 완료된 사이트 개수, 남아있는 사이트 개수 또는 신뢰성 데이터나, 또는 이들의 임의의 조합에 기초하여 웨이퍼를 로딩하고, 이송하고, 저장하고 그리고/또는 언로딩할 수 있다.
일부 실시예에서, 이송 서브 시스템(170)은 웨이퍼를 어디로 그리고 언제 이송할지를 결정하기 위하여 로딩 데이터를 사용할 수 있다. 다른 예에서, 이송 시스템은 웨이퍼를 어디로 그리고 언제 이송할지를 결정하기 위하여 MIMO 모델링 데이터를 사용할 수 있다. 이 대신에, 다른 절차가 사용될 수 있다. 예를 들어, 웨이퍼의 제1 개수가 사용 가능한 처리 요소의 제1 개수 이하일 때, 제1 개수의 웨이퍼는 이송 시스템(170)을 이용하여 하나 이상의 서브 시스템에서 제1 개수의 사용 가능한 처리 요소로 이송될 수 있다. 웨이퍼의 제1 개수는 사용 가능한 처리 요소의 제1 개수보다 더 클 때, 웨이퍼의 일부는 하나 이상의 이송/저장 요소(112, 122, 132, 142, 152, 162) 및/또는 이송 서브 시스템(170)을 이용하여 저장되고 그리고/또는 지연될 수 있다.
또한, 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)은, 리소그라피 관련 절차, 스캐너 관련 절차, 검사 관련 절차, 측정 관련 절차, 평가 관련 절차, 에칭 관련 절차, 부착 관련 절차, 열처리 절차, 코팅 관련 절차, 정렬 관련 절차, 연마 관련 절차, 저장 관련 절차, 이송 절차, 세정 관련 절차, 재작업 관련 절차, 산화 관련 절차, 질화 관련 절차 또는 외부 처리 요소나, 이들의 임의의 조합을 수행할 때 사용될 수 있다.
동작 상태 데이터는 서브 시스템(110, 120, 130, 140, 150, 160, 170)에 대하여 확립될 수 있으며, IE 관련 시퀀스에 의해 사용되고 그리고/또는 업데이트될 수 있다. 또한, 동작 상태 데이터는, 이송/저장 요소(112, 122, 132, 142, 152, 162), 처리 요소(113, 123, 133, 143, 153, 163) 및 평가 요소(115, 125, 135, 145, 155, 165)에 대하여 설정될 수 있으며, IE 관련 시퀀스에 의해 업데이트될 수 있다. 예를 들어, 처리 요소를 위한 동작 상태 데이터는 가용 데이터, 처리 요소를 위한 매칭 데이터, 일부 공정 단계 및/또는 사이트를 위한 예측 처리 시간, 수율 데이터, 처리 요소에 대한 신뢰성 데이터 및/또는 위험 데이터 또는 하나 이상의 IE 관련 시퀀스에 대한 신뢰성 데이터 및/또는 위험 데이터를 포함할 수 있다. 업데이트된 동작 상태는 하나 이상의 처리 요소 및/또는 하나 이상의 서브 시스템에 실시간으로 질의함으로써 획득될 수 있다. 업데이트된 로딩 데이터는 하나 이상의 이송 요소 및/또는 하나 이상의 이송 서브 시스템에 실시간으로 질의함으로써 획득될 수 있다.
하나 이상의 컨트롤러(114, 124, 134, 144, 154, 164)는 데이터 이송 시스템(191)을 이용하여 시스템 컨트롤러(190)에 그리고/또는 서로 결합될 수 있다. 이 대신에 다른 결합 구성이 사용될 수 있다. 컨트롤러는 직렬 및/또는 병렬로 결합될 수 있고, 하나 이상의 입력 포트 및/또는 하나 이상의 출력 포트를 가질 수 있다. 예를 들어, 컨트롤러는 하나 이상의 코어 처리 요소를 갖는 마이크로프로세서를 포함할 수 있다.
또한, 서브 시스템(110, 120, 130, 140, 150, 160, 170)은 인트라넷, 인터넷, 무선 및/또는 유선 연결을 이용하여 서로 또는 다른 장치에 결합될 수 있다. 필요하다면, 컨트롤러(114, 124, 134, 144, 190)는 외부 장치에 결합될 수 있다.
하나 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 190)가 실시간 IE 관련 시퀀스를 수행할 때 사용될 수 있다. 컨트롤러는 서브 시스템, 처리 요소, 공정, 레시피, 프로파일, 이미지, 패턴, 시뮬레이션, 시퀀스 데이터 및/또는 모델 데이터를 업데이트하기 위하여 IE(Ion Energy)-MIMO 모델로부터 실시간 데이터를 수신할 수 있다. 하나 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 190)는 하나 이상의 SECS(Semiconductor Equipment Communication Standard) 메시지를 MES(Manufacturing Execution System)(180) 또는 다른 시스템(미도시)과 교환하고, 정보를 판독 및/또는 제거하고, 정보를 피드 포워드 및/또는 피드백하고, 그리고/또는 정보를 SECS 메시지로서 전송하는데 사용될 수 있다. 하나 이상의 포맷팅된 메시지는 컨트롤러들 사이에 교환될 수 있으며, 컨트롤러는 메시지를 처리하여 새로운 데이터를 실시간으로 추출할 수 있다. 새로운 데이터가 사용 가능할 때, 새로운 데이터는 웨이퍼 및/또는 롯트에 대하여 현재 사용되고 있는 모델 및/또는 절차를 업데이트하는데 실시간으로 사용될 수 있다. 예를 들어, 현재 레이아웃은, 현재 레이아웃이 검사되기 전에 모델 및/또는 절차가 업데이트될 수 있을 때, 업데이트된 모델 및/또는 절차를 이용하여 검사될 수 있다. 현재 레이아웃은, 현재 레이아웃이 처리되기 전에 업데이트가 수행될 수 없을 때, 업데이트되지 않은 모델 및/또는 절차를 이용하여 검사될 수 있다. 또한, 포맷팅된 메시지가, 레지스트가 변경될 때, 레지스트 모델이 변경될 때, IE 관련 공정 시퀀스가 변경될 때, 디자인 규칙이 변경될 때, 또는 레이아웃이 변경될 때 사용될 수 있다.
일부 예에서, MES(180)는 일부 서브 시스템 및/또는 시스템 공정을 실시간으로 모니터링하도록 구성될 수 있고, 공장 레벨 조정 및/또는 판단 규칙이 어느 공정이 모니터링되고 어느 데이터가 사용될 수 있는지 결정하는데 사용될 수 있다. 예를 들어, 공장 수준 조정 및/또는 판단 규칙은 MIMO 관련 에러 상태가 발생할 때 데이터를 어떻게 관리하는지 결정하는데 사용될 수 있다. 또한, MES(180)는 모델링 데이터, 시퀀스 데이터, 처리 데이터 및/또는 웨이퍼 데이터를 제공할 수 있다.
또한, 필요한 경우, 컨트롤러(114, 124, 134, 144, 154, 164, 190)는 메모리(미도시)를 포함할 수 있다. 예를 들어, 메모리(미도시)는 정보 및 컨트롤러에 의해 실행될 명령어를 저장하는데 사용될 수 있고, 처리 시스템(100)에서 다양한 컴퓨터/프로세서에 의한 명령어의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위하여 사용될 수 있다. 하나 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 190) 또는 다른 시스템 컴포넌트는 컴퓨터 판독 가능한 매체로부터 데이터 및/또는 명령어를 판독하는 수단을 포함할 수 있으며, 컴퓨터 판독 가능한 매체로 데이터 및/또는 명령어를 기록하는 수단을 포함할 수 있다.
처리 시스템(100)은 메모리에 포함되고 그리고/또는 메시지에서 수신되는 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 처리 시스템(100)에서 컴퓨터/프로세서에 응답하여 본 발명의 처리 단계들의 일부 또는 전부를 수행할 수 있다. 이러한 명령어는 다른 컴퓨터, 컴퓨터 판독 가능한 매체 또는 네트워크 연결로부터 수신될 수 있다.
일부 실시예에서, 통합 시스템이 도쿄 일렉트론 주식회사(TEL)로부터의 시스템 컴포넌트를 이용하여 구성될 수 있고, 외부 서브 시스템 및/또는 도구가 포함될 수 있다. 예를 들어, CDSEM(CD-Scanning Electron Microscopy) 시스템, TEM(Transmission Electron Microscopy) 시스템, FIB(focused ion beam) 시스템, ODP(Optical Digial Profilometry) 시스템, AFM(Atomic Force Microscope) 시스템 또는 다른 광학 계측 시스템을 포함할 수 있는 측정 요소가 제공될 수 있다. 서브 시스템 및/또는 처리 요소는 상이한 인터페이스 요건을 가질 수 있고, 컨트롤러는 이러한 상이한 인터페이스 요건을 충족하도록 구성될 수 있다.
하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)은 제어 애플리케이션, GUI(Graphical User Interface) 애플리케이션 및/또는 데이터베이스 애플리케이션을 수행할 수 있다. 또한, 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170) 및 컨트롤러(114, 124, 134, 144, 154, 164, 190)는 DOE(Design of Experiment) 애플리케이션, APC(Advanced Process Control) 애플리케이션, FDC(Fault Detection and Classification) 애플리케이션 및/또는 R2R(Run-to-Run) 애플리케이션을 포함할 수 있다.
IE 관련 시퀀스 또는 모델링 절차로부터의 출력 데이터 및/또는 메시지는 공정 정밀도 및 정확도를 최적화하기 위하여 후속 시퀀스 및/또는 절차에 사용될 수 있다. 데이터는 실시간 변수 파라미터로서 IEC 에칭 시퀀스 또는 IEO 에칭 절차로 실시간으로 통과될 수 있어, 현재 모델 값을 무시하고, DOE 테이블을 감소시킨다. 실시간 데이터는 IEC 에칭 시퀀스 또는 IEO 에칭 절차를 최적화하기 위하여 라이브러리 기반 시스템 또는 회귀 기반 시스템이나, 이들의 임의의 조합을 이용하여 사용될 수 있다.
회귀 기반 라이브러리 형성 절차가 사용될 때, 측정된 IEC-MIMO 모델 데이터가 시뮬레이션된 IEC-MIMO 모델 데이터에 비교될 수 있다. 측정된 IEC-MIMO 모델 데이터에 비교하여 시뮬레이션된 IEC-MIMO 모델 데이터의 가장 가까운 매칭을 생성하는 IEO 공정 파라미터 세트에 대한 수렴값을 획득하기 위하여, IEO 공정 파라미터 세트에 기초하여, 시뮬레이션된 IEC-MIMO 모델 데이터가 반복적으로 생성될 수 있다. 라이브러리 기반 공정이 사용될 때, IEC-MIMO 모델 라이브러리가 IEC-MIMO 모델 절차, 레시피, 프로파일 및/또는 모델을 이용하여 생성되고 그리고/또는 강화될 수 있다. 예를 들어, IEC-MIMO 모델 라이브러리는 시뮬레이션되고 그리고/또는 측정된 IEC-MIMO 데이터와, 대응하는 IEC 에칭 시퀀스 데이터 세트를 포함할 수 있다. 회귀 기반 및/또는 라이브러리 기반 절차는 실시간으로 수행될 수 있다. IEC-MIMO 라이브러리에 대한 데이터를 생성하기 위한 대체적인 절차는 기계 학습 시스템(MLS(machine learing system))을 이용하는 것을 포함할 수 있다. 예를 들어, IEC-MIMO 라이브러리 데이터를 생성하기 전에, MLS는 알려진 입력 및 출력 데이터를 이용하여 훈련될 수 있고, MLS는 IEC-MIMO 라이브러리 데이터의 부분 집합으로 훈련될 수 있다.
IEC-MIMO 모델은 매칭 정황을 만날 때마다 실행될 수 있는 조정 및/또는 판단 규칙을 포함할 수 있다. 조정 및/또는 판단 규칙 및/또는 한계는 절차 이력, 고객의 경험 또는 공정 지식에 기초하여 구축될 수 있거나, 호스트 컴퓨터로부터 획득될 수 있다. 규칙은 경보 조건, 에러 조건, 폴트(fault) 조건 및/또는 경고 조건에 어떻게 응답할 지를 결정하기 위하여 FDC(Fault Detection and Classification) 절차에서 사용될 수 있다. 규칙 기반 FDC 절차는 폴트에 우선순위를 부여하고 그리고/또는 폴트를 분류하고, 시스템 성능을 예측하고, 예방 메인터넌스 스케쥴을 예측하고, 메인터넌스 정지 시간을 감소시키고, 시스템에서의 소모품의 서비스 수명을 연장시킬 수 있다. 다양한 동작이 경보/폴트에 응답하여 발생할 수 있으며, 경보/폴트에 취해진 동작은 정황 기반일 수 있으며, 정황 데이터는 규칙, 시스템/공정 레시피, 챔버 유형, 식별 번호, 부하 포트 번호, 카세트 번호, 롯트 번호, 제어 작업 ID, 처리 작업 ID, 슬롯 번호 및/또는 데이터 유형에 의해 특정될 수 있다.
실패한 IEO 에칭 절차 또는 IEC 에칭 시퀀스는 한계가 초과될 때 실패를 보고할 수 있고, 성공적인 IEO 에칭 절차 또는 IEC 에칭 시퀀스는 한계에 도달하고 있을 때 경고 메시지를 생성할 수 있다. 알려진 에러에 대한 사전 특정된 실패 동작은 데이터베이스에 저장될 수 있으며, 에러가 발생할 때, 데이터베이스로부터 검색될 수 있다. 예를 들어, IEO 에칭 절차 또는 IEC 에칭 시퀀스는 IE 데이터 수집 또는 확인 절차가 실패할 때 하나 이상의 공정 시간에서 IE 기반 데이터를 거부할 수 있다. 또한, IEO 에칭 절차 또는 IEC 에칭 시퀀스는 측정 절차가 실패할 때 웨이퍼에 대한 하나 이상의 사이트에서 데이터를 거부할 수 있다.
IEO 에칭 절차, IEC 에칭 시퀀스 및/또는 IEC-MIMO 모델은 상이한 시간 및/또는 사이트에서 분리되고 그리고/또는 내포된 구조를 형성하고, 수정하고 그리고/또는 평가하는데 사용될 수 있다. 예를 들어, 게이트 스택 치수 및 웨이퍼 두께 데이터는 분리되고 그리고/또는 내포된 구조 근처에서 상이할 수 있고, 게이트 스택 치수 및 웨이퍼 두께 데이터는 개방된 영역 및/또는 트렌치 어레이 영역에서 상이할 수 있다. IEC-MIMO 모델은 공정 레시피 및/또는 공정 시간을 업데이트하고 그리고/또는 최적화하기 위하여 분리되고 그리고/또는 내포된 구조에 대하여 최적화된 데이터를 생성할 수 있다.
IEO 에칭 절차, IEC 에칭 시퀀스 및/또는 IEC-MIMO 모델은 정확성을 개선하기 위하여 EPD(end-point detection) 데이터 및 공정 시간을 사용할 수 있다. 에칭 절차를 정지하기 위하여 EPD 데이터가 사용될 때, EPD 시간 데이터 및 공정률 데이터가 에칭량을 추정하고 그리고/또는 두께를 추정하기 위하여 사용될 수 있다.
다양한 예에서, IE 관련 데이터 한계는, IE 센서 웨이퍼를 이용하여 테스트 처리 챔버에서 IEO 에칭 절차를 수행함으로써 획득될 수 있고, 라이브러리에 저장된 이력 데이터일 수 있고, IEC 에칭 시퀀스를 수행함으로써 획득될 수 있고, MES(180)로부터 획득될 수 있고, 시뮬레이션 데이터일 수 있고, 예측 데이터일 수 있다. 또한, IE 관련 절차 한계는 "레퍼런스/골든" 처리 챔버에서 IE 관련 에칭 절차를 수행함으로써 획득될 수 있다.
도 2a 내지 2g는 본 발명의 실시예에 따른 IEC(Ion Energy Controlled) 에칭 서브 시스템의 예시적인 블록도를 도시한다.
예시적인 제1 IEC 에칭 서브 시스템(200A)이 도 2a에 도시되고, 예시된 IEC 에칭 서브 시스템(200A)은 IEC(Ion Energy Controlled) 공정 챔버(210), 처리될 웨이퍼(205)가 부착되는 웨이퍼 홀더(220), 가스 공급 시스템(240) 및 진공 펌핑 시스템(257)을 포함한다. 예를 들어, 웨이퍼 홀더(220)는 베이스(225)를 이용하여 IEC 공정 챔버(210)에 결합되거나 IEC 공정 챔버(210)로부터 분리될 수 있다. 웨이퍼(205)는, 예를 들어, 반도체 웨이퍼, 워크피스 또는 액정 디스플레이(LCD)일 수 있다. 예를 들어, IEC 공정 챔버(210)는 웨이퍼(205)의 표면에 인접한 처리 영역(249)에서 IEO(Ion Energy Optimized) 플라즈마의 생성을 용이하게 하도록 구성될 수 있고, IEO 플라즈마는 가열된 전자와 이온화가능한 가스 사이의 충돌을 통해 형성된다. 이온화가능한 가스 또는 가스 혼합물은 가스 공급 시스템(240)으로부터 유입될 수 있고, 공정 압력은 진공 펌핑 시스템(257)을 이용하여 조정된다. 바람직하게는, IEO 플라즈마는 사전 결정된 재료 공정에 특정된 재료를 생성하는데 그리고 웨이퍼(205)로의 재료의 부착이나 웨이퍼(205)의 노출된 표면으로부터의 재료의 제거를 돕는데 사용될 수 있다. 예를 들어, 컨트롤러(295)가 진공 펌핑 시스템(257) 및 가스 공급 시스템(240)을 제어하는데 사용될 수 있다.
웨이퍼(205)는, 예를 들어, 웨이퍼 홀더(220) 내에 수용된 웨이퍼 리프트 핀(미도시)에 의해 수신되는 로봇 이송 시스템을 경유하여 슬롯 밸브(미도시) 및 챔버 피드쓰루(feed-through)(미도시)를 통해 IEC 공정 챔버(210) 내로 또는 IEC 공정 챔버(210) 밖으로 이송되고, 그리고 그 내에 수용된 장치에 의해 기계적으로 평행이동될 수 있다. 웨이퍼(205)는 이송 시스템으로부터 공급된 후 웨이퍼 홀더(220)의 상부 표면까지 강하된다.
예를 들어, 웨이퍼(205)는 정전기 클램핑 시스템(미도시)을 통해 웨이퍼 홀더(220)에 부착될 수 있다. 웨이퍼 홀더(220)는 온도 제어 시스템(228)에 결합될 수 있는 온도 제어 요소(229)를 포함할 수 있다. 예를 들어, 온도 제어 요소(229)는 저항성 가열 요소 또는 열전(thermo-electric) 가열기/냉각기를 포함할 수 있다. 배면 가스(backside gas)는 웨이퍼(205)와 웨이퍼 홀더(220) 사이의 가스 공극 열 전도도를 개선하기 위하여 2중(중심/에지) 배면 가스 운반 시스템(226, 227)을 통해 웨이퍼의 배면에 운반될 수 있다. 2중(중심/에지) 배면 가스 운반 시스템(226, 227)은 상승되거나 감소된 온도로 웨이퍼의 추가 온도 제어가 요구될 때 활용될 수 있다. 예를 들어, 웨이퍼의 온도 제어는, 플라즈마로부터 웨이퍼(205)로 전달된 열 플럭스와 웨이퍼 홀더(220)로의 전도에 의해 웨이퍼(205)로부터 제거된 열 플럭스의 균형 때문에, 획득된 정상 상태 온도를 초과한 온도에서 유용할 수 있다.
도 2a에 도시된 바와 같이, 웨이퍼 홀더(220)는 처리 영역(249) 내의 플라즈마에 결합될 수 있는 RF(Radio Frequency) 전력이 통과하는 하부 전극(232)을 포함한다. 예를 들어, 하부 전극(232)은, 임피던스 매치 네트워크(impedence match network)(231)를 통해 RF 생성기(230)로부터 하부 전극(232)으로의 RF 전력의 전송을 통해 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 IEO 플라즈마를 형성하고 유지하기 위하여 전자를 가열하는 역할을 할 수 있다. RF 바이어스를 위한 전형적인 주파수는 1 MHz 내지 100 MHz의 범위에 있을 수 있고, 바람직하게는 13.56 MHz이다.
이 대신에, RF 전력은 다중 주파수로 하부 전극(232)에 인가될 수 있다. 또한, 임피던스 매치 네트워크(231)는 반사된 전력을 최소화함으로써 IEC 공정 챔버(210) 내의 IEO 플라즈마에 대한 RF 전력의 전달을 최소화하는 역할을 한다. 다양한 매치 네트워크 토폴러지와 자동 제어 방법이 활용될 수 있다.
도 2a를 계속 참조하면, 가스 공급 시스템(240)은 인터페이스 요소(241)를 이용하여 가스 플리넘(plenum)(242)에 결합될 수 있고, 가스 플리넘(242)은 가스 분배 요소(245a, 245b)에 결합될 수 있다. 가스 분배 요소(245a, 245b)는 처리 영역(249)의 하나 이상의 영역에 대하여 상이한 흐름(247a, 247b)의 공정 가스를 제공할 수 있다. 공정 가스는, 예를 들어, 아르곤, CF4(Tetrafluoromethane) 및 산소(O2), 또는 산화물 에칭 애플리케이션을 위한 아르곤(Ar), C4F8 및 O2와 같은 가스 혼합물이나, 예를 들어 O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H4, HBr(hydrogen bromide)와 같은 다른 화합물을 포함할 수 있다. 가스 분배 요소(245a, 245b)는 웨이퍼(205)에 대한 오염물의 유입을 감소시키거나 최소화하도록 구성될 수 있고, 다중 오리피스형(multi-orifice) 가스 주입 샤워헤드를 포함할 수 있다. 예를 들어, 공정 가스는 가스 공급 시스템(240)으로부터 공급될 수 있다. 가스 분배 요소(245a, 245b)는 처리 영역(249)의 상이한 영역에 상이한 유량(247a, 247b)을 제공할 수 있다. 또한, 가스 분배 요소(245a, 245b)는 처리 영역(249)의 상이한 영역에 상이한 공정 가스를 제공할 수 있다.
진공 펌핑 시스템(257)은 초당 5000 리터(및 그 이상)까지의 펌핑 속도를 가질 수 있는 TMP(Turbo-molecular vacuum pump)(258)와 챔버 압력을 제어하기 위한 게이트 밸브(259)를 포함할 수 있다. 건식 플라즈마 에칭 공정을 위해 활용되는 종래의 플라즈마 처리 장치에서, 초당 1000 내지 3000 리터의 TMP가 일반적으로 체용된다. TMP는 일반적으로 50 mTorr 미만의 저압 처리에 유용하다. 더 높은 압력에서, TMP 펌핑 속도는 극적으로 떨어진다. 더 높은 압력 처리를 위하여(즉, 100 mTorr 이상), 기계적 부스터 펌프와 건식 러핑 펌프(roughing pump)가 사용될 수 있다. 또한, 챔버 압력을 모니터하기 위한 장치(미도시)가 IEC 공정 챔버(210)에 결합될 수 있다. 압력 측정 장치는, 예를 들어, MKS 인스트루먼트 Inc.(메사추세스주 앤도버)로부터 상용으로 입수 가능한 Type 628B Baratron 절대 커패시턴스 마노미터일 수 있다.
도 2a에 도시된 바와 같이, IEC 에칭 서브 시스템(200A)은 공정 데이터를 획득하기 위하여 IEC 공정 챔버(210)에 결합된 하나 이상의 공정 센서(236)를 포함할 수 있고, 컨트롤러(295)가 공정 데이터를 수신하기 위하여 공정 센서(236)에 결합될 수 있다. 공정 센서(236)는 IEC 공정 챔버(210)에 내재하는 센서와 IEC 공정 챔버(210) 외부에 있는 센서 모두를 포함할 수 있다. 내재하는 센서는 헬륨 배면 가스 압력의 측정, 헬륨 배면 흐름, 정전기 클램핑(ESC(electrostatic clamping)) 전압, ESC 전류, 웨이퍼 홀더 온도(또는 하부 전극(LEL(lower electrode)) 온도), 냉각제 온도, 상부 전극(UEL(upper electrode)) 온도, 포워드 RF 전력, 반사된 RF 전력, RF 자기 유도 DC 바이어스, RF 피크간 전압, 챔버 벽 온도, 공정 가스 유량, 공정 가스 부분 압력, 챔버 압력, 매칭 네트워크 설정, 포커스 링 두께, RF 시간, 포커스 링 RF 시간 및 이의 임의의 통계와 같은 IEC 공정 챔버(210)의 기능에 관한 이러한 센서를 포함할 수 있다. 또한, 외부에 있는 센서는 도 2a에 도시된 바와 같이 처리 영역(249) 내의 플라즈마로부터 방출된 광을 모니터링하기 위한 하나 이상의 광학 장치를 포함할 수 있다. 광학 장치는 EPD(End Point Detector)로서 사용될 수 있고 EPD 데이터를 제공할 수 있는 광학 센서를 포함할 수 있다. 예를 들어, OES(Optical Emission Spectroscopy) 센서가 사용될 수 있다. 공정 센서(236)는 전류 및/또는 전압 프로브, 전력계 또는 스펙트럼 분석기를 포함할 수 있다. 예를 들어, 공정 센서(236)는 RF 임피던스 분석기를 포함할 수 있다.
일부 실시예에서, IEC 에칭 서브 시스템(200A)은 제1 IE 관련 성능 데이터를 획득하기 위하여 IEC 공정 챔버(210)에 결합된 하나 이상의 제1 IE(ion energy) 센서(234)를 포함할 수 있고, 컨트롤러(295)가 제1 IE 관련 성능 데이터를 수신하기 위하여 IE 센서(234)에 결합된다. 또한, IEC 에칭 서브 시스템(200A)은 제2 IE 관련 성능 데이터를 획득하기 위하여 웨이퍼 홀더(220)에 결합된 하나 이상의 제2 IE(ion energy) 센서(223)를 포함할 수 있고, IE 제어 유닛(222)은 IE 관련 성능 데이터를 처리하기 위하여 IE 센서(223)에 결합될 수 있다. 예를 들어, 전압 또는 전류의 시간 트레이스와 같은 IE 신호의 측정은 이산 푸리에 급수 표현을 이용하여(주기성 신호로 가정함) 주파수 도메인으로의 IE 신호의 변환을 허용한다. 그 후, 푸리에 스펙트럼(또는 시변 신호에 대하여 주파수 스펙트럼)은 IEO 플라즈마의 상태를 특징화하기 위하여 모니터링되고 분석될 수 있다.
컨트롤러(295)는 마이크로프로세서, 메모리 및 에칭 서브 시스템(200)으로부터의 출력을 모니터링할 뿐만 아니라 에칭 서브 시스템(200)으로의 입력을 통신하고 활성화하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함한다(가능성 있게는, D/A 및/또는 A/D 컨버터를 포함한다). 도 2a에 도시된 바와 같이, 컨트롤러(295)는, 하나 이상의 인터페이스(296)를 이용하여, IEC 공정 챔버(210), IE 제어 유닛(222), 배면 가스 운반 시스템(226, 227), 온도 제어 시스템(228), 제1 RF 생성기(230), 임피던스 매치 네트워크(231), IE 센서(234), 공정 센서(236), 가스 공급 시스템(240), 가스 플리넘(242) 및 진공 펌핑 시스템(257)에 결합되어 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장된 IE 관련 공정 레시피에 따라 IEC 에칭 서브 시스템(200A)의 전술한 컴포넌트와 상호 작용하는데 활용될 수 있다.
도 2b에 도시된 예시적인 실시예에서, IEC 에칭 시스템(200B)은 도 2a의 실시예와 유사할 수 있고, 도 2a를 참조하여 설명된 컴포넌트에 더하여, 플라즈마 밀도를 잠재적으로 증가시키고 그리고/또는 플라즈마 처리 균일성을 개선하기 위하여, 고정식 또는 기계식이나 전기식의 회전 자기장 시스템(255)을 더 포함할 수 있다. 더욱이, 컨트롤러(295)는 회전 속도 및 필드 강도(field strength)를 조절하기 위하여 자기장 시스템(255)에 결합될 수 있다. 회전 자기장의 설계 및 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 알려져 있다.
도 2c에 도시된 실시예에서, IEC 에칭 서브 시스템(200C)은 도 2a 및 2b의 실시예와 유사할 수 있고, 선택적인 임피던스 매치 네트워크(272)를 통해 RF 생성기(270)로부터 RF 전력이 결합될 수 있는 상부 전극(274)을 더 포함할 수 있다. RF 전력을 상부 전극에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 200 MHz의 범위에 있을 수 있다. 또한, 전력을 하부 전극(232)에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 또한, 컨트롤러(295)는 상부 전극(274)으로의 RF 전력의 인가를 제어하기 위하여 RF 생성기(270) 및 임피던스 매치 네트워크(272)에 결합될 수 있다. 상부 전극의 설계 및 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다. 상부 전극(274) 및 가스 플리넘(242)은 도시된 바와 같이 서로 결합될 수 있다.
도 2d에 도시된 실시예에서, IEC 에칭 서브 시스템(200D)은 도 2a 및 2b의 실시예와 유사할 수 있고, 선택적인 임피던스 매치 네트워크(282)를 통해 RF 생성기(280)을 경유하여 RF 전력이 결합될 수 있는 유도 코일(283)을 더 포함할 수 있다. RF 전력은 유도 코일(283)로부터 유전체 윈도우(미도시)를 통해 처리 영역(249)으로 유도 결합될 수 있다. RF 전력을 유도 코일(283)에 인가하기 위한 주파수는 대략 10 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 유사하게, RF 전력을 하부 전극(232)에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 또한, 슬롯형 패러데이 차폐(미도시)가 유도 코일(283)과 IEO 플라즈마 사이의 용량 결합을 감소시키도록 채용될 수 있다. 또한, 컨트롤러(295)는 유도 코일(283)로의 전력 인가를 제어하기 위하여 RF 생성기(280) 및 임피던스 매치 네트워크(282)에 결합될 수 있다.
다른 실시예(미도시)에서, "나선형(spiral)" 코일 또는 "팬케익형(pancake)" 코일 구성이 유도 코일에 대하여 사용될 수 있다. ICP(inductively coupled plasma) 소스 또는 TCP(transformer coupled plasma) 소스의 설계와 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다.
도 2e에 도시된 실시예에서, IEC 에칭 서브 시스템(200E)는, 예를 들어, 도 2a, 2b, 2c 및 2d의 실시예와 유사할 수 있고, 다른 선택적인 임피던스 매치 네트워크(251)를 통해 웨이퍼 홀더(220)에 RF 전력을 결합하도록 구성된 제2 RF 생성기(250)를 더 포함할 수 있다. 웨이퍼 홀더(220)에 RF 전력을 인가하기 위한 일반적이 주파수는 제1 RF 생성기(230)와 제2 RF 생성기(250)의 어느 하나 또는 모두에 대하여 대략 0.1 MHz 내지 대략 200 MHz의 범위에 있을 수 있다. 제2 RF 생성기(250)를 위한 RF 주파수는, 제1 RF 생성기(230)를 위한 RF 주파수에 비하여 상대적으로 더 높을 수 있다. 또한, 제1 RF 생성기(230)로부터 웨이퍼 홀더(220)로의 RF 전력이 진폭 변조될 수 있거나, 제2 RF 생성기(250)로부터 웨이퍼 홀더(220)로의 RF 전력이 진폭 변조될 수 있거나, 양 RF 전력이 진폭 변조될 수 있다. 바람직하게는, 더 높은 주파수의 RF 전력이 진폭 변조된다. 더욱이, 컨트롤러(295)가 웨이퍼 홀더(220)로의 RF 전력의 인가를 제어하기 위하여 제2 RF 생성기(250) 및 임피던스 매치 네트워크(251)에 결합될 수 있다. 웨이퍼 홀더를 위한 RF 시스템의 설계와 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다.
도 2f에 도시된 실시예에서, IEC 에칭 서브 시스템(200F)은, 도 2a 및 2e의 실시예와 유사할 수 있고, SWP(surface wave plasma) 소스를 더 포함할 수 있다. SWP 소스는 마이크로웨이브 전력이 마이크로웨이브 생성기(285)를 경유하여 선택적인 임피던스 매치 네트워크(286)를 통해 결합되는 LSA(radial line slotg antenna)와 같은 슬롯 안테나(287)를 포함할 수 있다.
도 2g에 도시된 실시예에서, IEC 에칭 서브 시스템(200G)은, 도 2c의 실시예와 유사할 수 있고, 임피던스 매치 네트워크/전력 스플리터(276)를 통해 RF 생성기(275)로부터 RF 전력이 결합될 수 있는 스플리트 상부 전극(277a, 277b)을 더 포함할 수 있다. 스플리트 상부 전극(277a, 277b)으로 RF 전력을 인가하기 위한 주파수는 0.1 MHz 내지 200 MHz의 범위에 있을 수 있다. 또한, 하부 전극(232)으로 RF 전력을 인가하기 위한 주파수는 0.1 MHz 내지 100 MHz의 범위에 있을 수 있다. 더욱이, 컨트롤러(295)는 스플리트 상부 전극(277a, 277b)에 대한 RF 전력의 인가를 제어하기 위하여 RF 생성기(275)와 임피던스 네트워크/전력 스플리터(276)에 결합될 수 있다. 전력 스플리터와 스플리트 상부 전극은 웨이퍼(205)의 표면에 인접한 처리 영역(249)에서 IEO 플라즈마의 생성 및 제어를 용이하게 하기 위하여 처리 영역(249)의 중심 및 에지에 상이한 RF 전력 레벨을 제공하도록 설계되고 구성될 수 있다. 스플리트 상부 전극(270a, 270b)과 가스 플리넘(242)은 도시된 바와 같이 서로 결합될 수 있거나, 또는 다른 구성이 사용될 수 있다.
도 3a 내지 3g는 본 발명의 실시예에 따른 다른 IEC(Ion Energy Controlled) 에칭 서브 시스템에 대한 다른 실시예를 도시한다. 도 3a 내지 3g는, 도 2a 내지 2g에 도시된 예시적인 에칭 서브 시스템(200A 내지 200G)과 유사한 예시적인 IEC 에칭 서브 시스템(300A 내지 300G)을 예시하지만, IEC 에칭 서브 시스템(300A 내지 300G)은 적어도 하나의 DC 전극(392)과 적어도 하나의 DC 소스(390)를 포함한다.
패턴 에칭 동안, 건식 플라즈마 에칭 공정이 종종 활용되고, 플라즈마는, 전자를 가열하고 공정 가스의 원자 및/또는 분자 조성의 이어지는 이온화 및 해리를 발생시키도록 RF(radio frequency) 전력과 같은 EM(electro-magnetic) 에너지를 공정 가스에 결합함으로써, 공정 가스로부터 형성된다. 또한, RF 사이클의 일부, 즉 결합된 RF 전력의 반 사이클 동안, 웨이퍼 표면에 부딪히는 강력한 (탄도(ballistic)) 전자 빔을 생성하기 위하여, 음의 고압 직류(DC) 전력이 플라즈마 처리 시스템에 결합될 수 있다. 탄도 전자 빔은, 예를 들어, 하부에 놓이는 (에칭될) 박막과 마스크 층 사이의 에칭 선택도를 증가시키고, 전자 빔 쉐이딩 손상과 같은 대전 손상(charging damage)를 감소시키는 등에 의해 건식 플라즈마 에칭 공정의 특성을 향상시킬 수 있다. 탄도 전자 빔의 생성에 관한 추가적인 상세는, 전문이 본 명세서에 참조로서 편입되는, 발명의 명칭이 "Plasma processing apparatus and method"이고 미국 특허출원공보 No. 2006/0037701 A1으로 발행된 계류중인 미국 특허 출원 제11/156,559호에 개시된다. 일반적으로, 탄도 전자 빔은, 도 3a 내지 3g에 도시된 바와 같이, 다양한 종류의 플라즈마 처리 시스템 내에 구현될 수 있다.
예시적인 IEC 제1 에칭 서브 시스템(300A)이 도 3a에 도시되고, 예시된 IEC 에칭 서브 시스템(300A)은 IEC 공정 챔버(310), 처리될 웨이퍼(305)가 부착되는 웨이퍼 홀더(320), 가스 공급 시스템(340) 및 진공 펌핑 시스템(357)을 포함한다. 예를 들어, 웨이퍼 홀더(320)는 베이스(325)를 이용하여 IEC 공정 챔버(310)에 결합되거나 IEC 공정 챔버(310)로부터 분리될 수 있다. 웨이퍼(305)는, 예를 들어, 반도체 웨이퍼, 워크피스 또는 액정 디스플레이(LCD)일 수 있다. 예를 들어, IEC 공정 챔버(310)는 웨이퍼(305)의 표면에 인접한 처리 영역(349)에서 IEO 플라즈마의 생성을 용이하게 하도록 구성될 수 있고, IEO 플라즈마는 가열된 전자와 이온화가능한 가스 사이의 충돌을 통해 형성된다. 이온화 가능한 가스 또는 가스 혼합물은 가스 공급 시스템(340)으로부터 유입될 수 있고, 공정 압력은 진공 펌핑 시스템(357)을 이용하여 조장된다. 바람직하게는, IEO 플라즈마는 사전 결정된 재료 공정에 특정된 재료를 생성하는데 그리고 웨이퍼(305)로의 재료의 부착이나 웨이퍼(305)의 노출된 표면으로부터의 재료의 제거를 돕는데 사용될 수 있다. 예를 들어, 컨트롤러(395)가 진공 펌핑 시스템(357) 및 가스 공급 시스템(340)을 제어하는데 사용될 수 있다.
웨이퍼(305)는, 예를 들어, 웨이퍼 홀더(320) 내에 수용된 웨이퍼 리프트 핀(미도시)에 의해 수신되는 로봇 이송 시스템을 경유하여 슬롯 밸브(미도시) 및 챔버 피드쓰루(미도시)를 통해 IEC 공정 챔버(310) 내로 또는 IEC 공정 챔버(310) 밖으로 이송되고, 그리고 그 내에 수용된 장치에 의해 기계적으로 평행 이동될 수 있다. 웨이퍼(305)는 이송 시스템으로부터 공급된 후 웨이퍼 홀더(320)의 상부 표면까지 강하된다.
예를 들어, 웨이퍼(305)는 정전기 클램핑 시스템(미도시)을 통해 웨이퍼 홀더(320)에 부착될 수 있다. 웨이퍼 홀더(320)는 온도 제어 시스템(328)에 결합될 수 있는 온도 제어 요소(329)를 포함할 수 있다. 예를 들어, 온도 제어 요소(329)는 저항성 가열 요소 또는 열전 가열기/냉각기를 포함할 수 있다. 배면 가스는 웨이퍼(305)와 웨이퍼 홀더(320) 사이의 가스 공극 열 전도도를 개선하기 위하여 2중(중심/에지) 배면 가스 운반 시스템(326, 327)을 통해 웨이퍼의 배면에 운반될 수 있다. 2중(중심/에지) 배면 가스 운반 시스템(326, 327)은 상승되거나 감소된 온도로 웨이퍼의 추가 온도 제어가 요구될 때 활용될 수 있다. 예를 들어, 웨이퍼의 온도 제어는, 플라즈마로부터 웨이퍼(305)로 전달된 열 플럭스와 웨이퍼 홀더(320)로의 전도에 의해 웨이퍼(305)로부터 제거된 열 플럭스의 균형 때문에, 획득된 정상 상태 온도를 초과한 온도에서 유용할 수 있다.
도 3a에 도시된 바와 같이, 웨이퍼 홀더(320)는 처리 영역(349) 내의 플라즈마에 결합될 수 있는 RF(Radio Frequency) 전력이 통과하는 하부 전극(332)을 포함할 수 있다. 예를 들어, 하부 전극(332)은, 임피던스 매치 네트워크(331)를 통해 RF 생성기(330)로부터 하부 전극(332)으로의 RF 전력의 전송을 통해 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 IEO 플라즈마를 형성하고 유지하기 위하여 전자를 가열하는 역할을 할 수 있다. RF 바이어스를 위한 전형적인 주파수는 1 MHz 내지 100 MHz의 범위에 있을 수 있고, 바람직하게는 13.56 MHz이다.
이 대신에, RF 전력은 다중 주파수로 하부 전극(332)에 인가될 수 있다. 또한, 임피던스 매치 네트워크(331)는 반사된 전력을 최소화함으로써 IEC 공정 챔버(310) 내의 IEO 플라즈마에 대한 RF 전력의 전달을 최소화하는 역할을 한다. 다양한 매치 네트워크 토폴러지와 자동 제어 방법이 활용될 수 있다.
도 3a를 계속 참조하면, 가스 공급 시스템(340)은 인터페이스 요소(341)를 이용하여 가스 플리넘(342)에 결합될 수 있고, 가스 플리넘(342)은 가스 분배 요소(345a, 345b)에 결합될 수 있다. 가스 분배 요소(345a, 345b)는 처리 영역(349)의 하나 이상의 영역에 대하여 상이한 흐름(347a, 347b)의 공정 가스를 제공할 수 있다. 공정 가스는, 예를 들어, 아르곤, CF4(Tetrafluoromethane) 및 산소(O2), 또는 산화물 에칭 애플리케이션을 위한 아르곤(Ar), C4F8 및 O2와 같은 가스 혼합물이나, 예를 들어 O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H4, HBr(hydrogen bromide)와 같은 다른 화합물을 포함할 수 있다. 가스 분배 요소(345a, 345b)는 웨이퍼(305)에 대한 오염물의 유입을 감소시키거나 최소화하도록 구성될 수 있고, 다중 오리피스형 가스 주입 샤워헤드를 포함할 수 있다. 예를 들어, 공정 가스는 가스 공급 시스템(340)으로부터 공급될 수 있다. 가스 분배 요소(345a, 345b)는 처리 영역(349)의 상이한 영역에 상이한 유량(347a, 347b)을 제공할 수 있다. 또한, 가스 분배 요소(345a, 345b)는 처리 영역(349)의 상이한 영역에 상이한 공정 가스를 제공할 수 있다.
진공 펌핑 시스템(357)은 초당 5000 리터(및 그 이상)까지의 펌핑 속도를 가질 수 있는 TMP(Turbo-molecular vacuum pump)(358)와 챔버 압력을 제어하기 위한 게이트 밸브(359)를 포함할 수 있다. 건식 플라즈마 에칭 공정을 위해 활용되는 종래의 플라즈마 처리 장치에서, 초당 1000 내지 3000 리터의 TMP가 일반적으로 체용된다. TMP는 일반적으로 50 mTorr 미만의 저압 처리에 유용하다. 더 높은 압력에서, TMP 펌핑 속도는 극적으로 떨어진다. 더 높은 압력 처리를 위하여(즉, 100 mTorr 이상), 기계적 부스터 펌프와 건식 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터하기 위한 장치(미도시)가 IEC 공정 챔버(310)에 결합될 수 있다. 압력 측정 장치는, 예를 들어, MKS 인스트루먼트 Inc.(메사추세스주 앤도버)로부터 상용으로 입수 가능한 Type 628B Baratron 절대 커패시턴스 마노미터일 수 있다.
도 3a에 도시된 바와 같이, IEC 에칭 서브 시스템(300A)은 공정 데이터를 획득하기 위하여 IEC 공정 챔버(310)에 결합된 하나 이상의 공정 센서(336)를 포함할 수 있고, 컨트롤러(395)는 공정 데이터를 수신하기 위하여 공정 센서(336)에 결합될 수 있다. 공정 센서(336)는 IEC 공정 챔버(310)에 내재하는 센서와 IEC 공정 챔버(310) 외부에 있는 센서 모두를 포함할 수 있다. 내재하는 센서는, 헬륨 배면 가스 압력의 측정, 헬륨 배면 흐름, 정전기 클램핑(ESC(electrostatic clamping)) 전압, ESC 전류, 웨이퍼 홀더 온도(또는 하부 전극(LEL(lower electrode)) 온도), 냉각제 온도, 상부 전극(UEL(upper electrode)) 온도, 포워드 RF 전력, 반사된 RF 전력, RF 자기 유도 DC 바이어스, RF 피크간 전압, 챔버 벽 온도, 공정 가스 유량, 공정 가스 부분 압력, 챔버 압력, 매칭 네트워크 설정, 포커스 링 두께, RF 시간, 포커스 링 RF 시간 및 이의 임의의 통계와 같은 IEC 공정 챔버(310)의 기능에 관한 이러한 센서를 포함할 수 있다. 또한, 외부에 있는 센서는 도 3a에 도시된 바와 같이 처리 영역(349) 내의 플라즈마로부터 방출된 광을 모니터링하기 위한 하나 이상의 광학 장치를 포함할 수 있다. 광학 장치는 EPD(End Point Detector)로서 사용될 수 있고 EPD 데이터를 제공할 수 있는 광학 센서를 포함할 수 있다. 예를 들어, OES(Optical Emission Spectroscopy) 센서가 사용될 수 있다. 공정 센서(336)는 전류 및/또는 전압 프로브, 전력계 또는 스펙트럼 분석기를 포함할 수 있다. 예를 들어, 공정 센서(236)는 RF 임피던스 분석기를 포함할 수 있다.
일부 실시예에서, IEC 에칭 서브 시스템(300A)은 제1 IE 관련 성능 데이터를 획득하기 위하여 IEC 공정 챔버(310)에 결합된 하나 이상의 IE(ion energy) 센서(334)를 포함할 수 있고, 컨트롤러(395)가 IE 관련 성능 데이터를 수신하기 위하여 IE 센서(334)에 결합된다. 또한, IEC 에칭 서브 시스템(300A)은 IE 관련 성능 데이터를 획득하기 위하여 웨이퍼 홀더(320)에 결합된 하나 이상의 IE(ion energy) 센서(323)를 포함할 수 있고, IE 제어 유닛(322)은 IE 관련 성능 데이터를 처리하기 위하여 IE 센서(323)에 결합될 수 있다. 예를 들어, 전압 또는 전류의 시간 트레이스와 같은 IE 신호의 측정은 이산 푸리에 급수 표현을 이용하여(주기성 신호로 가정하여) 주파수 도메인으로의 IE 신호의 변환을 허용한다. 그 후, 푸리에 스펙트럼(또는 시변 신호에 대하여 주파수 스펙트럼)은 IEO 플라즈마의 상태를 특징화하기 위하여 모니터링되고 분석될 수 있다.
컨트롤러(395)는 마이크로프로세서, 메모리 및 IEC 에칭 서브 시스템(300A 내지 300G)으로부터의 출력을 모니터링할 뿐만 아니라 IEC 에칭 서브 시스템(300A 내지 300G)로의 입력을 통신하고 활성화하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함한다(가능성 있게는, D/A 및/또는 A/D 컨버터를 포함한다). 도 3a에 도시된 바와 같이, 컨트롤러(395)는, 하나 이상의 인터페이스(396)를 이용하여, IEC 공정 챔버(310), IE 제어 유닛(322), 배면 가스 운반 시스템(326, 327), 온도 제어 시스템(328), 제1 RF 생성기(330), 임피던스 매치 네트워크(331), IE 센서(334), 공정 센서(336), 가스 공급 시스템(340), 가스 플리넘(342) 및 진공 펌핑 시스템(357)에 결합되어 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장된 IE 관련 공정 레시피에 따라 IEC 에칭 서브 시스템(300A)의 전술한 컴포넌트와 상호 작용하는데 활용될 수 있다.
도 3b에 도시된 예시적인 실시예에서, IEC 에칭 시스템(300B)은, 도 3a를 참조하여 설명된 컴포넌트에 더하여, 플라즈마 밀도를 잠재적으로 증가시키고 그리고/또는 플라즈마 처리 균일성을 개선하기 위하여, 도 3a의 실시예와 유사하고, 고정식 또는 기계식이나 전기식의 회전 자기장 시스템(355)을 더 포함할 수 있다. 더욱이, 컨트롤러(395)는 회전 속도 및 필드 강도를 조절하기 위하여 자기장 시스템(355)에 결합될 수 있다. 회전 자기장의 설계 및 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 알려져 있다.
도 3c에 도시된 실시예에서, IEC 에칭 서브 시스템(300C)은 도 3a 및 3b의 실시예와 유사할 수 있고, 선택적인 임피던스 매치 네트워크(372)를 통해 RF 생성기(370)로부터 RF 전력이 결합될 수 있는 상부 전극(374)을 더 포함할 수 있다. RF 전력을 상부 전극에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 200 MHz의 범위에 있을 수 있다. 또한, 전력을 하부 전극(332)에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 또한, 컨트롤러(395)는 상부 전극(374)으로의 RF 전력의 인가를 제어하기 위하여 RF 생성기(370) 및 임피던스 매치 네트워크(372)에 결합될 수 있다. 상부 전극의 설계 및 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다. 상부 전극(374) 및 가스 플리넘(342)은 도시된 바와 같이 서로 결합될 수 있다.
도 3d에 도시된 실시예에서, IEC 에칭 서브 시스템(300D)은 도 3a 및 3b의 실시예와 유사할 수 있고, 선택적인 임피던스 매치 네트워크(282)를 통해 RF 생성기(380)를 경유하여 RF 전력이 결합될 수 있는 유도 코일(383)을 더 포함할 수 있다. RF 전력은 유도 코일(383)로부터 유전체 윈도우(미도시)를 통해 처리 영역(349)으로 유도 결합될 수 있다. RF 전력을 유도 코일(383)에 인가하기 위한 주파수는 대략 10 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 유사하게, RF 전력을 하부 전극(332)에 인가하기 위한 주파수는 대략 0.1 MHz 내지 대략 100 MHz의 범위에 있을 수 있다. 또한, 슬롯형 패러데이 차폐(미도시)가 유도 코일(383)과 IEO 플라즈마 사이의 용량 결합을 감소시키도록 채용될 수 있다. 또한, 컨트롤러(395)는 유도 코일(383)로의 전력 인가를 제어하기 위하여 RF 생성기(380) 및 임피던스 매치 네트워크(382)에 결합될 수 있다.
다른 실시예(미도시)에서, "나선형(spiral)" 코일 또는 "팬케익형(pancake)" 코일 구성이 유도 코일에 대하여 사용될 수 있다. ICP(inductively coupled plasma) 소스 또는 TCP(transformer coupled plasma) 소스의 설계와 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다.
도 3e에 도시된 실시예에서, IEC 에칭 서브 시스템(300E)는, 예를 들어, 도 3a, 3b, 3c 및 3d의 실시예와 유사할 수 있고, 다른 선택적인 임피던스 매치 네트워크(351)를 통해 웨이퍼 홀더(320)에 RF 전력을 결합하도록 구성된 제2 RF 생성기(250)를 더 포함할 수 있다. 웨이퍼 홀더(320)에 RF 전력을 인가하기 위한 일반적이 주파수는 제1 RF 생성기(330)와 제2 RF 생성기(350)의 어느 하나 또는 모두에 대하여 대략 0.1 MHz 내지 대략 200 MHz의 범위에 있을 수 있다. 제2 RF 생성기(350)를 위한 RF 주파수는, 제1 RF 생성기(330)를 위한 RF 주파수에 비하여 상대적으로 더 높을 수 있다. 또한, 제1 RF 생성기(330)로부터 웨이퍼 홀더(320)로의 RF 전력이 진폭 변조될 수 있거나, 제2 RF 생성기(350)로부터 웨이퍼 홀더(320)로의 RF 전력이 진폭 변조될 수 있거나, 양 RF 전력이 진폭 변조될 수 있다. 바람직하게는, 더 높은 주파수의 RF 전력이 진폭 변조된다. 더욱이, 컨트롤러(395)가 웨이퍼 홀더(320)로의 RF 전력의 인가를 제어하기 위하여 제2 RF 생성기(350) 및 임피던스 매치 네트워크(351)에 결합될 수 있다. 웨이퍼 홀더를 위한 RF 시스템의 설계와 구현은 본 기술이 속하는 기술분야에서 통상의 지식을 가진 자에게 잘 알려져 있다.
도 3f에 도시된 실시예에서, IEC 에칭 서브 시스템(300F)은, 도 3a 및 3e의 실시예와 유사할 수 있고, SWP(surface wave plasma) 소스를 더 포함할 수 있다. SWP 소스는 마이크로웨이브 전력이 마이크로웨이브 생성기(385)를 경유하여 선택적인 임피던스 매치 네트워크(386)를 통해 결합되는 LSA(radial line slotg antenna)와 같은 슬롯 안테나(387)를 포함할 수 있다.
도 3g에 도시된 실시예에서, IEC 에칭 서브 시스템(300G)은, 도 3c의 실시예와 유사할 수 있고, 임피던스 매치 네트워크/전력 스플리터(376)를 통해 RF 생성기(375)로부터 RF 전력이 결합될 수 있는 스플리트 상부 전극(377a, 377b)을 더 포함할 수 있다. 스플리트 상부 전극(377a, 377b)으로 RF 전력을 인가하기 위한 주파수는 0.1 MHz 내지 200 MHz의 범위에 있을 수 있다. 또한, 하부 전극(332)으로 RF 전력을 인가하기 위한 주파수는 0.1 MHz 내지 100 MHz의 범위에 있을 수 있다. 더욱이, 컨트롤러(395)는 스플리트 상부 전극(377a, 377b)에 대한 RF 전력의 인가를 제어하기 위하여 RF 생성기(375)와 임피던스 네트워크/전력 스플리터(376)에 결합될 수 있다. 전력 스플리터와 스플리트 상부 전극은 웨이퍼(305)의 표면에 인접한 처리 영역(349)에서 IEO 플라즈마의 생성 및 제어를 용이하게 하기 위하여 처리 영역(349)의 중심 및 에지에 상이한 RF 전력 레벨을 제공하도록 설계되고 구성될 수 있다. 스플리트 상부 전극(370a, 370b)과 가스 플리넘(342)은 도시된 바와 같이 서로 결합될 수 있거나, 또는 다른 구성이 사용될 수 있다.
IEC 에칭 서브 시스템(300A 내지 300G)에 도시된 DC 전극(392)은 실리콘 함유 재료 및/또는 도핑된 실리콘 함유 재료를 포함할 수 있다. DC 소스(390)는 가변 DC 전원을 포함할 수 있다. 또한, DC 소스(390)는 바이폴라 DC 전원을 포함할 수 있다. DC 전원(390)은, DC 소스(390)의 극성, 전류, 전압 및/또는 온/오프 상태를 모니터링하거나, 조정하거나 또는 제어하는 것 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되기만 하면, DC 소스(390)는 탄도 전자 빔의 형성을 용이하게 한다. 전기 필터는 DC 소스(390)로부터 RF 전력을 분리하는데 활용될 수 있다.
예를 들어, DC 소스(390)에 의해 DC 전극(392)에 인가된 DC 전압은 대략 -2000 V 내지 대략 1000 V의 범위에 있을 수 있다. 바람직하게는, DC 전압의 절댓값은 대략 100 V 이상의 값을 가지며, 더욱 바람직하게는, DC 전압의 절댓값은 대략 500 V 이상의 값을 가진다. 또한, DC 전압은 음의 극성을 갖는 것이 바람직하다. 더하여, DC 전압은 자기 바이어스(self-bias) 전압보다 큰 절댓값을 갖는 음의 전압이다.
다른 실시예에서, COR(Chemical Oxide Removal) 서브 시스템(미도시)은 산화된 폴리-Si 재료를 제거하거나 잘라내는데 사용될 수 있다. 또한, COR 서브 시스템은, 산화물 마스킹층을 제거하거나 잘라내는데 사용될 수 있다. 예를 들어, COR 서브 시스템은, 웨이퍼 상에 산화물 표면층과 같은 노출된 표면층을 화학적으로 처리하기 위하여 화학 처리 모듈(미처리)을 포함할 수 있어, 노출된 표면 상의 공정 화학품의 흡수는 표면층의 화학적 변화에 영향을 미친다. 또한, COR 서브 시스템은 웨이퍼를 열처리하기 위한 열처리 모듈(미도시)을 포함할 수 있어, 웨이퍼 상의 화학적으로 변화된 노출된 표면층을 제거하기(또는 기화시키기) 위하여 웨이퍼 온도가 상승된다.
도 4는 본 발명의 실시예에 따른 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델 최적화 및 제어 방법에 대한 간략화된 블록도를 도시한다. 예시된 MIMO 모델 방법에서, 제1의 패터닝된 게이트 스택(401)과 후처리 게이트 스택(405)의 일부에 대한 예시적인 이미지가 도시된다. 제1의 패터닝된 게이트 스택(401)의 소프트 마스크층은 하나 이상의 소프트 마스크 특징부 CD(402)와 하나 이상의 소프트 마스크 특징부 측벽 각도(SWA(sidewall angle))(403)를 포함할 수 있다. 제1의 패터닝된 게이트 스택(401)은, 중심/에지(C/E)층 데이터, EEDf C/E 데이터, IE C/E 데이터, CD 데이터 C/E, IED 데이터 및 SWA 데이터 C/E를 포함할 수 있는 제1 IE 관련 파라미터 세트(404)를 이용하여 특징화될 수 있다. 이 대신에, 다른 IE 관련 파라미터 세트가 사용될 수 있다. 후처리 게이트 스택(405)은 하나 이상의 CD(406)와 하나 이상의 SWA(407)를 포함할 수 있다. 후처리 게이트 스택(405)은 중심 및 에지(C/E) 금속 게이트 및/또는 특징부 데이터, C/E 타겟층 데이터, CD 데이터 C/E, SWA 데이터 C/E, IE 업데이트 데이터 C/E 및 EEDf 업데이트 데이터를 포함할 수 있는 제2 파라미터 세트(408)를 이용하여 특징화될 수 있다. 이 대신에, 다른 IE 관련 후처리 파라미터 세트가 사용될 수 있다.
예시된 방법에서, 전처리 IM(integrated metrology) 및/또는 검사 공정/도구(Pre-IM/검사) 모델(410)이 하나 이상의 IEC 에칭 시퀀스 모델(415)에 결합될 수 있다. 하나 이상의 IEC 에칭 시퀀스 모델(415)은 하나 이상의 IEO 에칭 절차 모델(420)에 결합될 수 있다. 하나 이상의 IEO 에칭 절차 모델(420)은 하나 이상의 IE 데이터 업데이트 모델(425)에 결합될 수 있다. 하나 이상의 IE 데이터 업데이트 모델(425)은 하나 이상의 후처리 IM(integrated metrology) 및/또는 검사 공정/도구(Post-IM/검사) 모델(420)에 결합될 수 있다.
(Pre-IM/검사) 모델(410)은 입력 데이터(409)를 수신할 수 있고, IEC 에칭 시퀀스 모델(415)로 제1 출력 데이터(411)를 제공할 수 있고, IE 관련 피드 포워드 모델(435)에 제1 피드 포워드 데이터(412)를 제공할 수 있다. IEC 에칭 시퀀스 모델(415)은 데이터(411)를 수신할 수 있고, IEO 에칭 절차 모델(420)에 제2 출력 데이터(416)를 제공할 수 있고, IE 관련 피드 포워드 모델(435)로 피드 포워드 데이터(417)를 제공할 수 있다. IEO 에칭 절차 모델(420)은 제2 출력 데이터(416)를 수신할 수 있고, 제3 피드 포워드 데이터(436)를 수신할 수 있고, 피드백 데이터(438)를 수신할 수 있고, IE 데이터 업데이트 모델(425)로 IE 절차 데이터를 전송할 수 있다. IE 데이터 업데이트 모델(425)은 IE 절차 데이터(421)를 수신할 수 있고, (Post-IM/검사) 모델(430)에 업데이트 데이터(426)를 제공할 수 있고, IE 관련 피드백 모델(437)에 제1 피드백 데이터(427)를 제공할 수 있다. (Post-IM/검사) 모델(430)은 업데이트 데이터(426)를 수신할 수 있고, 제3 출력 데이터(431)를 제공할 수 있고, IE 관련 피드백 모델(437)로 제2 피드백 데이터(432)로 전송할 수 있다. IE 관련 피드 포워드 모델(435)은 제1 피드 포워드 데이터(412)를 수신할 수 있고, 제2 피드 포워드 데이터(417)를 수신할 수 있고, 제3 피드 포워드 데이터(436)를 제공할 수 있고, IE 관련 피드백 모델(437)은 제1 피드백 데이터(427)를 수신할 수 있고, 제2 피드백 데이터(432)를 수신할 수 있고, 제3 피드백 데이터(438)를 제공할 수 있다.
일부 예에서, 입력 데이터(409)는 CD 데이터, SWA 데이터, 두께 데이터, IE 데이터, EEDf 데이터, IED 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있고, 제1 출력 데이터(411) 및 제1 피드 포워드 데이터(412)는 CD 데이터, SWA 데이터, ODP 데이터, 검사 데이터, 두께 데이터, IE 데이터, EEDf 데이터, IED 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있다. 제2 출력 데이터(416) 및 제2 피드 포워드 데이터(417)는 레시피 데이터, CD 데이터, SWA 데이터, ODP 데이터, 검사 데이터, 두께 데이터, IE 데이터, EEDf 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있고, IE 절차 데이터(421)는 결과 데이터, CD 데이터, SWA 데이터, SWA 데이터, ODP 데이터, 검사 데이터, 두께 데이터, IE 데이터, EEDf 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있다. 업데이트 데이터(426) 및 제1 피드백 데이터(427)는 레시피 데이터, IE 데이터, EEDf 데이터, ODP 데이터, 검사 데이터, 두께 데이터, IE 데이터, EEDf 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있고, 제3 출력 데이터(431) 및 제2 피드백 데이터(432)는 결과 데이터, CD 데이터, SWA 데이터, ODP 데이터, 검사 데이터, 두께 데이터, IE 데이터, EEDf 데이터 또는 게이트 데이터나, 이들의 임의의 조합을 포함할 수 있다. 제3 피드 포워드 데이터(436)는 웨이퍼간 피드 포워드 데이터(W2W FF) 및 웨이퍼 내부 피드 포워드 데이터(WiW FF)를 포함할 수 있고, 제3 피드백 데이터(438)는 웨이퍼간 피드백 데이터(W2W FB) 및 웨이퍼 내부 피드백 데이터(WiW FB)를 포함할 수 있다. 또한, 하나 이상의 모델(410, 415, 420, 425, 430)은 웨이퍼간(W2W) 기반으로 IE 관련 후처리 게이트 스택(405)을 제어하고 그리고/또는 웨이퍼 내부(WiW) 기반으로 IE 관련 후처리 게이트 스택(405)을 제어하는데 사용될 수 있다.
데이터 항목(413)은 IE 데이터, EEFf 데이터, 에칭 데이터, CD 데이터, SWA 데이터 및/또는 다른 게이트 스택 데이터의 일부를 웨이퍼의 센서에서 그리고 웨이퍼의 에지에서 계산하는데 사용될 수 있는 제1 계산 요소(440)에 전송될 수 있다. 예를 들어, 제1 계산 요소(40)는 웨이퍼의 중심과 웨이퍼의 에지에서 IE 관련 기반바이어스를 계산하는데 사용될 수 있다. 제1 타겟 파라미터 세트(441)가 제1 계산 요소(440)에 제공될 수 있고, 제1 파라미터 출력 세트(471)가 제1 계산 요소에 제공될 수 있다. 제1 계산 요소(440)로부터의 출력 데이터 항목(442)은 하나 이상의 MIMO 모델 최적화기(450)에 제공될 수 있다.
하나 이상의 MIMO 모델 최적화기(450)가 IE에 관련된 도구 한계, 레시피 한계 및/또는 시간 한계를 포함할 수 있는 하나 이상의 IE 관련 제한 파라미터(451)를 제공받을 수 있다. 예를 들어, IE 관련 제한 파라미터(451)는 IE 에칭 절차 동안 단계 기반 웨이퍼 온도 한계 또는 공정 가스 한계를 포함할 수 있다. 하나 이상의 MIMO 모델 최적화기(450)는 하나 이상의 도구 컨트롤러/모델(410, 415, 420, 425, 430)에 전송될 수 있는 하나 이상의 레시피/챔버 파라미터 세트(456)를 결정하는데 사용될 수 있다.
하나 이상의 도구 컨트롤러/모델(410, 415, 420, 425, 430)은 하나 이상의 예측 에칭 바이어스, 하나 이상의 예측 SWA 바이어스, 하나 이상의 에칭 레시피를 위한 하나 이상의 예측 단계 시간 및 하나 이상의 에칭 레시피를 위한 하나 이상의 예측 공정 가스를 포함할 수 있는 예측 IE 값(457)을 계산하는데 사용될 수 있다.
하나 이상의 (Post-IM/검사) 모델(430)은 하나 이상의 비교 요소(460)로 하나 이상의 실제 출력(433)을 제공할 수 있고, 하나 이상의 실제 출력(433)은 하나 이상의 예측 데이터 항목(457)에 비교될 수 있다. 하나 이상의 비교 회로(460)로부터의 하나 이상의 에러 값(456)이 하나 이상의 EMWA 필터(470)에 제공될 수 있다.
하나 이상의 EWMA 필터(470)는 하나 이상의 제1의 필터링된 출력(471)을 제1 계산 요소(44)에 제공할 수 있고, 하나 이상의 EWMA 필터(470)는 하나 이상의 제2의 필터링된 출력(472)을 하나 이상의 가중치 컨트롤러/모델(480)에 제공할 수 있다. EWMA 필터(470) 각각은 단일 파라미터 또는 에러 값에 대한 피드백 데이터를 필터링하여 제공할 수 있다. 이 대신에, 각각의 EWMA 필터(470)는 다중 파라미터 또는 에러 값에 대한 피드백 데이터를 필터링하여 제공할 수 있다. 하나 이상의 가중치 컨츠롤러/모델(480)은 하나 이상의 타겟 데이터 항목(445)과 하나 이상의 피드백 데이터 항목(455)을 하나 이상의 MIMO 모델 최적화기(450)로부터 수신할 수 있다. 또한, 하나 이상의 가중치 컨트롤러/모델(480)은 하나 이상의 동적 가변 가중치 입력(481)을 하나 이상의 MIMO 모델 최적화기(450)에 제공할 수 있다. 피드백 에러에 기초하여 동적 가중치를 사용하는 개념은, 가장 중요한 CV에 대한 더 나은 제어 - 제어 시스템의 수동 튜닝의 실시간 자동화 - 라는 목표를 가지고 가중치(재균형)를 우선화하도록 최적화기를 강제하는 것이다.
일부 실시예에서, 제어를 위하여 사용된 조작 변수(manipulated variable) 및/또는 외란 변수(disturbance variable)는 다음의 방법에 의해 런타임 처리 동안 동적으로 모델링되거나 업데이트될 수 있는 계산값을 포함할 수 있다: 1) 모델링 절차는 IE 센서 데이터를 제어 변수(CV(controlled variabl))로 "페어링(pair)"하는 기본 모델 관계로부터 시작한다. 예를 들어, 원자 O 또는 F의 양이 IE 센서 또는 공정 센서로부터의 공정 가스를 이용하여 계산될 수 있고, 소비된 원자 O 또는 F의 양이 CD 또는 SWA를 예측하는데 사용될 수 있다. 이는 피드백 업데이트 루프 또는 각 단계 동안의 실시간 조정일 수 있다. 2) 습식 세정이 수행된 후, 컨디셔닝 또는 생산 동안 처리된 제1의 패터닝된 게이트 스택은 이러한 트레이스 가스 모델을 계산하고 업데이트하는데 사용될 수 있다. 3) RGA(Relative Gain Array) 방법은, 단순히 값을 계산하는 대신에, IE 센서 데이터 대 CV 피드백을 언제 이용할지를 평가하기 위하여 제조 패터닝 웨이퍼를 이용하여 실시간으로 사용될 수 있다. 주어진 CV 값에 대한 RGA 행렬은, 센서 기반 MV의 값이 실시간 CV 값으로서 사용하기 위하여 리소(litho) 인커밍 CV보다 더 강력한지 판단하기 위하여 재평가될 수 있다. 4) 추가로, OES 신호를 이용한 센터-에지 센서 검출 - 변화율은 균일성을 개선하기 위하여 과 에칭(over etch) 레시피 설정을 조정하기 위하여(O2 흐름, 온도, 상부 전력, 압력과 같은 오버 에칭 단계의 센터-에지 노브를 조정함으로써 이전 단계 에칭의 비균일성을 보정하기 위하여) 일반적으로 이해되는 예로서도 사용될 수 있다. IM CV는 현재 챔버의 센터-에지의 에칭률로부터의 BARC 두께와 같은, 개별 인커밍에 대한 인커밍 웨이퍼의 막 두께일 수 있다.
일부 실시예에서, 제1, 제2 및/또는 제3 IEC 에칭 시퀀스에 의해 형성된 다양한 패터닝된 웨이퍼와 관련된 제어 변수는 중심 CD 및 SWA 값, 중간 CD 및 SWA 값, 에지 CD 및 SWA 값, 가장 먼 에지의 CD 및 SWA 값일 수 있으며, 이는 웨이퍼 상의 4 이상의 사이트에서 전체 8개의 IM 측정을 필요로 할 수 있다. 사전 또는 사후 IM 측정이 동적 샘플링을 이용하여 수행될 수 있다.
다른 실시예에서, 조작 변수는 웨이퍼 홀더에서 하나 이상의 구역으로의 배면 가스 흐름을 포함할 수 있고, 배면 가스 흐름은 인커밍 CV 요건에 기초하여 본직질으로 비방사형인 웨이퍼 영역을 조정함으로써 개선된 웨이퍼 내부 공정 균일성을 위한 동적 배면 가스 온도 제어를 제공하도록 처리 동안에 동적으로 제어될 수 있다.
또 다른 실시예에서, 조작 변수는 에지 가스 주입 유량을 위한 유량을 포함할 수 있다. 또한, 이 접근 방식은 웨이퍼 에지에서 결핍(starvation) 문제를 감소시키는데 사용될 수 있고, 에지 결핍을 인커밍 특징 및 챔버 상태에 기초하여 제어 가능한 변수로 만들 수 있다.
일부 IE 관련 MIMO 모델에서, 상호 작용 항(interaction term)이 오프라인 트리거식 계산 업데이트 절차 동안 롯트들 사이에서 업데이트될 수 있다. 예를 들어, 교차 항(cross term) 계산 업데이트는, 교차 항에서의 변화에 대한 현재 시스템의 민감도를 체크함으로써, 그리고 교차 항을 조정하는 것이 평균 제어를 개선할 수 있었는지 알기 위하여 사전 정의된 델타 오프셋 세트를 실행함으로써 트리거될 수 있다. RGA가 이 계산에서 사용될 수 있고, 트리거 이벤트가 IE 관련 MIMO 모델에 대한 적응적 피드백 업데이트(adaptive feedback update)를 수행하는데 사용될 수 있다. 예를 들어, 적응적 피드백은 IE 관련 MIMO 모델을 챔버로부터 챔버로 복사하여 IE 관련 MIMO 모델이 새로운 챔버 거동에 적응되게 할 때 사용될 수 있다. 새로운 제품이 릴리즈될 때 다른 사용이 발생할 수 있으며, 오래된 제품 수식이 이 모델을 시작하는데 사용될 수 있고, 그 다음 많은 웨이퍼 이후, 모델 업데이터가 트리거되어 새로운 모델이 조정된 후, 결과에 따른 모델이 성능을 위해 사용되어 모니터링될 수 있다.
도 5a 및 5aa는 본 발명의 실시예에 따라 제1의 2중 패터닝 특징부를 형성하는 제1 IE 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다. 예시된 실시예에서, 상부에 예시적인 특징부 스택(505a 내지 507a)을 갖는 3개의 예시적인 패터닝된 웨이퍼(501a 내지 503a)가 도시되지만, 이는 본 발명에 대하여 요구되지는 않는다. 이 대신에, 상이한 구성을 갖는 상이한 개수의 패터닝된 웨이퍼가 사용될 수 있다. 일부 실시예에서, 3개의 예시적인 패터닝된 웨이퍼(501a, 502a, 503a) 및 관련된 다층 특징부 스택(505a, 506a, 507a)이 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있는 제1 IEC 에칭 절차 세트를 이용하여 형성될 수 있다. 이 대신에, 다른 에칭 서브 시스템 및/또는 에칭 절차가 사용될 수 있다.
도 5a에서, 제1 입력 데이터 모델(580a)이 도시되고, 제1 입력 데이터 세트는 제1 입력 데이터 모델(580a)이 실행될 때 획득될 수 있다. 제1 입력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다.
도 5a에서, 제1 IE 선택 MIMO 모델(581a)이 도시되고, 제1 IEC 에칭 시퀀스가 제1 IE 선택 MIMO 모델(581a)을 이용하여 선택될 수 있고 제1 IE 선택 MIMO 모델(581a)은 운송 수단(590)을 이용하여 MV(Measured Variable) 데이터를 교환할 수 있고, 운송 수단(591)을 이용하여 DV(Disturbance Variable) 데이터를 교환할 수 있고, 운송 수단(592)을 이용하여 CV(Controlled Variable) 데이터를 교환할 수 있다. 예를 들어, 제1 IE 선택 MIMO 모델(581a)은 제1 IEC 에칭 시퀀스와 관련된 제1 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제1 IE 관련 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 IE 선택 MIMO 모델(581a)이 실행될 때 제1 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(501a) 및/또는 제2의 패터닝된 웨이퍼(502a)에 대한 제1 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(501a)에 대한 제1 IE 관련 라이브러리 데이터는, 제1 특징부 스택(505a)이 제1의 패터닝된 웨이퍼(501a)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IEC 에칭 시퀀스를 포함할 수 있다. 제2의 패터닝된 웨이퍼(502a)에 대한 제1 IE 관련 라이브러리 데이터는, 제2 특징부 스택(505a)이 이전에 형성된 제2의 패터닝된 웨이퍼(502a)에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IEC 에칭 시퀀스를 포함할 수 있다.
도 5aa에서, 기판층(510a), 타겟층(520a), 제3 하드 마스크층(530a), 제2 하드 마스크층(540a), FWC(feature-width control) 층(550a), 제1 하드 마스크층(560a) 및 패턴 소프트 마스크층(570a)을 구비하는 제1 특징부 스택(505a)을 포함하는 제1의 패터닝된 웨이퍼(501a)가 도시된다. 예를 들어, 기판층(510a)은 반도체 재료를 포함할 수 있고; 타겟층(520a)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(530a)은 TiN을 포함할 수 있고; 제2 하드 마스크층(540a)은 TEOS(Tetraethyl Orthosilicate)[Si(OC2H5)4]를 포함할 수 있고; FWC 층(550a)은 폭 제어(width-control) 재료를 포함할 수 있고; 제1 하드 마스크층(560a)은 Si-ARC 재료를 포함할 수 있고; 소프트 마스크층(570a)은 포토레지스트와 같은 방사선 민감 재료를 포함할 수 있다.
소프트 마스크층(570a)은 복수의 소프트 마스크 특징부(575a)를 포함할 수 있고, 소프트 마스크 특징부(575a)는 특징부 폭(576a), 특징부 두께(577a) 및 SWA(sidewall angle)(578a)를 가질 수 있다. 예를 들어, 특징부 폭(576a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(577a)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(578a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
도 5a에서, 제1 IEC-MIMO 모델(582a)이 도시되고, 제1 IEC-MIMO 모델(582a)이 실행될 때, 선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 이용하여 수행될 수 있다. IEO 에칭 절차가 수행될 때, 하나 이상의 공정 파라미터 세트가 제1 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제1 IEC-MIMO 모델(582a)은, 다른 MIMO 모델(581a, 583a, 584a, 585a)과, 운송 수단(590)을 이용하여 제1 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제1 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제1 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 IEC-MIMO 모델(582a)은, 제1 IEC 에칭 시퀀스, 제1의 패터닝된 웨이퍼(501a) 및/또는 제2의 패터닝된 웨이퍼(502)와 관련된 제1 MV 공정 데이터, 제1 DV 공정 데이터 및 제1 CV 공정 데이터를 포함할 수 있다.
선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 포함할 때, IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제1 특징부 스택(505a)을 갖는 제1의 패터닝된 웨이퍼(501a)는 제1 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제2 특징부 스택(506a)을 갖는 제2의 패터닝된 웨이퍼(502a)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
일부 실시예에서, 제1 IEO 에칭 절차가 수행될 때, 제1의 패터닝된 웨이퍼(501a)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제1 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제1 IEO 에칭 절차가 수행될 수 있다.
제1 IEO 에칭 절차 동안, 제1 IEO 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제1 IEO 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제1 IEO 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제1 공정 데이터는 제1 IEO 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제1 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(551)에 대한 프로파일 데이터와 SWA 데이터 및/또는 에칭된 GWC 특징부(541)에 대한 프로파일 데이터에 종속적일 수 있다.
선택된 제1 IEC-에칭 시퀀스가 추가의 제1 IE 관련 절차를 포함할 때, 추가의 제1 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제1 IEC 에칭 시퀀스는 제1 하드 마스크층을 위한 제1 IEO 에칭 절차, FWC 층을 위한 제2 IEO 에칭 절차 및 제2 하드 마스크층을 위한 제3 IEO 에칭 절차를 포함할 수 있다. 예를 들어, 제1 IEO 에칭 절차는 Si-ARC 층 에칭 절차를 포함할 수 있고, 제2 IEO 에칭 절차는 폭 층 에칭 절차를 포함할 수 있고, 제3 IEO 에칭 절차는 TEOS 층 에칭 절차를 포함할 수 있다. 일부 예에서, 제1 IEC 에치 시퀀스는 애싱 절차, 세정 절차 및 또는 CMP 절차를 포함할 수 있다. 일부 예에서, 제1 IEC 에치 시퀀스는 애싱 절차, 세정 절차 및 또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제1 IEC 에칭 시퀀스는 IE 관련 계측 절차, IE 센서 웨이퍼 측정 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 5aa에서, 기판층(510a), 타겟층(520a), 제3 하드 마스크층(530a) 및 에칭된 제2 하드 마스크층(540aa)을 구비하는 제2 특징부 스택(506a)을 포함하는 제2의 패터닝된 웨이퍼(502a)가 도시된다. 예를 들어, 기판층(510a)은 반도체 재료를 포함할 수 있고; 타겟층(520a)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(530a)은 TiN을 포함할 수 있고; 에칭된 제2 하드 마스크층(540aa)은 TEOS 재료를 포함할 수 있다.
에칭된 제2 하드 마스크층(540aa)은 복수의 에칭된 제2 하드 마스크 특징부(545a)를 포함할 수 있고, 에칭된 제2 하드 마스크 특징부(545a)은 특징부 폭(546a), 특징부 두께(547a) 및 SWA(548a)를 가질 수 있다. 예를 들어, 특징부 폭(546a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(547a)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(548a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
제1 IEO 에칭 절차 동안, 제1의 패터닝된 웨이퍼(501a) 상의 소프트 마스크 특징부(575a)의 패턴은 제2의 패터닝된 웨이퍼(502a) 상의 에칭된 제2 하드 마스크 특징부(545a)를 형성하는데 사용될 수 있다.
도 5a에서, 제2 IE 선택 MIMO 모델(583a)이 도시되고, 제2 IEC 에칭 시퀀스가 제2 IE 선택 MIMO 모델(583a)를 이용하여 선택될 수 있고, 제2 IE 선택 MIMO 모델(582a)은 운송 수단(590)을 이용하여 제2 선택 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제2 선택 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제2 선택 CV 데이터를 생성하여 교환할 수 있다. 예를 들어, 제2 IE 선택 MIMO 모델(583a)은 제1 및 제2 IEC 에칭 시퀀스와 관련된 제2 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제2 IE 관련 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제2 IE 선택 MIMO 모델(583a)이 실행될 때, 제2 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(501a), 제2의 패터닝된 웨이퍼(502a) 및/또는 제3의 패터닝된 웨이퍼(503a)에 대한 제2 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(501a)에 대한 제2 IE 관련 라이브러리 데이터는, 제1 특징부 스택(505a)이 제1의 패터닝된 웨이퍼(501a)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제2 패터닝된 웨이퍼(502a)에 대한 제2 IE 관련 라이브러리 데이터는, 제2 특징부 스택(506a)이 이전에 형성된 제2의 패터닝된 웨이퍼(502a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제3 패터닝된 웨이퍼(503a)에 대한 제2 IE 관련 라이브러리 데이터는, 제3 특징부 스택(507a)이 이전에 형성된 제3의 패터닝된 웨이퍼(503a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제3 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다.
도 5a에서, 제2 IEC-MIMO 모델(584a)이 도시되고, 제2 IEC-MIMO 모델(584a)이 실행될 때, 선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 이용하여 수행될 수 있다. 제2 IEO 에칭 절차가 수행될 때, 하나 이상의 제2 공정 파라미터 세트가 제2 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제2 IEC-MIMO 모델(584a)은, 다른 MIMO 모델(581a, 582a, 583a, 585a)과, 운송 수단(590)을 이용하여 제2 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제2 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제2 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제2 IEC-MIMO 모델(584a)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 제2 공정 데이터 및/또는 IE 센서 데이터를 형성 및/또는 사용할 수 있고, 제2 공정 데이터 및/또는 IE 센서 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 포함할 때, 제2 IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제2 특징부 스택(506a)을 갖는 제2의 패터닝된 웨이퍼(502a)는 제2 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제3 특징부 스택(507a)을 갖는 제3의 패터닝된 웨이퍼(503a)를 형성한다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
도 5aa에서, 기판층(510a), 타겟층(520a) 및 복수의 에칭된 제3 하드 마스크 특징부(535a)를 갖는 에칭된 제3 하드 마스크층(530aa)을 구비하는 제3 특징부 스택(507a)을 포함하는 제3의 패터닝된 웨이퍼(503a)가 도시된다. 예를 들어, 기판층(510a)은 반도체 재료를 포함할 수 있고; 타겟층(520a)은 HfO2를 포함할 수 있고; 에칭된 제3 하드 마스크층(530aa)은 에칭된 TiN 재료를 포함할 수 있다. 제2 IEC 에칭 시퀀스 동안, 제2의 패터닝된 웨이퍼(502a) 상의 에칭된 제2 하드 마스크 특징부(545a)의 패턴은 제3의 패터닝된 웨이퍼(503a) 상에 에칭된 제3 하드 마스크 특징부(535a)의 패턴을 형성하는데 사용될 수 있다.
에칭된 제3 하드 마스크층(530aa)은 복수의 에칭된 제3 하드 마스크 특징부(535a)를 포함할 수 있고, 에칭된 제3 하드 마스크층(530aa)은 특징부 폭(536a), 특징부 두께(537a) 및 SWA(538a)를 가질 수 있다. 예를 들어, 특징부 폭(536a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(537a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, SWA(538a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
일부 실시예에서, 제2 IEO 에칭 절차가 수행될 때, 제2의 패터닝된 웨이퍼(502a)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제2 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제2 IEO 에칭 절차가 수행될 수 있다.
제2 IEO 에칭 절차 동안, 제2 IE 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제2 IE 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제2 IE 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제2 공정 데이터는 제2 IE 관련 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제2 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(575a)에 대한 처리 파라미터 및/또는 프로파일 데이터에 종속적일 수 있다.
선택된 제2 IEC-에칭 시퀀스가 추가의 제2 IE 관련 절차를 포함할 때, 추가의 제2 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제2 IEC 에칭 시퀀스는 제3 하드 마스크층 에칭 절차를 포함할 수 있다. 예를 들어, 제2 IEC 에칭 시퀀스는 TiN 층 에칭 절차를 포함할 수 있다. 또한, 일부 예에서, 제2 IEC 에칭 시퀀스는 애싱 절차, 세정 절차 및/또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제2 IEC 에칭 시퀀스는 IE 관련 계측 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 5a에서, 제1 출력 데이터 모델(585a)이 도시되고, 제1 출력 데이터 세트는 제1 출력 데이터 모델(585a)이 실행될 때 분석될 수 있다. 제1 출력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다. 예를 들어, 제1 출력 데이터 모델(585a)은, 다른 MIMO 모델(580a, 581a, 582a, 583a, 584a)과, 운송 수단(590)을 이용하여 제3 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제3 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제3 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 출력 데이터 모델(585a)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 공정 데이터 및/또는 IE 센서 데이터를 분석할 수 있고, 분석된 공정 데이터 및/또는 분석된 IE 센서 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 출력 데이터 모델(585a)이 실행될 때, 예를 들어, 업데이트 절차가 제1 및/또는 제2 IEC 에칭 시퀀스에 대하여 수행될 수 있다. 예를 들어, 업데이트 절차는 제1 및/또는 제2 공정 파라미터, IE 데이터 및 공정 데이터를 업데이트하도록 수행될 수 있다. 또한, 업데이트 절차는 제1 및/또는 제2 IE 관련 라이브러리 데이터를 업데이트하도록 수행될 수 있다. 제1 출력 데이터 모델(585a)은, 다른 MIMO 모델(580a, 581a, 582a, 583a, 584a)과, 운송 수단(590)을 이용하여 업데이트된 IE 에칭 MV 데이터를 교환할 수 있고, 운송 수단(591)을 이용하여 업데이트된 IE 에칭 DV 데이터를 교환할 수 있고, 운송 수단(592)을 이용하여 업데이트된 IE 에칭 CV 데이터를 교환할 수 있다. 공정 개발 동안, DOE 기술이 모델(580a 내지 585a)의 예비 세트를 검사하고 감소된 MIMO 모델 세트를 개발하는데 사용될 수 있다.
일부 실시예에서, 제2 IE 에칭 시퀀스는 "BR(break through)" 에칭 절차, ME(Main-Etch) 에칭 절차, OE(Over-Etch) 에칭 절차 및 TiN(Titanium-Nitride) 에칭 절차를 포함할 수 있는 하나 이상의 IEO 에칭 절차를 포함할 수 있다. 이 대신에, 다른 에칭, 애싱 또는 세정 절차가 사용될 수 있다.
도 5b 및 5bb는 본 발명의 실시예에 따라 제1의 2중 패터닝 특징부를 형성하는 제2 IE 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다. 예시된 실시예에서, 상부에 예시적인 특징부 스택(505b 내지 507b)을 갖는 3개의 예시적인 패터닝된 웨이퍼(501b 내지 503b)가 도시되지만, 이는 본 발명에 대하여 요구되지는 않는다. 이 대신에, 상이한 구성을 갖는 상이한 개수의 패터닝된 웨이퍼가 사용될 수 있다. 일부 실시예에서, 3개의 예시적인 패터닝된 웨이퍼(501b, 502b, 503b) 및 관련된 다층 특징부 스택(505b, 506b, 507b)이 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있는 제1 IEC 에칭 절차 세트를 이용하여 형성될 수 있다. 이 대신에, 다른 에칭 서브 시스템 및/또는 에칭 절차가 사용될 수 있다.
도 5b에서, 제1 입력 데이터 모델(580b)이 도시되고, 제1 입력 데이터 세트는 제1 입력 데이터 모델(580b)이 실행될 때 획득될 수 있다. 제1 입력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다.
도 5b에서, 제1 IE 선택 MIMO 모델(581b)이 도시되고, 제1 IEC 에칭 시퀀스가 제1 IE 선택 MIMO 모델(581b)을 이용하여 선택될 수 있고 제1 IE 선택 MIMO 모델(581b)은 운송 수단(590)을 이용하여 MV(Measured Variable) 데이터를 교환할 수 있고, 운송 수단(591)을 이용하여 DV(Disturbance Variable) 데이터를 교환할 수 있고, 운송 수단(592)을 이용하여 CV(Controlled Variable) 데이터를 교환할 수 있다. 예를 들어, 제1 IE 선택 MIMO 모델(581b)은 제1 IEC 에칭 시퀀스와 관련된 제1 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제1 IE 관련 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 IE 선택 MIMO 모델(581b)이 실행될 때 제1 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(501b) 및/또는 제2의 패터닝된 웨이퍼(502b)에 대한 제1 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(501b)에 대한 제1 IE 관련 라이브러리 데이터는, 제1 특징부 스택(505b)이 제1의 패터닝된 웨이퍼(501b)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IEC 에칭 시퀀스를 포함할 수 있다. 제2의 패터닝된 웨이퍼(502b)에 대한 제1 IE 관련 라이브러리 데이터는, 제2 특징부 스택(506b)이 이전에 형성된 제2의 패터닝된 웨이퍼(502b)에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IEC 에칭 시퀀스 데이터를 포함할 수 있다.
도 5bb에서, 기판층(510b), 타겟층(520b), 제3 하드 마스크층(530b), 제2 하드 마스크층(540b), FWC(feature-width control) 층(550b), 제1 하드 마스크층(560b) 및 패턴 소프트 마스크층(570b)을 구비하는 제1 특징부 스택(505b)을 포함하는 제1의 패터닝된 웨이퍼(501b)가 도시된다. 예를 들어, 기판층(510b)은 반도체 재료를 포함할 수 있고; 타겟층(520b)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(530b)은 TiN을 포함할 수 있고; 제2 하드 마스크층(540b)은 TEOS(Tetraethyl Orthosilicate)[Si(OC2H5)4]를 포함할 수 있고; FWC 층(550b)은 폭 제어 재료를 포함할 수 있고; 제1 하드 마스크층(560b)은 Si-ARC 재료를 포함할 수 있고; 소프트 마스크층(570b)은 포토레지스트와 같은 방사선 민감 재료를 포함할 수 있다.
소프트 마스크층(570b)는 복수의 소프트 마스크 특징부(575b)를 포함할 수 있고, 소프트 마스크 특징부(575b)는 특징부 폭(576b), 특징부 두께(577b) 및 SWA(sidewall angle)(578b)를 가질 수 있다. 예를 들어, 특징부 폭(576b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(577b)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(578b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
도 5b에서, 제1 IEC-MIMO 모델(582b)이 도시되고, 제1 IEC-MIMO 모델(582b)이 실행될 때, 선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 이용하여 수행될 수 있다. IEO 에칭 절차가 수행될 때, 하나 이상의 공정 파라미터 세트가 제1 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제1 IEC-MIMO 모델(582b)은, 다른 MIMO 모델(580b, 581b, 583b, 584b, 585b)과, 운송 수단(590)을 이용하여 제1 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제1 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제1 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 IEC-MIMO 모델(582b)은, 제1 IEC 에칭 시퀀스, 제1의 패터닝된 웨이퍼(501b) 및/또는 제2 패터닝된 웨이퍼(502b)와 관련된 제1 MV 공정 데이터, 제1 DV 공정 데이터 및 제1 CV 공정 데이터를 포함할 수 있다.
선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 포함할 때, IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제1 특징부 스택(505b)을 갖는 제1의 패터닝된 웨이퍼(501b)는 제1 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제2 특징부 스택(506b)을 갖는 제2의 패터닝된 웨이퍼(502b)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
일부 실시예에서, 제1 IEO 에칭 절차가 수행될 때, 제1의 패터닝된 웨이퍼(501b)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제1 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제1 IEO 에칭 절차가 수행될 수 있다.
제1 IEO 에칭 절차 동안, 제1 IEO 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제1 IEO 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제1 IEO 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제1 공정 데이터는 제1 IEO 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제1 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(551)에 대한 프로파일 데이터와 SWA 데이터 및/또는 에칭된 GWC 특징부(541)에 대한 프로파일 데이터에 종속적일 수 있다.
선택된 제1 IEC-에칭 시퀀스가 추가의 제1 IE 관련 절차를 포함할 때, 추가의 제1 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제1 IEC 에칭 시퀀스는 제1 하드 마스크층을 위한 제1 IEO 에칭 절차, FWC 층을 위한 제2 IEO 에칭 절차 및 제2 하드 마스크층을 위한 제3 IEO 에칭 절차를 포함할 수 있다. 예를 들어, 제1 IEO 에칭 절차는 Si-ARC 층 에칭 절차를 포함할 수 있고, 제2 IEO 에칭 절차는 폭 층 에칭 절차를 포함할 수 있고, 제3 IEO 에칭 절차는 TEOS 층 에칭 절차를 포함할 수 있다. 일부 예에서, 제1 IEC 에치 시퀀스는 애싱 절차, 세정 절차 및 또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제1 IEC 에칭 시퀀스는 IE 관련 계측 절차, IE 센서 웨이퍼 측정 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 5bb에서, 기판층(510b), 타겟층(520b), 제3 하드 마스크층(530b) 및 에칭된 제2 하드 마스크층(540ba)을 구비하는 제2 특징부 스택(506b)을 포함하는 제2의 패터닝된 웨이퍼(502b)가 도시된다. 예를 들어, 기판층(510b)은 반도체 재료를 포함할 수 있고; 타겟층(520b)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(530b)은 TiN을 포함할 수 있고; 에칭된 제2 하드 마스크층(540ba)은 TEOS 재료를 포함할 수 있다.
에칭된 제2 하드 마스크층(540ba)은 복수의 에칭된 제2 하드 마스크 특징부(545b)를 포함할 수 있고, 에칭된 제2 하드 마스크 특징부(540b)는 특징부 폭(546b), 특징부 두께(547b) 및 SWA(548b)를 가질 수 있다. 예를 들어, 특징부 폭(546b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(547b)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(548b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
제1 IEO 에칭 절차 동안, 제1의 패터닝된 웨이퍼(501b) 상의 소프트 마스크 특징부(575)의 패턴은 제2의 패터닝된 웨이퍼(502b) 상에 에칭된 제2 하드 마스크 특징부(545b)를 형성하는데 사용될 수 있다.
도 5b에서, 제2 IE 선택 MIMO 모델(583b)이 도시되고, 제2 IEC 에칭 시퀀스가 제2 IE 선택 MIMO 모델(583b)을 이용하여 선택될 수 있고 제2 IE 선택 MIMO 모델(582b)은 운송 수단(590)을 이용하여 제2 선택 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제2 선택 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제2 선택 CV 데이터를 생성하여 교환할 수 있다. 예를 들어, 제2 IE 선택 MIMO 모델(583b)은 제1 및 제2 IEC 에칭 시퀀스와 관련된 제2 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제2 IE 관련 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제2 IE 선택 MIMO 모델(583b)이 실행될 때, 제2 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(501a), 제2의 패터닝된 웨이퍼(502a) 및/또는 제3의 패터닝된 웨이퍼(503a)에 대한 제2 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(501b)에 대한 제2 IE 관련 라이브러리 데이터는, 제1 특징부 스택(505b)이 제1의 패터닝된 웨이퍼(501b)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제2 패터닝된 웨이퍼(502b)에 대한 제2 IE 관련 라이브러리 데이터는, 제2 특징부 스택(506b)이 이전에 형성된 제2의 패터닝된 웨이퍼(502a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제3 패터닝된 웨이퍼(503b)에 대한 제2 IE 관련 라이브러리 데이터는, 제3 특징부 스택(507b)이 이전에 형성된 제3의 패터닝된 웨이퍼(503b) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제3 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다.
도 5b에서, 제2 IEC-MIMO 모델(584b)이 도시되고, 제2 IEC-MIMO 모델(584b)이 실행될 때, 선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 이용하여 수행될 수 있다. 제2 IEO 에칭 절차가 수행될 때, 하나 이상의 제2 공정 파라미터 세트가 제2 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제2 IEC-MIMO 모델(584b)은, 다른 MIMO 모델(581b, 582b, 583b, 585b)과, 운송 수단(590)을 이용하여 제2 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제2 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제2 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제2 IEC-MIMO 모델(584b)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 제2 공정 데이터 및/또는 IE 센서 데이터를 형성 및/또는 사용할 수 있고, 제2 공정 데이터 및/또는 IE 센서 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
선택된 제2 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 포함할 때, 제2 IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제2 특징부 스택(506b)을 갖는 제2의 패터닝된 웨이퍼(502b)는 제2 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제3 특징부 스택(507b)을 갖는 제3의 패터닝된 웨이퍼(503b)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
도 5bb에서, 기판층(510b), 타겟층(520b) 및 에칭된 제3 하드 마스크층(530ba)을 구비하는 제3 특징부 스택(507b)을 포함하는 제3의 패터닝된 웨이퍼(503b)가 도시된다. 예를 들어, 기판층(510b)은 반도체 재료를 포함할 수 있고; 타겟층(520b)은 HfO2를 포함할 수 있고; 에칭된 제3 하드 마스크층(530ba)은 에칭된 TiN 재료를 포함할 수 있다. 제2 IEC 에칭 시퀀스 동안, 제2의 패터닝된 웨이퍼(502b) 상의 에칭된 제2 하드 마스크 특징부(545b)의 패턴은 제3의 패터닝된 웨이퍼(503a) 상에 에칭된 제3 하드 마스크 특징부(535b)의 패턴을 형성하는데 사용될 수 있다.
에칭된 제3 하드 마스크층(530ba)은 복수의 에칭된 제3 하드 마스크 특징부(535b)를 포함할 수 있고, 에칭된 제3 하드 마스크 특징부(535b)는 특징부 폭(536b), 특징부 두께(537b) 및 SWA(538b)를 가질 수 있다. 예를 들어, 에칭된 제2 하드 마스크 특징부 폭(536b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(537b)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, SWA(538b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
에칭된 제3 하드 마스크층(530ba)은 복수의 이전에 에칭된 제3 하드 마스크 특징부(535a)를 포함할 수 있고, 이전에 에칭된 제3 하드 마스크 특징부(536a)는 특징부 폭(536a), 특징부 두께(537a) 및 특징부 SWA(538a)를 가질 수 있다. 예를 들어, 이전에 에칭된 제3 하드 마스크 특징부 폭(536a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(537a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, 특징부 SWA(538a)는 대략 87도 내지 대략 95도에서 가변될 수 있다. 또한, 제1 분리 거리(539b)가 이전에 에칭된 제3 하드 마스크 특징부(535a)와 에칭된 제3 하드 마스크 특징부(535b) 사이에 확립될 수 있고, 제1 분리 거리(539b)는 대략 10nm 내지 대략 200nm에서 가변될 수 있다.
일부 실시예에서, 제2 IEO 에칭 절차가 수행될 때, 제2의 패터닝된 웨이퍼(502b)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제2 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제2 IEO 에칭 절차가 수행될 수 있다.
제2 IEO 에칭 절차 동안, 제2 IE 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제2 IE 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제2 IE 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제2 공정 데이터는 제2 IE 관련 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제2 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(575b)에 대한 처리 파라미터 및/또는 프로파일 데이터에 종속적일 수 있다.
선택된 제2 IEC-에칭 시퀀스가 추가의 제2 IE 관련 절차를 포함할 때, 추가의 제2 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제2 IEC 에칭 시퀀스는 제3 하드 마스크층 에칭 절차를 포함할 수 있다. 예를 들어, 제2 IEC 에칭 시퀀스는 TiN 층 에칭 절차를 포함할 수 있다. 또한, 일부 예에서, 제2 IEC 에칭 시퀀스는 애싱 절차, 세정 절차 및/또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제2 IEC 에칭 시퀀스는 IE 관련 계측 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 5b에서, 제1 출력 데이터 모델(585b)이 도시되고, 제1 출력 데이터 세트는 제1 출력 데이터 모델(585b)이 실행될 때 분석될 수 있다. 제1 출력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다. 예를 들어, 제1 출력 데이터 모델(585b)은, 다른 MIMO 모델(580b, 581b, 582b, 583b, 584b)과, 운송 수단(590)을 이용하여 제3 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(591)을 이용하여 제3 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(592)을 이용하여 제3 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 출력 데이터 모델(585b)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 공정 데이터 및/또는 IE 센서 데이터를 분석할 수 있고, 분석된 공정 데이터 및/또는 분석된 IE 센서 데이터는 운송 수단(590, 591 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 출력 데이터 모델(585b)이 실행될 때, 업데이트 절차가 제1 및/또는 제2 IEC 에칭 시퀀스에 대하여 수행될 수 있다. 예를 들어, 업데이트 절차는 제1 및/또는 제2 공정 파라미터, IE 데이터 및 공정 데이터를 업데이트하도록 수행될 수 있다. 또한, 업데이트 절차는 제1 및/또는 제2 IE 관련 라이브러리 데이터를 업데이트하도록 수행될 수 있다. 제1 출력 데이터 모델(585b)은, 다른 MIMO 모델(580b, 581b, 582b, 583b, 584b)과, 운송 수단(590)을 이용하여 업데이트된 IE 에칭 MV 데이터를 교환할 수 있고, 운송 수단(591)을 이용하여 업데이트된 IE 에칭 DV 데이터를 교환할 수 있고, 운송 수단(592)을 이용하여 업데이트된 IE 에칭 CV 데이터를 교환할 수 있다. 공정 개발 동안, DOE 기술이 모델(580b 내지 585b)의 예비 세트를 검사하고 감소된 MIMO 모델 세트를 개발하는데 사용될 수 있다.
일부 실시예에서, 제2 IE 에칭 시퀀스는 "BR(break through)" 에칭 절차, ME(Main-Etch) 에칭 절차, OE(Over-Etch) 에칭 절차 및 TiN(Titanium-Nitride) 에칭 절차를 포함할 수 있는 하나 이상의 IEO 에칭 절차를 포함할 수 있다. 이 대신에, 다른 에칭, 애싱 또는 세정 절차가 사용될 수 있다.
도 6a 및 6aa는 본 발명의 실시예에 따라 제1의 2중 패터닝 게이트 구조를 형성하는 제1 IE 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다. 예시된 실시예에서, 상부에 예시적인 게이트 스택(605a 내지 607a)을 갖는 3개의 예시적인 패터닝된 웨이퍼(601a 내지 603a)가 도시되지만, 이는 본 발명에 대하여 요구되지는 않는다. 이 대신에, 상이한 구성을 갖는 상이한 개수의 패터닝된 웨이퍼가 사용될 수 있다. 일부 실시예에서, 3개의 예시적인 패터닝된 웨이퍼(601a, 602a, 603a)와 관련된 다층 특징부 스택(605a, 606a, 607a)이 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있는 IEO 에칭 절차를 포함할 수 있는 제1 IEC 에칭 절차 세트를 이용하여 형성될 수 있다. 이 대신에, 다른 에칭 서브 시스템 및/또는 에칭 절차가 사용될 수 있다.
도 6a에서, 제1 입력 데이터 모델(680a)이 도시되고, 제1 입력 데이터 세트는 제1 입력 데이터 모델(680a)이 실행될 때 획득될 수 있다. 제1 입력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다.
도 6a에서, 제1 IE 선택 MIMO 모델(681a)이 도시되고, 제1 IEC 에칭 시퀀스가 제1 IE 선택 MIMO 모델(681a)을 이용하여 선택될 수 있고 제1 IE 선택 MIMO 모델(681a)은 운송 수단(690)을 이용하여 MV(Measured Variable) 데이터를 교환할 수 있고, 운송 수단(691)을 이용하여 DV(Disturbance Variable) 데이터를 교환할 수 있고, 운송 수단(692)을 이용하여 CV(Controlled Variable) 데이터를 교환할 수 있다. 예를 들어, 제1 IE 선택 MIMO 모델(681a)은 제1 IEC 에칭 시퀀스와 관련된 제1 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제1 IE 관련 데이터는 운송 수단(690, 691 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 IE 선택 MIMO 모델(681a)이 실행될 때 제1 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(601a) 및/또는 제2의 패터닝된 웨이퍼(602a)에 대한 제1 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(601a)에 대한 제1 IE 관련 라이브러리 데이터는, 제1 특징부 스택(605a)이 제1의 패터닝된 웨이퍼(601a)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IEC 에칭 시퀀스를 포함할 수 있다. 제2의 패터닝된 웨이퍼(602a)에 대한 제1 IE 관련 라이브러리 데이터는, 제2 특징부 스택(605a)이 이전에 형성된 제2의 패터닝된 웨이퍼(602a)에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IEC 에칭 시퀀스를 포함할 수 있다.
도 6aa에서, 기판층(610a), 타겟층(620a), 제3 하드 마스크층(630a), 제2 하드 마스크층(640a), GWC(feature-width control) 층(650a), 제1 하드 마스크층(660a) 및 패턴 소프트 마스크층(670a)을 구비하는 제1 특징부 스택(605a)을 포함하는 제1의 패터닝된 웨이퍼(601a)가 도시된다. 예를 들어, 기판층(610a)은 반도체 재료를 포함할 수 있고; 타겟층(620a)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(630a)은 TiN을 포함할 수 있고; 제2 하드 마스크층(640a)은 TEOS(Tetraethyl Orthosilicate)[Si(OC2H5)4]를 포함할 수 있고; GWC 층(650a)은 폭 제어 재료를 포함할 수 있고; 제1 하드 마스크층(660a)은 Si-ARC 재료를 포함할 수 있고; 소프트 마스크층(670a)은 포토레지스트와 같은 방사선 민감 재료를 포함할 수 있다.
소프트 마스크층(670a)은 복수의 소프트 마스크 특징부(675a)를 포함할 수 있고, 소프트 마스크 특징부(675a)는 특징부 폭(676a), 특징부 두께(677a) 및 SWA(sidewall angle)(678a)를 가질 수 있다. 예를 들어, 특징부 폭(676a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(677a)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(678a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
도 6a에서, 제1 IEC-MIMO 모델(682a)이 도시되고, 제1 IEC-MIMO 모델(682a)이 실행될 때, 선택된 제1 IEO 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 이용하여 수행될 수 있다. IEO 에칭 절차가 수행될 때, 하나 이상의 공정 파라미터 세트가 제1 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제1 IEC-MIMO 모델(682a)은, 다른 MIMO 모델(681a, 683a, 684a, 685a)과, 운송 수단(690)을 이용하여 제1 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제1 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제1 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 IEC-MIMO 모델(682a)은, 제1 IEC 에칭 시퀀스, 제1의 패터닝된 웨이퍼(601a) 및/또는 제2의 패터닝된 웨이퍼(602)와 관련된 제1 MV 공정 데이터, 제1 DV 공정 데이터 및 제1 CV 공정 데이터를 포함할 수 있다.
선택된 제1 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 포함할 때, IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제1 게이트 스택(605a)을 갖는 제1의 패터닝된 웨이퍼(601a)는 제1 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제2 게이트 스택(606a)을 갖는 제2의 패터닝된 웨이퍼(602a)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
일부 실시예에서, 제1 IEO 에칭 절차가 수행될 때, 제1의 패터닝된 웨이퍼(601a)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제1 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제1 IEO 에칭 절차가 수행될 수 있다.
제1 IEO 에칭 절차 동안, 제1 IEO 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제1 IEO 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제1 IEO 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제1 공정 데이터는 제1 IEO 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제1 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(651)에 대한 프로파일 데이터와 SWA 데이터 및/또는 에칭된 GWC 특징부(641)에 대한 프로파일 데이터에 종속적일 수 있다.
선택된 제1 IEC-에칭 시퀀스가 추가의 제1 IE 관련 절차를 포함할 때, 추가의 제1 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제1 IEC 에칭 시퀀스는 제1 하드 마스크층을 위한 제1 IEO 에칭 절차, GWC 층을 위한 제2 IEO 에칭 절차 및 제2 하드 마스크층을 위한 제3 IEO 에칭 절차를 포함할 수 있다. 예를 들어, 제1 IEO 에칭 절차는 Si-ARC 층 에칭 절차를 포함할 수 있고, 제2 IEO 에칭 절차는 폭 층 에칭 절차를 포함할 수 있고, 제3 IEO 에칭 절차는 TEOS 층 에칭 절차를 포함할 수 있다. 일부 예에서, 제1 IEC 에치 시퀀스는 애싱 절차, 세정 절차 및 또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제1 IEO 에칭 절차는 IE 관련 계측 절차, IE 센서 웨이퍼 측정 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 6aa에서, 기판층(610a), 타겟층(620a), 제3 하드 마스크층(630a) 및 에칭된 제2 하드 마스크층(640aa)을 구비하는 제2 게이트 스택(606a)을 포함하는 제2의 패터닝된 웨이퍼(602a)가 도시된다. 예를 들어, 기판층(610a)은 반도체 재료를 포함할 수 있고; 타겟층(620a)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(630a)은 TiN을 포함할 수 있고; 에칭된 제2 하드 마스크층(640aa)은 TEOS 재료를 포함할 수 있다.
에칭된 제2 하드 마스크층(640aa)은 복수의 에칭된 제2 하드 마스크 특징부(645a)를 포함할 수 있고, 에칭된 제2 하드 마스크 특징부(645a)는 특징부 폭(646a), 특징부 두께(647a) 및 SWA(648a)를 가질 수 있다. 예를 들어, 특징부 폭(646a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(647a)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(648a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
제1 IEO 에칭 절차 동안, 제1의 패터닝된 웨이퍼(601a) 상의 소프트 마스크 특징부(675a)의 패턴은 제2의 패터닝된 웨이퍼(602a) 상의 에칭된 제2 하드 마스크 특징부(645a)를 형성하는데 사용될 수 있다.
도 6a에서, 제2 IE 선택 MIMO 모델(683a)이 도시되고, 제2 IEC 에칭 시퀀스가 제2 IE 선택 MIMO 모델(683a)를 이용하여 선택될 수 있고, 제2 IE 선택 MIMO 모델(683a)은 운송 수단(690)을 이용하여 제2 선택 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제2 선택 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제2 선택 CV 데이터를 생성하여 교환할 수 있다. 예를 들어, 제2 IE 선택 MIMO 모델(683a)은 제1 및 제2 IEC 에칭 시퀀스와 관련된 제2 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제2 IE 관련 데이터는 운송 수단(690, 691 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제2 IE 선택 MIMO 모델(683a)이 실행될 때, 제2 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(601a), 제2의 패터닝된 웨이퍼(602a) 및/또는 제3의 패터닝된 웨이퍼(603a)에 대한 제2 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(601a)에 대한 제2 IE 관련 라이브러리 데이터는, 제1 게이트 스택(605a)이 제1의 패터닝된 웨이퍼(601a)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IE 관련 에칭 시퀀스 테이터를 포함할 수 있다. 제2 패터닝된 웨이퍼(602a)에 대한 제2 IE 관련 라이브러리 데이터는, 제2 게이트 스택(606a)이 이전에 형성된 제2의 패터닝된 웨이퍼(602a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제3 패터닝된 웨이퍼(603a)에 대한 제2 IE 관련 라이브러리 데이터는, 제3 게이트 스택(607a)이 이전에 형성된 제3의 패터닝된 웨이퍼(603a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제3 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다.
도 6a에서, 제2 IEC-MIMO 모델(684a)이 도시되고, 제2 IEC-MIMO 모델(684a)이 실행될 때, 선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IE 에칭 절차를 이용하여 수행될 수 있다. 제2 IE 에칭 절차가 수행될 때, 하나 이상의 제2 공정 파라미터 세트가 제2 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제2 IEC-MIMO 모델(684a)은, 다른 MIMO 모델(681a, 682a, 683a, 685a)과, 운송 수단(690)을 이용하여 제2 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제2 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제2 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제2 IEC-MIMO 모델(684a)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 제2 공정 데이터 및/또는 IE 센서 데이터를 형성 및/또는 사용할 수 있고, 제2 공정 데이터 및/또는 IE 센서 데이터는 운송 수단(690, 691 및/또는 692)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 포함할 때, 제2 IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제2 게이트 스택(606a)을 갖는 제2의 패터닝된 웨이퍼(602a)는 제2 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제3 게이트 스택(607a)을 갖는 제3의 패터닝된 웨이퍼(603a)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
도 6aa에서, 기판층(610a), 에칭된 타겟층(620aa) 및 복수의 에칭된 제3 하드 마스크 구조부(635a)를 갖는 에칭된 제3 하드 마스크층(630aa)을 구비하는 제3 게이트 스택(607a)을 포함하는 제3의 패터닝된 웨이퍼(603a)가 도시된다. 예를 들어, 기판층(610a)은 반도체 재료를 포함할 수 있고; 타겟층(620a)은 HfO2를 포함할 수 있고; 에칭된 제3 하드 마스크층(630aa)은 에칭된 TiN 재료를 포함할 수 있다. 제2 IEC 에칭 시퀀스 동안, 제2의 패터닝된 웨이퍼(602a) 상의 에칭된 제2 하드 마스크 특징부(645a)의 패턴은 제3의 패터닝된 웨이퍼(603a) 상에 에칭된 제3 하드 마스크 특징부(635a)의 패턴과 에칭된 타겟층 구조(625a)를 형성하는데 사용될 수 있다.
에칭된 제3 하드 마스크층(630aa)은 복수의 에칭된 제3 하드 마스크 구조부(635a)를 포함할 수 있고, 에칭된 제3 하드 마스크 구조부(635a)는 구조부 폭(636a), 구조부 두께(637a) 및 구조부 SWA(638a)를 가질 수 있다. 예를 들어, 에칭된 제2 하드 마스크 구조부 폭(636a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 구조부 두께(637a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, SWA(638a)는 대략 87도 내지 대략 95도에서 가변될 수 있다. 또한, 에칭된 타겟층(620aa)은 복수의 에칭된 타겟층 구조부(625a)를 포함할 수 있고, 에칭된 타겟층 구조부(625a)는 구조부 폭(626a), 타겟 구조부 두께(627a) 및 타겟 구조부 SWA(628a)를 가질 수 있다. 예를 들어, 타겟 구조부 폭(626a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 구조부 두께(627a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, 타겟 구조부 SWA(628a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
일부 실시예에서, 제2 IEO 에칭 절차가 수행될 때, 제2의 패터닝된 웨이퍼(602a)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제2 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제2 IEO 에칭 절차가 수행될 수 있다.
제2 IEO 에칭 절차 동안, 제2 IE 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제2 IE 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제2 IE 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제2 공정 데이터는 제2 IE 관련 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제2 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(675a)에 대한 처리 파라미터 및/또는 프로파일 데이터에 종속적일 수 있다.
선택된 제2 IEC-에칭 시퀀스가 추가의 제2 IE 관련 절차를 포함할 때, 추가의 제2 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제2 IEC 에칭 시퀀스는 제3 하드 마스크층 에칭 절차를 포함할 수 있다. 예를 들어, 제2 IEC 에칭 시퀀스는 TiN 층 에칭 절차를 포함할 수 있다. 또한, 일부 예에서, 제2 IEC 에칭 시퀀스는 애싱 절차, 세정 절차 및/또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제2 IEC 에칭 시퀀스는 IE 관련 계측 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 6a에서, 제1 출력 데이터 모델(685a)이 도시되고, 제1 출력 데이터 세트는 제1 출력 데이터 모델(685a)이 실행될 때 분석될 수 있다. 제1 출력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다. 예를 들어, 제1 출력 데이터 모델(685a)은, 다른 MIMO 모델(680a, 681a, 682a, 683a, 684a)과, 운송 수단(690)을 이용하여 제3 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제3 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제3 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 출력 데이터 모델(685a)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 공정 데이터 및/또는 IE 센서 데이터를 분석할 수 있고, 분석된 공정 데이터 및/또는 분석된 IE 센서 데이터는 운송 수단(690, 691 및/또는 692)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 출력 데이터 모델(685a)이 실행될 때, 예를 들어, 업데이트 절차가 제1 및/또는 제2 IEC 에칭 시퀀스에 대하여 수행될 수 있다. 예를 들어, 업데이트 절차는 제1 및/또는 제2 공정 파라미터, IE 데이터 및 공정 데이터를 업데이트하도록 수행될 수 있다. 또한, 업데이트 절차는 제1 및/또는 제2 IE 관련 라이브러리 데이터를 업데이트하도록 수행될 수 있다. 제1 출력 데이터 모델(685a)은, 다른 MIMO 모델(680a, 681a, 682a, 683a, 684a)과, 운송 수단(690)을 이용하여 업데이트된 IE 에칭 MV 데이터를 교환할 수 있고, 운송 수단(691)을 이용하여 업데이트된 IE 에칭 DV 데이터를 교환할 수 있고, 운송 수단(692)을 이용하여 업데이트된 IE 에칭 CV 데이터를 교환할 수 있다. 공정 개발 동안, DOE 기술이 모델(680a 내지 585a)의 예비 세트를 검사하고 감소된 MIMO 모델 세트를 개발하는데 사용될 수 있다.
일부 실시예에서, 제2 IE 에칭 시퀀스는 "BR(break through)" 에칭 절차, ME(Main-Etch) 에칭 절차, OE(Over-Etch) 에칭 절차 및 TiN(Titanium-Nitride) 에칭 절차를 포함할 수 있는 하나 이상의 IEO 에칭 절차를 포함할 수 있다. 이 대신에, 다른 에칭, 애싱 또는 세정 절차가 사용될 수 있다.
도 6b 및 6bb는 본 발명의 실시예에 따라 제1의 2중 패터닝 특징부를 형성하는 제2 IE 관련 공정 시퀀스에 대한 예시적인 도면을 도시한다. 예시된 실시예에서, 상부에 예시적인 게이트 스택(605b 내지 607b)을 갖는 3개의 예시적인 패터닝된 웨이퍼(601b 내지 603b)가 도시되지만, 이는 본 발명에 대하여 요구되지는 않는다. 이 대신에, 상이한 구성을 갖는 상이한 개수의 패터닝된 웨이퍼가 사용될 수 있다. 일부 실시예에서, 3개의 예시적인 패터닝된 웨이퍼(601b, 602b, 603b) 및 관련된 다층 게이트 스택(605b, 606b, 607b)이 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있는 제1 IEC 에칭 절차 세트를 이용하여 형성될 수 있다. 이 대신에, 다른 에칭 서브 시스템 및/또는 에칭 절차가 사용될 수 있다.
도 6b에서, 제1 입력 데이터 모델(680b)이 도시되고, 제1 입력 데이터 세트는 제1 입력 데이터 모델(680b)이 실행될 때 획득될 수 있다. 제1 입력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다.
도 6b에서, 제1 IE 선택 MIMO 모델(681a)이 도시되고, 제1 IEC 에칭 시퀀스가 제1 IE 선택 MIMO 모델(681b)을 이용하여 선택될 수 있고 제1 IE 선택 MIMO 모델(681b)은 운송 수단(690)을 이용하여 MV(Measured Variable) 데이터를 교환할 수 있고, 운송 수단(691)을 이용하여 DV(Disturbance Variable) 데이터를 교환할 수 있고, 운송 수단(692)을 이용하여 CV(Controlled Variable) 데이터를 교환할 수 있다. 예를 들어, 제1 IE 선택 MIMO 모델(681b)은 제1 IEC 에칭 시퀀스와 관련된 제1 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제1 IE 관련 데이터는 운송 수단(690, 691 및/또는 592)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 IE 선택 MIMO 모델(681b)이 실행될 때 제1 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(601b) 및/또는 제2의 패터닝된 웨이퍼(602b)에 대한 제1 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(601b)에 대한 제1 IE 관련 라이브러리 데이터는, 제1 게이트 스택(605b)이 제1의 패터닝된 웨이퍼(601b)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IEC 에칭 시퀀스를 포함할 수 있다. 제2의 패터닝된 웨이퍼(602b)에 대한 제1 IE 관련 라이브러리 데이터는, 제2 게이트 스택(606b)이 이전에 형성된 제2의 패터닝된 웨이퍼(602b)에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IEC 에칭 시퀀스 데이터를 포함할 수 있다.
도 6bb에서, 기판층(610b), 타겟층(620b), 제3 하드 마스크층(630b), 제2 하드 마스크층(640b), GWC(gate-width control) 층(650b), 제1 하드 마스크층(660b) 및 패턴 소프트 마스크층(670b)을 구비하는 제1 게이트 스택(605b)을 포함하는 제1의 패터닝된 웨이퍼(601b)가 도시된다. 예를 들어, 기판층(610b)은 반도체 재료를 포함할 수 있고; 타겟층(620b)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(630b)은 TiN을 포함할 수 있고; 제2 하드 마스크층(640b)은 TEOS 재료를 포함할 수 있고; GWC 층(650b)은 폭 제어 재료를 포함할 수 있고; 제1 하드 마스크층(660b)은 Si-ARC 재료를 포함할 수 있고; 소프트 마스크층(670b)은 포토레지스트와 같은 방사선 민감 재료를 포함할 수 있다.
소프트 마스크층(670b)는 복수의 소프트 마스크 특징부(675b)를 포함할 수 있고, 소프트 마스크 특징부(675b)는 특징부 폭(676b), 특징부 두께(677b) 및 SWA(sidewall angle)(678b)를 가질 수 있다. 예를 들어, 특징부 폭(676b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(677b)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(678b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
도 6b에서, 제1 IEC-MIMO 모델(682b)이 도시되고, 제1 IEC-MIMO 모델(682b)이 실행될 때, 선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 이용하여 수행될 수 있다. IEO 에칭 절차가 수행될 때, 하나 이상의 공정 파라미터 세트가 제1 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제1 IEC-MIMO 모델(682b)은, 다른 MIMO 모델(680b, 681b, 683b, 684b, 685b)과, 운송 수단(690)을 이용하여 제1 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제1 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제1 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 IEC-MIMO 모델(682b)은, 제1 IEC 에칭 시퀀스, 제1의 패터닝된 웨이퍼(601b) 및/또는 제2 패터닝된 웨이퍼(602b)와 관련된 제1 MV 공정 데이터, 제1 DV 공정 데이터 및 제1 CV 공정 데이터를 포함할 수 있다.
선택된 제1 IEC 에칭 시퀀스가 하나 이상의 IEO 에칭 절차를 포함할 때, IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제1 게이트 스택(605b)을 갖는 제1의 패터닝된 웨이퍼(601b)는 제1 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제2 게이트 스택(606b)을 갖는 제2의 패터닝된 웨이퍼(602b)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
일부 실시예에서, 제1 IEO 에칭 절차가 수행될 때, 제1의 패터닝된 웨이퍼(601b)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제1 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제1 IEO 에칭 절차가 수행될 수 있다.
제1 IEO 에칭 절차 동안, 제1 IEO 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제1 IEO 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제1 IEO 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제1 공정 데이터는 제1 IEO 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제1 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(651)에 대한 프로파일 데이터와 SWA 데이터 및/또는 에칭된 GWC 특징부(641)에 대한 프로파일 데이터에 종속적일 수 있다.
선택된 제1 IEC-에칭 시퀀스가 추가의 제1 IE 관련 절차를 포함할 때, 추가의 제1 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제1 IEC 에칭 시퀀스는 제1 하드 마스크층을 위한 제1 IEO 에칭 절차, FWC 층을 위한 제2 IEO 에칭 절차 및 제2 하드 마스크층을 위한 제3 IEO 에칭 절차를 포함할 수 있다. 예를 들어, 제1 IEO 에칭 절차는 Si-ARC 층 에칭 절차를 포함할 수 있고, 제2 IEO 에칭 절차는 폭 층 에칭 절차를 포함할 수 있고, 제3 IEO 에칭 절차는 TEOS 층 에칭 절차를 포함할 수 있다. 일부 예에서, 제1 IEC 에치 시퀀스는 애싱 절차, 세정 절차 및 또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제1 IEC 에칭 시퀀스는 IE 관련 계측 절차, IE 센서 웨이퍼 측정 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 6bb에서, 기판층(610b), 타겟층(620b), 제3 하드 마스크층(630b) 및 에칭된 제2 하드 마스크층(640ba)을 구비하는 제2 게이트 스택(606b)을 포함하는 제2의 패터닝된 웨이퍼(602b)가 도시된다. 예를 들어, 기판층(610b)은 반도체 재료를 포함할 수 있고; 타겟층(620b)은 유전체 또는 금속 재료를 포함할 수 있고; 제3 하드 마스크층(630b)은 TiN을 포함할 수 있고; 에칭된 제2 하드 마스크층(640ba)은 TEOS 재료를 포함할 수 있다.
에칭된 제2 하드 마스크층(640ba)은 복수의 에칭된 제2 하드 마스크 특징부(645b)를 포함할 수 있고, 에칭된 제2 하드 마스크 특징부(640b)는 특징부 폭(646b), 특징부 두께(647b) 및 SWA(648b)를 가질 수 있다. 예를 들어, 특징부 폭(646b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 특징부 두께(647b)는 대략 20nm 내지 대략 4000nm에서 가변될 수 있고, SWA(648b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
제1 IEO 에칭 절차 동안, 제1의 패터닝된 웨이퍼(601b) 상의 소프트 마스크 특징부(675)의 패턴은 제2의 패터닝된 웨이퍼(602b) 상에 에칭된 제2 하드 마스크 특징부(645b)를 형성하는데 사용될 수 있다.
도 6b에서, 제2 IE 선택 MIMO 모델(683b)이 도시되고, 제2 IEC 에칭 시퀀스가 제2 IE 선택 MIMO 모델(683b)을 이용하여 선택될 수 있고 제2 IE 선택 MIMO 모델(682b)은 운송 수단(690)을 이용하여 제2 선택 MV 데이터를 형성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제2 선택 DV 데이터를 형성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제2 선택 CV 데이터를 형성하여 교환할 수 있다. 예를 들어, 제2 IE 선택 MIMO 모델(683b)은 제1 및 제2 IEC 에칭 시퀀스와 관련된 제2 IE 관련 데이터를 형성 및/또는 사용할 수 있고, 제2 IE 관련 데이터는 운송 수단(690, 691 및/또는 692)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제2 IE 선택 MIMO 모델(683b)이 실행될 때, 제2 IEC 에칭 시퀀스가 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)를 이용하여 선택될 수 있다. 일부 실시예에서, 컨트롤러(295 및/또는 395)는 제1의 패터닝된 웨이퍼(601a), 제2의 패터닝된 웨이퍼(602a) 및/또는 제3의 패터닝된 웨이퍼(603a)에 대한 제2 IE 관련 라이브러리 데이터를 이용할 수 있다. 제1의 패터닝된 웨이퍼(601b)에 대한 제2 IE 관련 라이브러리 데이터는, 제1 게이트 스택(605b)이 제1의 패터닝된 웨이퍼(601b)에 형성되고 있었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제2 패터닝된 웨이퍼(602b)에 대한 제2 IE 관련 라이브러리 데이터는, 제2 게이트 스택(606b)이 이전에 형성된 제2의 패터닝된 웨이퍼(602a) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제2 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다. 제3 패터닝된 웨이퍼(603b)에 대한 제2 IE 관련 라이브러리 데이터는, 제3 게이트 스택(607b)이 이전에 형성된 제3의 패터닝된 웨이퍼(603b) 상에 이전에 형성되었을 때, 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 234) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 334)를 이용하여 수집된 제3 이력 IE 관련 에칭 시퀀스 데이터를 포함할 수 있다.
도 6b에서, 제2 IEC-MIMO 모델(684b)이 도시되고, 제2 IEC-MIMO 모델(684b)이 실행될 때, 선택된 제2 IEC 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 이용하여 수행될 수 있다. 제2 IEO 에칭 절차가 수행될 때, 하나 이상의 제2 공정 파라미터 세트가 제2 IEC 에칭 시퀀스를 이용하여 결정될 수 있다. 예를 들어, 제2 IEC-MIMO 모델(684b)은, 다른 MIMO 모델(681b, 682b, 683b, 685b)과, 운송 수단(690)을 이용하여 제2 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제2 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제2 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제2 IEC-MIMO 모델(684b)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 제2 공정 데이터 및/또는 IE 센서 데이터를 형성 및/또는 사용할 수 있고, 제2 공정 데이터 및/또는 IE 센서 데이터는 운송 수단(690, 691 및/또는 692)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
선택된 제2 에칭 시퀀스가 하나 이상의 제2 IEO 에칭 절차를 포함할 때, 제2 IEO 에칭 절차는 도 2a 내지 2g 및 도 3a 내지 3g에서 설명된 하나 이상의 에칭 서브 시스템을 이용하여 수행될 수 있다. 일부 예에서, 상부에 복수의 제2 게이트 스택(606b)을 갖는 제2의 패터닝된 웨이퍼(602b)는 제2 IEC 에칭 시퀀스를 이용하여 에칭되어 상부에 복수의 제3 게이트 스택(607b)을 갖는 제3의 패터닝된 웨이퍼(603b)를 형성할 수 있다. 이 대신에 다른 패터닝된 웨이퍼가 형성될 수 있다.
도 6a에서, 기판측(610b), 내부에 복수의 에칭된 타겟 구조부(625b)를 갖는 에칭된 타겟층(620ba) 및 내부에 복수의 에칭된 제3 하드 마스크 구조(635b)를 갖는 에칭된 제3 하드 마스크층(630ba)을 구비하는 제3 게이트 스택(607b)을 포함하는 제3의 패터닝된 웨이퍼(603b)가 도시된다. 또한, 제3 패터닝된 웨이퍼(603b)는 상부에 복수의 이전에 형성된 에칭된 타겟 구조부(625a)와, 상부에 복수의 이전에 형성된 에칭된 제3 하드 마스크 구조부(635b)를 포함할 수 있다. 예를 들어, 기판층(610b)은 반도체 재료를 포함할 수 있고; 에칭된 타겟층(620ba)은 HfO2재료를 포함할 수 있고; 에칭된 제3 하드 마스크층(630ba)은 에칭된 TiN 재료를 포함할 수 있다. 제2 IEC 에칭 시퀀스 동안, 제2의 패터닝된 웨이퍼(602b) 상의 에칭된 제2 하드 마스크 특징부(645b)의 패턴은 제3의 패터닝된 웨이퍼(603a) 상에 에칭된 제3 하드 마스크 구조부(635b)의 패턴 및 에칭된 타겟 구조부(625b)의 패턴을 형성하는데 사용될 수 있다.
에칭된 제3 하드 마스크층(630ba)은 복수의 에칭된 제3 하드 마스크 구조부(635b)를 포함할 수 있고, 에칭된 제3 하드 마스크 구조부(645b)는 구조부 폭(636b), 구조부 두께(637b) 및 구조부 SWA(638b)를 가질 수 있다. 예를 들어, 에칭된 제3 하드 마스크 구조부 폭(636b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 구조부 두께(637b)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, SWA(638b)는 대략 87도 내지 대략 95도에서 가변될 수 있다. 또한, 에칭된 타겟층(620ba)은 복수의 에칭된 타겟층 구조부(625b)를 포함할 수 있고, 에칭된 타겟층 구조부(620b)는 타겟 구조부 폭(626b), 타겟 구조부 두께(627b) 및 타겟 구조부 SWA(628b)를 가질 수 있다. 예를 들어, 에칭된 타겟 구조부 폭(626b)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 타겟 구조부 두께(627b)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, 타겟 구조부 SWA(628b)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
에칭된 제3 하드 마스크층(630ba)은 복수의 이전에 에칭된 제3 하드 마스크 구조부(635a)를 포함할 수 있고, 이전에 에칭된 제3 하드 마스크 구조부(6356a)는 구조부 폭(636a), 구조부 두께(637a) 및 구조부 SWA(638a)를 가질 수 있다. 예를 들어, 이전에 에칭된 제3 하드 마스크 구조부 폭(636a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 구조부 두께(637a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, 구조부 SWA(638a)는 대략 87도 내지 대략 95도에서 가변될 수 있다. 또한, 에칭된 타겟층(620aa)은 복수의 이전에 에칭된 타겟층 구조부(625a)를 포함할 수 있고, 이전에 에칭된 타겟층 구조부(625a)는 이전에 에칭된 타겟 구조부 폭(626a), 타겟 구조부 두께(627a) 및 타겟 구조부 SWA(628a)를 가질 수 있다. 예를 들어, 이전에 에칭된 타겟 구조부 폭(626a)은 대략 10nm 내지 대략 200nm에서 가변될 수 있고, 타겟 구조부 두께(627a)는 대략 20nm 내지 대략 400nm에서 가변될 수 있고, 타겟 구조부 SWA(628a)는 대략 87도 내지 대략 95도에서 가변될 수 있다.
또한, 제1 분리 거리(629b)가 이전에 에칭된 타겟층 구조부(625b)와 에칭된 타겟 구조부(625b) 사이에 확립될 수 있고, 제1 분리 거리(629b)는 대략 10nm 내지 대략 200nm에서 가변될 수 있다. 제2 분리 거리(639b)가 이전에 에칭된 제3 하드 마스크 구조부(635b)와 에칭된 제3 하드 마스크 구조부 폭(636b) 사이에 확립될 수 있고, 제2 분리 거리(639b)는 대략 10nm 내지 대략 200nm에서 가변될 수 있다.
일부 실시예에서, 제2 IEO 에칭 절차가 수행될 때, 제2의 패터닝된 웨이퍼(602b)가 웨이퍼 홀더(도 2a 내지 2g에 도시된 220) 및/또는 웨이퍼 홀더(도 3a 내지 3g에 도시된 320) 상에 위치 설정될 수 있고, 제2 IEO 플라즈마가 IEC 공정 챔버(도 2a 내지 2g에 도시된 210) 및/또는 IEC 공정 챔버(도 3a 내지 3g에 도시된 310)에 형성될 수 있고, 제2 IEO 에칭 절차가 수행될 수 있다.
제2 IEO 에칭 절차 동안, 제2 IE 에칭 센서 데이터는 하나 이상의 IE 센서(도 2a 내지 2g에 도시된 223 및/또는 224) 및/또는 IE 센서(도 3a 내지 3g에 도시된 323 및/또는 324)를 이용하여 수집될 수 있고, 컨트롤러(도 2a 내지 2g에 도시된 295) 및/또는 컨트롤러(도 3a 내지 3g에 도시된 395)는 제2 IE 에칭 센서 데이터를 이력 IE 센서 데이터에 비교할 수 있고; 제2 IE 에칭 센서 데이터를 저장할 수 있다. 예를 들어, 제2 공정 데이터는 제2 IE 관련 에칭 절차 동안 공정 센서(도 2a 내지 2g에 도시된 236) 및/또는 공정 센서(도 3a 내지 3g에 도시된 336)를 이용하여 수집될 수 있다. 또한, 제2 IEO 에칭 절차를 위한 레시피는 소프트 마스크 특징부(675b)에 대한 처리 파라미터 및/또는 프로파일 데이터에 종속적일 수 있다.
선택된 제2 IEC-에칭 시퀀스가 추가의 제2 IE 관련 절차를 포함할 때, 추가의 제2 IE 관련 절차가 도 1에 도시된 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)을 이용하여 수행될 수 있다.
일부 실시예에서, 제2 IEC 에칭 시퀀스는 제3 하드 마스크층 에칭 절차를 포함할 수 있다. 예를 들어, 제2 IEC 에칭 시퀀스는 TiN 층 에칭 절차를 포함할 수 있다. 또한, 일부 예에서, 제2 IEC 에칭 시퀀스는 애싱 절차, 세정 절차 및/또는 CMP 절차를 포함할 수 있다. 다른 예에서, 제2 IEC 에칭 시퀀스는 IE 관련 계측 절차 및/또는 IE 관련 검사 절차를 포함할 수 있다.
도 6b에서, 제1 출력 데이터 모델(685b)이 도시되고, 제1 출력 데이터 세트는 제1 출력 데이터 모델(685b)이 실행될 때 분석될 수 있다. 제1 출력 데이터는 실시간 및/또는 이력 IE 관련 데이터를 포함할 수 있다. 예를 들어, 제1 출력 데이터 모델(685b)은, 다른 MIMO 모델(680a, 681a, 682a, 683a, 684b)과, 운송 수단(690)을 이용하여 제3 IEC 에칭 MV 데이터를 생성하여 교환할 수 있고, 운송 수단(691)을 이용하여 제3 IEC 에칭 DV 데이터를 생성하여 교환할 수 있고, 운송 수단(692)을 이용하여 제3 IEC 에칭 CV 데이터를 생성하여 교환할 수 있다. 또한, 제1 출력 데이터 모델(685b)은, 제1 및/또는 제2 IEC 에칭 시퀀스와 관련된 공정 데이터 및/또는 IE 센서 데이터를 분석할 수 있고, 분석된 공정 데이터 및/또는 분석된 IE 센서 데이터는 운송 수단(690, 691 및/또는 692)을 이용하여 피드 포워드 및/또는 피드백될 수 있다.
제1 출력 데이터 모델(685b)이 실행될 때, 예를 들어, 업데이트 절차가 제1 및/또는 제2 IEC 에칭 시퀀스에 대하여 수행될 수 있다. 예를 들어, 업데이트 절차는 제1 및/또는 제2 공정 파라미터, IE 데이터 및 공정 데이터를 업데이트하도록 수행될 수 있다. 또한, 업데이트 절차는 제1 및/또는 제2 IE 관련 라이브러리 데이터를 업데이트하도록 수행될 수 있다. 제1 출력 데이터 모델(685b)은, 다른 MIMO 모델(680b, 681b, 682b, 683b, 684b)과, 운송 수단(690)을 이용하여 업데이트된 IE 에칭 MV 데이터를 교환할 수 있고, 운송 수단(691)을 이용하여 업데이트된 IE 에칭 DV 데이터를 교환할 수 있고, 운송 수단(692)을 이용하여 업데이트된 IE 에칭 CV 데이터를 교환할 수 있다. 공정 개발 동안, DOE 기술이 모델(680b 내지 685b)의 예비 세트를 검사하고 감소된 MIMO 모델 세트를 개발하는데 사용될 수 있다.
일부 실시예에서, 제2 IE 에칭 시퀀스는 "BR(break through)" 에칭 절차, ME(Main-Etch) 에칭 절차, OE(Over-Etch) 에칭 절차 및 TiN(Titanium-Nitride) 에칭 절차를 포함할 수 있는 하나 이상의 IEO 에칭 절차를 포함할 수 있다. 이 대신에, 다른 에칭, 애싱 또는 세정 절차가 사용될 수 있다.
IEO 하드 마스크(SiARC) 에칭 절차 동안, 챔버 압력은 대략 12 mT 내지 대략 18 mT의 범위에 있을 수 있다; 상부 전력은 대략 450 와트 내지 대략 550 와트의 범위에 있을 수 있다; 하부 전력은 대략 90 와트 내지 대략 110 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; CF4(Tetrafluoromethane)) 유량은 대략 60 sccm 내지 대략 100 sccm의 범위에 있을 수 있다; CHF3(Carbon Hydro-Trifluoride) 유량은 대략 40 sccm 내지 대략 60 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 10도 내지 대략 섭씨 30도의 범위에 있을 수 있다; 웨이퍼 홀더의 중심에서의 온도는 대략 섭씨 12도 내지 대략 섭씨 20도의 범위에 있을 수 있다; 웨이퍼 홀더의 에지에서의 온도는 대략 섭씨 8도 내지 대략 섭씨 12도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 15 Torr 내지 대략 25 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 27 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 60초 내지 대략 90초의 범위에 있을 수 있다.
IEO 폭 제어(GWL 또는 FWL) 에칭 절차 동안, 챔버 압력은 대략 15 mT 내지 대략 25 mT의 범위에 있을 수 있다; 상부 전력은 대략 450 와트 내지 대략 550 와트의 범위에 있을 수 있다; 하부 전력은 대략 90 와트 내지 대략 110 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; O2 유량은 대략 30 sccm 내지 대략 50 sccm의 범위에 있을 수 있다; CO2 유량은 대략 70 sccm 내지 대략 90 sccm의 범위에 있을 수 있다; HBr 유량은 대략 25 sccm 내지 대략 35 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 10도 내지 대략 섭씨 30도의 범위에 있을 수 있다; 웨이퍼 홀더의 중심에서의 온도는 대략 섭씨 12도 내지 대략 섭씨 20도의 범위에 있을 수 있다; 웨이퍼 홀더의 에지에서의 온도는 대략 섭씨 8도 내지 대략 섭씨 12도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 15 Torr 내지 대략 25 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 27 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 90초 내지 대략 130초의 범위에 있을 수 있다.
IEO TEOS 층 에칭 절차 동안, 챔버 압력은 대략 35 mT 내지 대략 45 mT의 범위에 있을 수 있다; 상부 전력은 대략 550 와트 내지 대략 650 와트의 범위에 있을 수 있다; 하부 전력은 대략 90 와트 내지 대략 110 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; CF4 유량은 대략 40 sccm 내지 대략 60 sccm의 범위에 있을 수 있다; CHF3 유량은 대략 40 sccm 내지 대략 60 sccm의 범위에 있을 수 있다; O2 유량은 대략 3 sccm 내지 대략 7 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 30도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 30도 내지 대략 섭씨 50도의 범위에 있을 수 있다; 웨이퍼 홀더의 중심에서의 온도는 대략 섭씨 25도 내지 대략 섭씨 35도의 범위에 있을 수 있다; 웨이퍼 홀더의 에지에서의 온도는 대략 섭씨 8도 내지 대략 섭씨 12도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 15 Torr 내지 대략 25 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 27 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 50초 내지 대략 90초의 범위에 있을 수 있다.
IEO TEOS OE 에칭 절차 동안, 챔버 압력은 대략 35 mT 내지 대략 45 mT의 범위에 있을 수 있다; 상부 전력은 대략 550 와트 내지 대략 650 와트의 범위에 있을 수 있다; 하부 전력은 대략 90 와트 내지 대략 110 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; CF4 유량은 대략 40 sccm 내지 대략 60 sccm의 범위에 있을 수 있다; CHF3 유량은 대략 40 sccm 내지 대략 60 sccm의 범위에 있을 수 있다; O2 유량은 대략 3 sccm 내지 대략 7 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 30도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 30도 내지 대략 섭씨 50도의 범위에 있을 수 있다; 웨이퍼 홀더의 중심에서의 온도는 대략 섭씨 25도 내지 대략 섭씨 35도의 범위에 있을 수 있다; 웨이퍼 홀더의 에지에서의 온도는 대략 섭씨 8도 내지 대략 섭씨 12도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 15 Torr 내지 대략 25 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 27 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 5초 내지 대략 10초의 범위에 있을 수 있다.
IEO BT 에칭 절차 동안, 챔버 압력은 대략 8 mT 내지 대략 12 mT의 범위에 있을 수 있다; 상부 전력은 대략 600 와트 내지 대략 700 와트의 범위에 있을 수 있다; 하부 전력은 대략 175 와트 내지 대략 200 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; CF4 유량은 대략 120 sccm 내지 대략 150 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 10도 내지 대략 섭씨 30도의 범위에 있을 수 있다; 웨이퍼 홀더의 온도는 대략 섭씨 60도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 5초 내지 대략 15초의 범위에 있을 수 있다.
IEO ME 에칭 절차 동안, 챔버 압력은 대략 8 mT 내지 대략 12 mT의 범위에 있을 수 있다; 상부 전력은 대략 120 와트 내지 대략 150 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; O2 유량은 대략 2 sccm 내지 대략 6 sccm의 범위에 있을 수 있다; HBr 유량은 대략 220 sccm 내지 대략 280 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 10도 내지 대략 섭씨 30도의 범위에 있을 수 있다; 웨이퍼 홀더의 온도는 대략 섭씨 60도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 50초 내지 대략 70초의 범위에 있을 수 있다.
IEO OE 에칭 절차 동안, 챔버 압력은 대략 8 mT 내지 대략 12 mT의 범위에 있을 수 있다; 상부 전력은 대략 120 와트 내지 대략 150 와트의 범위에 있을 수 있다; 하부 전력은 대략 20 와트 내지 대략 40 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; O2 유량은 대략 2 sccm 내지 대략 6 sccm의 범위에 있을 수 있다; HBr 유량은 대략 220 sccm 내지 대략 280 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 60도 내지 대략 섭씨 80도의 범위에 있을 수 있다; 웨이퍼 홀더의 온도는 대략 섭씨 60도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 20초 내지 대략 30초의 범위에 있을 수 있다.
IEO TiN 에칭 절차 동안, 챔버 압력은 대략 8 mT 내지 대략 12 mT의 범위에 있을 수 있다; 상부 전력은 대략 180 와트 내지 대략 220 와트의 범위에 있을 수 있다; 하부 전력은 대략 40 와트 내지 대략 60 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; Cl2(Chlorine) 유량은 대략 12 sccm 내지 대략 18 sccm의 범위에 있을 수 있다; Ar 유량은 대략 180 sccm 내지 대략 220 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 60도 내지 대략 섭씨 80도의 범위에 있을 수 있다; 웨이퍼 홀더의 온도는 대략 섭씨 60도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 8 Torr 내지 대략 12 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 50초 내지 대략 80초의 범위에 있을 수 있다.
IEO HK 에칭 절차 동안, HK 챔버 압력은 대략 8 mT 내지 대략 12 mT의 범위에 있을 수 있다; 상부 전력은 대략 550 와트 내지 대략 650 와트의 범위에 있을 수 있다; ESC 전압은 대략 500V로 설정될 수 있다; BCl3(Boron Trichloride) 유량은 대략 120 sccm 내지 대략 180 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 40도 내지 대략 섭씨 60도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 60도 내지 대략 섭씨 80도의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 30초 내지 대략 40초의 범위에 있을 수 있다.
IEO IEO 애싱(ashing) 절차 동안, 챔버 압력은 대략 125 mT 내지 대략 175 mT의 범위에 있을 수 있다; 상부 전력은 대략 350 와트 내지 대략 450 와트의 범위에 있을 수 있다; 하부 전력은 대략 20 와트 내지 대략 30 와트의 범위에 있을 수 있다; ESC 전압은 대략 2500V로 설정될 수 있다; O2 유량은 대략 430 sccm 내지 대략 470 sccm의 범위에 있을 수 있다; 상부 챔버 온도는 대략 섭씨 30도 내지 대략 섭씨 90도의 범위에 있을 수 있다; 챔버 벽 온도는 대략 섭씨 50도 내지 대략 섭씨 70도의 범위에 있을 수 있다; 하부 챔버 온도는 대략 섭씨 70도 내지 대략 섭씨 80도의 범위에 있을 수 있다; 웨이퍼 홀더의 중심의 온도는 대략 섭씨 70도 내지 대략 섭씨 80도의 범위에 있을 수 있다; 웨이퍼 홀더의 에지의 온도는 대략 섭씨 8도 내지 대략 섭씨 12도의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 중심 배면 압력은 대략 15 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 웨이퍼 홀더에 대한 에지 배면 압력은 대략 27 Torr 내지 대략 33 Torr의 범위에 있을 수 있다; 그리고, 처리 시간은 대략 150초 내지 대략 210초의 범위에 있을 수 있다.
IE-MIMO 모델 개발 동안, IE 에칭 MIMO에서 실제로 사용된 피드 포워드 및 피드백 경로(675, 680 및 685)의 수가 최적화될 수 있다. DOE 기술은 IE 에칭 MIMO 모델(660 내지 666)을 형성하고 그리고/또는 검사하는데, 감소된 피드 포워드 및/또는 피드백 경로/변수 세트를 개발하는데 사용될 수 있다. 4개의 예시적인 패터닝된 웨이퍼(601 내지 604) 중 하나 이상과, IE 에칭 MIMO 모델 중 하나 이상이 모델 개발 및 DOE 절차 동안 사용될 수 있다. 4개의 예시적인 패터닝된 웨이퍼(601 내지 604) 중 하나 이상 및 IE 에칭 MIMO 모델(660 내지 666) 중 하나 이상이 모델 개발 및 DOE 절차 동안 사용될 수 있다. 4개의 예시적인 패터닝된 웨이퍼(601 내지 604) 중 하나 이상에 대한 레시피 데이터 및/또는 공정 데이터와 하나 이상의 IE 에칭 MIMO 모델(660 내지 666)에 대한 모델링 데이터는 라이브러리에 저장되어 IE 에칭 MIMO 모델링 절차 동안 사용될 수 있다.
도 7은 본 발명의 실시예에 따른 2부분 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델에 대한 예시적인 블록도를 도시한다.
제1 IE 에칭(IE1) 시퀀스와 관련될 수 있고, 제1 조작 변수(manipulated variable) 세트(MV(1a) 내지 MV(na)), 제1 외란 변수(disturbance variable) 세트(DV(1a) 내지 DV(na)) 및 제1 제어 변수(controlled variable) 세트(CV(1a) 내지 CV(na))를 포함하는 제1의 일반화된 IEC-MIMO 모델(710)이 도시된다. IEC-MIMO 모델(710)과 관련될 수 있는 8개의 조작 변수(MV1a 내지 MV8a)를 포함하는 제1 세트의 예시적인 MV(711)가 도시된다. 이 대신에, 다른 개수의 다른 조작 변수가 제1 IEC-MIMO 모델(710)과 관련될 수 있다. IEC-MIMO 모델(710)과 관련될 수 있는 6개의 외란 변수(DV1a 내지 DV6a)를 포함하는 제1 세트의 예시적인 DV(712)가 도시된다. 이 대신에, 다른 개수의 다른 외란 변수가 제1 IEC-MIMO 모델(710)과 관련될 수 있다. IEC-MIMO 모델(710)과 관련될 수 있는 6개의 제어 변수(CV1a 내지 CV6a)를 포함하는 제1 세트의 예시적인 CV(713)가 도시된다. 이 대신에, 다른 개수의 다른 제어 변수가 제1 IEC-MIMO 모델(710)과 관련될 수 있다. 또한, 제1 IEC-MIMO 모델(710)과 관련될 수 있는 제1의 예시적인 수식 세트(715)가 도시된다. 이 대신에, 다른 수식이 제1 IEC-MIMO 모델(710)과 관련될 수 있다.
제2 IE 에칭(IE2) 시퀀스와 관련될 수 있고, 제1 조작 변수 세트(MV(1b) 내지 MV(nb)), 제2 외란 변수 세트(DV(1b) 내지 DV(nb)) 및 제2 제어 변수 세트(CV(1b) 내지 CV(nb))를 포함하는 제2의 일반화된 IEC-MIMO 모델(720)이 도시된다. 제2 IEC-MIMO 모델(720)과 관련될 수 있는 8개의 조작 변수(MV1b 내지 MV8b)를 포함하는 제2 세트의 예시적인 MV(721)가 도시된다. 이 대신에, 다른 개수의 다른 조작 변수가 제2 IEC-MIMO 모델(720)과 관련될 수 있다. 제2 IEC-MIMO 모델(720)과 관련될 수 있는 6개의 외란 변수(DV1b 내지 DV6b)를 포함하는 제2 세트의 예시적인 DV(722)가 도시된다. 이 대신에, 다른 개수의 다른 외란 변수가 제2 IEC-MIMO 모델(720)과 관련될 수 있다. 제2 IEC-MIMO 모델(720)과 관련될 수 있는 6개의 제어 변수(CV1b 내지 CV6b)를 포함하는 제2 세트의 예시적인 CV(723)가 도시된다. 이 대신에, 다른 개수의 다른 제어 변수가 제2 IEC-MIMO 모델(720)과 관련될 수 있다. 또한, 제2 IEC-MIMO 모델(720)과 관련될 수 있는 제2의 예시적인 수식 세트(725)가 도시된다. 이 대신에, 다른 수식이 제2 IEC-MIMO 모델(720)과 관련될 수 있다.
제1 IEC-MIMO 모델(710)과 관련된 하나 이상의 변수(711, 712, 713)는 제2 IEC-MIMO 모델(720)에 피드 포워드될 수 있고, 제2 IEC-MIMO 모델(720)과 관련된 하나 이상의 변수(721, 722, 723)는 제1 IEC-MIMO 모델(710)에 피드 포워드될 수 있다.
도 8은 본 발명의 실시예에 따라 IEC(Ion Energy Controlled)를 위하여 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델을 개발하는 절차에 대한 예시적인 흐름도를 도시한다. 예시된 실시예에서, 다수의 단계를 갖는 절차(900)가 도시된다. 이 대신에, 상이한 개수의 단계들이 사용될 수 있다.
810에서, 하나 이상의 IEC(Ion Energy Controlled) 에칭 시퀀스가 IEC-MIMO 모델링 분석 절차를 위한 후보로서 식별될 수 있다. 일부 예에서, 하나 이상의 패터닝된 웨이퍼(도 5aa의 501a, 502a, 503a, 도 5bb의 501b, 50ba, 503b, 도 6aa의 도 5aa의 601a, 602a, 603a, 도 6bb의 601b, 602b, 603b)를 형성하기 위하여, 하나 이상의 IEC 에칭 시퀀스 및 관련된 IEC-MIMO 모델이 확립된다.
815에서, 제1 제어 출력 변수 세트(CV) 및 CV와 관련된 범위가 결정된다. 하나 이상의 CV는 최종 사용자 또는 고객에 의해 특정될 수 있다. CV는, 도 5aa에 도시된 하나 이상의 특징부 스택(505a, 506a, 507a), 도 5bb에 도시된 하나 이상의 특징부 스택(505b, 506b, 507b), 도 6aa에 도시된 하나 이상의 게이트 스택(605a, 606a, 607a) 및 도 6bb에 도시된 하나 이상의 게이트 스택(605b, 606b, 607b)과 관련된 하나 이상의 CD(Critical Dimension) 및/또는 측벽 각도를 포함할 수 있다. 일부 예에서, 제1 및 제2 IEC 에칭 시퀀스는 pFET 장치, nFET 장치, Tri-게이트 장치 및 FinFET 장치를 형성하기 위하여 수행될 수 있다.
820에서, 제1 후보 세트가 하나 이상의 후보 IEO(Ion Energy Optimized) 에칭 절차/레시피를 이용하여 IEC-MIMO와 관련된 조작 변수(MV)에 대하여 결정될 수 있다. MV는 WiW 조작 변수(WiW-MV)를 포함할 수 있고, WiW-MV는 웨이퍼가 처리되고 있는 동안 제어될 수 있는 "빠른" MV를 포함할 수 있다. MV는 W2W 조작 변수(W2W-MV)를 포함할 수 있고, W2W-MV는 웨이퍼 롯트가 처리될 때 제어될 수 있는 "느린" MV를 포함할 수 있다. MV에 대한 범위는 후보 레시피에서 각 단계에 대하여 검사될 수 있다.
제1 응답 시간을 갖는 2구역 웨이퍼 홀더가 사용될 때, 웨이퍼 홀더에 대한 중심 온도와 에지 온도는 (WiW-MV)로서 사용될 수 있고, 단계별 기반으로 변경될 수 있다. 빠른 응답 시간을 갖는 RF 소스가 스플리트 상부 전극 및 전력 스플리터와 함께 사용될 때, 플라즈마를 위한 중심 RF 전력 및 에지 RF 전력이 (WiW-MV)로서 사용될 수 있고, 단계별 기반으로 변경될 수 있다. 저온 칠러(섭씨 -10도)가 사용될 때, 중심에서 에지로 더 큰 온도차가 있을 수 있다. 또한, 압력, 시간 및 가스 흐름이 MV로서 사용될 수 있다.
외란 변수(DV)는 중심 및 에지에서의 제1 입력부(IF1)에 대한 CD 및 SWA 값, 중심 및 에지에서의 제어층 CD 및 SWA, 중심 및 에지에서의 특징부 두께, 상이한 층의 화학적 특성 및 에칭 속도 특성, 챔버에서의 메인터넌스 이벤트, 챔버간 데이터, 입력 IE 값 및 다른 스택 데이터를 포함할 수 있다.
825에서, DOE(Desing of Experiment) 절차가 IEC 에칭 시퀀스 및/또는 IE-MIMO 모델을 분석하기 위하여 수행될 수 있다. DOE 웨이퍼로부터의 IE 센서 웨이퍼 및/또는 IE 센서 데이터를 이용하여, IEC 및/또는 IEO 관련 실험이 MV를 각 CV에 연결할 수 있는 통계 모델을 구축하도록 수행될 수 있다. 실험의 횟수가 증가할 때, 더욱 정확한 모델이 획득될 수 있지만, 추가 재료 및 시간의 비용으로 획득될 수 있다. 따라서, 비용 및 가용성은 IE 센서 웨이퍼를 이용하여 수행되는 시퀀스의 횟수 및/또는 IEC 처리 챔버에서 처리되는 DOE 웨이퍼의 개수를 제한할 수 있다. DOE 절차에 대한 임계 인자는 예측된 모델의 포맷이다. 하나 이상의 모델 유형이 선택될 수 있고, 범위는 CV 및/또는 MV에 대하여 제공될 수 있고, JMP®(SAS Institute의 통계 소프트웨어)와 같은 통계 소프트웨어가 하나 이상의 DOE 테이블을 구축하는데 사용될 수 있다. DOE 데이터는 제1, 제2 및/또는 제3 IEC 에칭 시퀀스와 관련될 수 있는 후보 MV, CV 및 DV를 구축하는데 사용될 수 있다. 다른 분석 절차에서, 다른 MV, CV 및 DV가 사용될 수 있다. 일부 실시예에서, 에칭 챔버 및 IM 챔버에 대한 챔버 상태 데이터가 조작 변수로서 사용될 수 있다. 이 대신에, 공정 모델이 챔버 상태가 웨이퍼 및/또는 슬롯 사이에서 안정적인 것을 가정할 수 있다.
일부 예에서, IEC 에칭 시퀀스는 Si-ARC 층 에칭 절차, GWC 층 에칭 절차, TEOS 층 에칭 절차, TEOS 과 OE(Over-Etch) 에칭 절차 및 애싱 절차를 포함할 수 있는 하나 이상의 IEO 에칭 절차를 포함할 수 있다. 다른 예에서, IEC 에칭 시퀀스는 "BT(Break-Through)" 에칭 절차, ME(main etch) 에칭 절차, OE(OverEtch) 에칭 절치, TiN(Titanuim-Nitride) 에칭 절차 및 HK 에칭 절차를 포함할 수 있다. IEC 에칭 시퀀스에 대하여 획득된 DOE 데이터는 IE 센서 데이터, 공정 센서 데이터 및 IE 센서 웨이퍼 데이터를 포함할 수 있다.
830에서, 하나 이상의 DOE 테이블을 채우는데 필요한 제1 IEC 에칭 시퀀스 및/또는 제2 IEC 에칭 시퀀스를 수행한 후에, 2차 항 및 상호 작용 항(interaction term)을 갖는 비선형 모델이 최소 제곱 기술 및 통계 소프트웨어를 이용하여 생성될 수 있다. 일부 모델에서, 관련된 매우 작은 계수를 갖는 항들은 삭제될 수 있다.
835에서, 하나 이상의 서형 이득 행렬이 DOE 데이터를 이용하여 생성될 수 있다. 예를 들어, RGA(Relative Gain Array) 행렬이, i = 1, 2, ......, n 및 i = 1, 2, ......, n에 대하여,
Figure 112013097660141-pct00001
를 이용하여 생성될 수 있다. 부호
Figure 112013097660141-pct00002
는 일정하게 유지되는 MV j 를 제외한 모든 조작 변수로 계산되는 편미분을 나타내고, 이 항은 CV j MV j 사이의 개방 루프 이득이다. 또한, 부호
Figure 112013097660141-pct00003
는 모든 제어 루프가 닫힐 때 MV j CV j 의 효과를 나타내는 폐쇄 루프 이득으로서 이해될 수 있다.
비정방(non-square) 행렬이 획득될 때, MV 또는 CV의 일부가 정방 행렬을 생성하기 위하여 제거될 수 있다. 또한, CV보다 더 많은 MV가 있을 때, 비정방 행렬은 비정방 RGA(NRGA(non-linear RGA))를 이용하여 분석될 수 있다. 예를 들어,
Figure 112013097660141-pct00004
이고, 의사 역행렬 G+가, 정상 역행렬 G-1 대신에 사용된다. NRGA는 정방 시스템(square system)의 선택을 위하여 여러 기준을 제공하지만, 그 기준은 일부 비정방 시스템에서 항상 유효하지 않고, 따라서 서브 시스템의 정방 페어링(square paring)의 모든 조합이 고려될 수 있다. 하나의 서브 시스템을 다른 RGA 페어링 규칙에 비교하는 것이 측정 기준으로서 사용될 수 있다. 이는 최상의 정방 행렬에 대하여 비교될 수 있는 서브 컴비네이션을 생성할 수 있다.
840에서, 하나 이상의 RGA가 하나 이상의 선형 이득 행렬(G)를 이용하여 계산될 수 있다. 예를 들어, 정방 행렬이 사용될 때,
Figure 112013097660141-pct00005
이고, G는 이득 행렬이며, G-1은 이득 역행렬이다.
845에서, RGA에서의 페어링 규칙이 MV 및 CV의 최상의 조합을 조사하기 위하여 사용될 수 있다. RGA 분석이 측정된 모델 파라미터 선택을 위하여 사용될 수 있고, 합이 1에 가장 가깝도록 CV-MV 쌍이 선택될 수 있다. 또한, 음의 요소에서의 페어링이 방지될 수 있다. 또한, RGA 분석이 다수의 후보 모델을 결정하고 최상의 케이스 해결책을 식별하는데 사용될 수 있다. MV보다 많은 CV가 있는 경우에, RGA 분석이 최상의 제어 가능한 CV를 선택하는데 사용될 수 있다(MV에 대한 CV의 민감도 분석).
850에서, 시스템 안정성 및 컨디셔닝이 결정될 수 있다. 예를 들어, 니데르린스키 안정도 이론(Niederlinski Stability Theorem)은,
Figure 112013097660141-pct00006
이라면 대각(diagonal) 페어링으로부터의 폐쇄 루프 시스템이 안정적이지 않다는 것을 나타내고, 여기에서, NST는 니데르린스키 지수(Niederlinski Index)이고, g ii 는 이득 행렬의 대각 요소이다. 또한, 조건 수(CN(condition number))가 최대 특이값 및 최소 특이값 사이의 비를 이용하여 결정될 수 있다. RGA 분석에 관한 추가 정보는 75 내지 86 페이지 및 431 내지 449 페이지가 본 명세서에 참조로서 편입되는 Sigurd Skogestad와 Postlethwaite의 "Multivariable Feedback Control: Analysis and Design"(ISBN 978047001168-3)에서 찾아볼 수 있다. 예를 들어, CN이 50보다 더 클 때, 시스템은 거의 특이(singular)하며, 열악한 제어 성능을 가질 것이다.
855에서, IEC-MIMO 모델은 실제 설비 및/또는 성능 제한 사항(constraint)을 이용하여 최적화될 수 있다. 일부 예에서, 측정 위치가 성능을 최적화하기 위하여 검사되고 선택될 수 있고, 다수의 사전 및/또는 사후 측정 절차가 성능을 최적화하기 위하여 구축될 수 있고, 다중 챔버 시퀀스가 쓰루풋을 최적화하기 위하여 검사될 수 있다. 피드백은 EWMA 필터를 튜닝함으로써 최적화될 수 있다. MV에 대한 시정수가 결정될 수 있고, 이의 업데이트 빈도가 롯트간(L2L(Lot-to-Lot)), W2W, WiW 및 공정 단계값에 기초할 수 있다. 또한, 공정 중심 지점, CV 중심 지점 및 MV 중심 지점이 성능을 최적화하기 위하여 검사될 수 있다. 이력 데이터가 시뮬레이션을 수행하는데 사용될 수 있다.
웨이퍼는 반도체 재료, 탄소 재료, 유전체 재료, 유리 재료, 세라믹 재료, 금속 재료, 산화된 재료, 마스크 재료 또는 평탄화 재료나, 이들의 조합을 포함하 수 있다.
다른 실시예에서, 하나 이상의 IE 센서 웨이퍼가 IEC-MIMO 모델을 검증하고 그리고/또는 IEC 에칭 시퀀스를 검증하기 위하여 처리될 수 있다. IEC 에칭 시퀀스 또는 IEC MIMO 모델이 검증될 때, 하나 이상의 검증된 금속-게이트 구조가 테스트 웨이퍼 상에 형성될 수 있고, 테스트 웨이퍼가 검사될 때, 테스트 레퍼런스 주기성 구조가 사용될 수 있다. 검사 동안, 검사 데이터가 테스트 레퍼런스 주기성 구조로부터 획득될 수 있다. 최상의 예측 구조 및 관련된 최상 예측 데이터가 검증된 게이트 구조, 타겟 특징부 및 관련된 데이터를 포함하는 IEC-MIMO 라이브러리로부터 선택될 수 있다. 하나 이상의 차이가 테스트 레퍼런스 주기성 구조와, 라이브러리로부터의 최상의 예측 구조 사이에서 계산될 수 있고, 이 차이는 매칭 기준, 생성 기준 또는 제품 요건이나, 이들의 임의의 조합에 비교될 수 있다. 매칭 기준이 사용되면, 테스트 레퍼런스 주기성 구조는 IEC-MIMO 라이브러리의 요소로서 식별될 수 있으며, 테스트 웨이퍼는, 매칭 기준이 만족되거나 초과된다면, 레퍼런스 "골든(golden)" 웨이퍼로서 식별될 수 있다. 형성 기준이 사용될 때, 테스트 레퍼런스 주기성 구조는 다수의 IEC-MIMO 라이브러리의 새로운 요소로서 식별될 수 있으며, 테스트 웨이퍼는, 형성 기준이 만족되된다면, 검증된 레퍼런스 웨이퍼로서 식별될 수 있다. 제품 요건 데이터가 사용되면, 테스트 레퍼런스 주기성 구조는 검증된 구조로서 식별될 수 있으며, 테스트 웨이퍼는, 하나 이상의 제품 요건이 만족되면, 검증된 제품 웨이퍼로서 식별될 수 있다. 하나 이상의 기준 또는 제품 요건이 만족되지 않는다면, 보정 동작이 적용될 수 있다. IEC-MIMO 신뢰성 데이터 및/또는 위험 데이터가 테스트 레퍼런스 구조 데이터 및 최상의 예측 구조 데이터를 이용하여 테스트 레퍼런스 구조에 대하여 구축될 수 있다. 예를 들어, MIMO 평가 라이브러리 데이터는 GOF(goodness of fit) 데이터, 형성 규칙 데이터, 측정 데이터, 검사 데이터, 검증 데이터, 맵 데이터, 신뢰성 데이터, 정확성 데이터, 공정 데이터 또는 균일성 데이터나, 이들의 임의의 조합을 포함할 수 있다.
게이트 관련 구조 및/또는 타겟 특징 구조가 생산되고 그리고/또는 검사될 때, 정확성 및/또는 허용 오차 한계가 사용될 수 있다. 이러한 한계들이 정확하지 않을 때, 개선 절차가 수행될 수 있다. 이 대신에, 다른 절차가 수행될 수 있거나, 다른 사이트가 사용될 수 있거나, 다른 웨이퍼가 사용될 수 있다. 개선 절차가 사용될 때, 개선 절차는, 바이리니어(bilinear) 개선, 라그랑제(Lagrange) 개선, 3차 스플라인(Cubic Spline) 개선, 에이트킨(Aitken) 개선, 가중 평균 개선, 다중 정방(multi-quadratic) 개선, 바이큐빅(bi-cubic) 개선, 튜란(Turran) 개선, 웨이블릿(wavelet) 개선, 베셀(Bessel) 개선, 에버렛(Everett) 개선, 유한 차분 개선, 가우스(Gauss) 개선, 에르미트(Hermite) 개선, 뉴턴의 제차분(Divided Difference) 개선, 접촉(osculating) 개선 또는 티엘(Tiele)의 개선 알고리즘이나, 이들의 조합을 활용할 수 있다.
도 9는 본 발명의 실시예에 따라 IE 관련 처리 시퀀스를 이용하여 웨이퍼를 처리하는 방법에 대한 간략화된 흐름도를 도시한다.
910에서, 제1의 패터닝된 웨이퍼(501a 또는 601a) 세트 및 관련된 IE(Ion Energy) 데이터를 처리 시스템이 공급받을 수 있고, 각 패터닝된 웨이퍼(501a 또는 601a)는 제1 패터닝된 소프트 마스크층(507a 또는 607a)과 복수의 추가층을 포함할 수 있다. 제1의 패터닝된 소프트 마스크층(507a 또는 607a)은 복수의 게이트 관련 소프트 마스크 특징부와 적어도 하나의 제1 주기성 평가 구조(미도시)를 포함할 수 있다. 웨이퍼 데이터는 제1의 패터닝된 소프트 마스크층에서 적어도 하나의 주기성 구조에 대하여 실시간 IM(integrated metology) 데이터를 포함할 수 있다.
915에서, 패터닝된 웨이퍼가 제1의 패터닝된 웨이퍼 세트로부터 선택될 수 있다.
920에서, 제1 IE(Ion Energy) 관련 공정 시퀀스가 IE 데이터를 이용하여 선택된 패터닝된 웨이퍼에 대하여 설정될 수 있다.
925에서, 제1 IE 관련 공정 시퀀스가 제1 IEC(Ion Energy Controlled) 에칭 시퀀스를 포함하는지 판단하기 위하여 제1 질의가 수행될 수 있다. 제1 IE 관련 공정 시퀀스가 제1 IEC 에칭 시퀀스를 포함하면, 도 9에 도시된 바와 같이, 절차(900)는 930으로 분기되어 계속된다. 제1 IE 관련 공정 시퀀스가 제1 IEC 에칭 시퀀스를 포함하지 않으면, 도 9에 도시된 바와 같이, 절차(900)는 935로 분기되어 계속된다.
930에서, 제1 IE 관련 공정이 제1 IEC 에칭 시퀀스를 포함할 때 제1 IEC 에칭 시퀀스가 수행될 수 있고, 제1 IEC 에칭 시퀀스가 제1의 패터닝된 웨이퍼 세트를 이용하여 수행될 때 제2의 패터닝된 웨이퍼 세트가 생성될 수 있다.
935에서, 제1 IE 관련 공정 시퀀스가 제1 IEC 에칭 시퀀스를 포함하지 않을 때 적어도 하나의 보정 동작이 수행될 수 있다.
일부 실시예에서, 절차(900)는 940에서 종료할 수 있다.
다른 실시예에서, 제1 IEC 에칭 시퀀스가 제1 IEO 에칭 절차를 포함하는지 판단하기 위하여 다른 질의가 수행될 수 있고, 제1 IEC 에칭 시퀀스가 제1 IEO 에칭 절차를 포함할 때 제1 IEO 에칭 절차가 수행될 수 있다. 예를 들어, 제1 IEO 에칭 절차는 내부에 구성된 제1 IEC 공정 챔버와, 결합된 제1 MIMO 컨트롤러를 갖는 제1 에칭 서브 시스템을 이용할 수 있다. 또한, IEC 에칭 시퀀스가 제1 IEO 에칭 절차를 포함하지 않을 때 제1 보정 동작이 수행될 수 있다.
제1 IEC 에칭 시퀀스가 제2 IEO 에칭 절차를 포함할 때, 제2 IEO 에칭 절차가 수행될 수 있다. 예를 들어, 제2 IEO 에칭 절차는 내부에 구성된 제2 IEC 공정 챔버와, 결합된 제2 MIMO 컨트롤러를 갖는 제2 에칭 서브 시스템을 이용할 수 있다. 또한, IEC 에칭 시퀀스가 제2 IEO 에칭 절차를 포함하지 않을 때 제1 확인 절차가 수행될 수 있다.
일부 예에서, 제2 IEO 에칭 절차를 수행하는 단계는, 제1의 에칭되고 패터닝된 웨이퍼로부터 에칭되고 패터닝된 웨이퍼를 선택하는 단계; 선택된 에칭되고 패터닝된 웨이퍼를 제2 IEC 공정 챔버 내의 제2 웨이퍼 홀더에 위치 설정하는 단계; 제2 IEC 공정 챔버 내에 제2 IEO 플라즈마를 생성하는 단계; 제2 IEO 플라즈마를 이용하여 선택된 에칭되고 패터닝된 웨이퍼를 처리하는 단계; 및 선택된 에칭되고 패터닝된 웨이퍼가 처리되는 동안 제2 IE 센서 데이터를 획득하는 단계를 포함하고, 제2 IE 센서는 제2 IEC 공정 챔버에 결합되어 제2 IE 센서 데이터를 획득하도록 구성된다.
일부 실시예에서, 본 발명의 방법은, 제1 IE 관련 공정 시퀀스가 제2 IEC 에칭 시퀀스를 포함하는지 판단하는 단계; 제1 IE 관련 공정 시퀀스가 제2 IEC 에칭 시퀀스를 포함할 때, 제2 IEC 에칭 시퀀스를 수행하는 단계; 및 제1 IE 관련 공정 시퀀스가 제2 IEC 에칭 시퀀스를 포함하지 않을 때, 확인 절차를 수행하는 단계를 더 포함할 수 있다. 예를 들어, 제1 IEC 에칭 시퀀스 및 제2 IEC 에칭 시퀀스가 제1의 패터닝된 웨이퍼 세트를 이용하여 수행될 때, 제3의 패터닝된 웨이퍼 세트가 형성될 수 있다.
제1 IEC 에칭 시퀀스가 새로운 제1 IEO 에칭 절차를 포함하는지 판단하기 위하여 하나 이상의 질의가 수행될 수 있다. 새로운 제1 IEO 에칭 절차가 수행될 때, 새로운 제1 IEO 에칭 절차는 내부에 구성된 새로운 제1 IEC 공정 챔버와, 결합된 새로운 제1 MIMO 컨트롤러를 갖는 새로운 제1 에칭 서브 시스템을 이용할 수 있다. IEC 에칭 시퀀스가 새로운 제1 IEO 에칭 절차를 포함하지 않을 때, 새로운 제1 확인 절차가 수행될 수 있다.
또한, 새로운 제1 IEO 에칭 절차를 수행하는 단계는, 패터닝된 웨이퍼 세트로부터 새로운 패터닝된 웨이퍼를 선택하는 단계; 새로 선택된 패터닝된 웨이퍼를 새로운 IEC 공정 챔버 내의 새로운 웨이퍼 홀더에 위치 설정하는 단계; 새로운 IEC 공정 챔버 내에 새로운 IEO 플라즈마를 생성하는 단계; 새로운 IEO 플라즈마를 이용하여 새로 선택된 패터닝된 웨이퍼를 처리하는 단계; 및 새로 선택된 패터닝된 웨이퍼가 처리되는 동안, 새로운 IE 센서 데이터를 획득하는 단계를 포함하고, 새로운 IE 센서는 새로운 IEC 공정 챔버에 결합되어 새로운 IE 센서 데이터를 획득하도록 구성된다.
제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함하는지 판단하기 위한 다른 질의가 수행될 수 있고, 새로운 제2 IEO 에칭 절차는 제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함할 때 수행될 수 있다. 예를 들어, 새로운 제2 IEO 에칭 절차는 내부에 구성된 새로운 제2 IEC 공정 챔버와, 결합된 새로운 제2 MIMO 컨트롤러를 갖는 새로운 제2 에칭 서브 시스템을 이용할 수 있다. 새로운 제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함하지 않을 때, 새로운 제2 확인 절차를 수행될 수 있다.
제2 IEO 에칭 절차가 수행될 때, 에칭된 제2의 패터닝된 웨이퍼가 에칭된 제2의 패터닝된 웨이퍼의 제1 세트로부터 선택될 수 있다; 선택된 에칭된 제2의 패터닝된 웨이퍼는 새로운 제2 IEC 공정 챔버 내의 새로운 제2 웨이퍼 홀더에 위치 설정될 수 있다; 새로운 제2 IEC 공정 챔버 내에 새로운 제2 IEO 플라즈마가 생성될 수 있다; 선택된 에칭된 제2의 패터닝된 웨이퍼는 새로운 제2 IEO 플라즈마를 이용하여 처리될 수 있다; 그리고, 선택된 에칭된 제2의 패터닝된 웨이퍼가 처리되는 동안, 새로운 제2 IE 센서 데이터가 획득될 수 있다. 예를 들어, 새로운 제2 IE 센서가 새로운 제2 IEC 공정 챔버에 결합될 수 있고, 새로운 제2 IE 센서 데이터를 획득하도록 구성될 수 있다.
다른 실시예에서, 제1 IE 관련 데이터 공정 시퀀스가 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스를 포함하는지 판단하기 위하여 적어도 하나의 추가 질의가 수행될 수 있다. 제1 IE 관련 데이터 공정 시퀀스가 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스를 포함할 때, 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스가 수행될 수 있다. 예를 들어, 제1의 패터닝된 웨이퍼 세트를 이용하여 제1 IEC 에칭 시퀀스, 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스가 수행될 때, 제4의 패터닝된 웨이퍼 세트가 생성될 수 있다. 제1 IE 관련 데이터 공정 시퀀스가 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스를 포함하지 않을 때 하나 이상의 확인 절차가 수행될 수 있다.
제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함할 때, 새로운 제2 IEO 에칭 절차가 내부에 구성된 새로운 IEC 공정 챔버와, 결합된 새로운 제2 MIMO 컨트롤러를 갖는 새로운 제2 에칭 서브 시스템을 이용하여 새로운 제2 IEO 에칭 절차가 수행될 수 있다. 제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함하지 않을 때, 새로운 제2 확인 절차가 수행될 수 있다.
제3 IEC 에칭 시퀀스가 새로운 제3 IEO 에칭 절차를 포함할 때, 새로운 제3 IEO 에칭 절차는 내부에 구성된 새로운 제3 IEC 공정 챔버와, 결합된 새로운 제3 MIMO 컨트롤러를 갖는 새로운 제3 에칭 서브 시스템을 이용할 수 있다. 제3 IEC 에칭 시퀀스가 새로운 제3 IEO 에칭 절차를 포함하지 않을 때, 새로운 제3 확인 절차가 수행될 수 있다.
또 다른 실시예에서, 본 발명의 방법은, 제1 IEC-MIMO 모델을 이용하여 제1 IEC 에칭 시퀀스에 대한 제1 시뮬레이션 데이터를 생성하는 단계 더 포함할 수 있다. 예를 들어, 제1 IEC-MIMO 모델은, 제1 개수(Na)의 제1 제어 변수(CV1a, CV2a, ... CVNa), 제1 개수(Ma)의 제1 조작 변수(MV1a, MV2a, ... MVMa) 및 제1 개수(La)의 제1 외란 변수(DV1a, DV2a, ... DVLa)를 포함할 수 있고, La, Ma 및 Na는 1보다 큰 정수이다. IE 센서 데이터가 제1 IEC 에칭 시퀀스 획득될 수 있다; 제1 차이 데이터가 제1 시뮬레이션 데이터를 제1 IE 센서 데이터에 비교하여 구축될 수 있다; 제1 차이 데이터가 제1 임계 데이터 이하일 때, 제1 IEC 에칭 시퀀스가 검증될 수 있다; 그리고, 제1 차이 데이터가 제1 IEC 임계 데이터보다 클 때, 제1 시뮬레이션 데이터 및/또는 제1 IE 센서 데이터가 저장될 수 있다.
또한, 제2 시뮬레이션 데이터가 제2 IEC 에칭 시퀀스에 대한 제2 IEC-MIMO 모델을 이용하여 제2 IEC 에칭 시퀀스에 대하여 생성될 수 있다. 제2 IEC-MIMO 모델은, 제2 개수(Nb)의 제2 제어 변수(CV1b, CV2b, ... CVNb), 제2 개수(Mb)의 제2 조작 변수(MV1b, MV2b, ... MVMb) 및 제2 개수(Lb)의 제2 외란 변수(DV1b, DV2b, ... DVLb)를 포함할 수 있고, Lb, Mb 및 Nb는 1보다 큰 정수이다.
평가 데이터가 패터닝된 웨이퍼((도 5aa의 501a, 502a, 503a), (도 5bb의 501b, 50ba, 503b), (도 6aa의 도 5aa의 601a, 602a, 603a) 또는 (도 6bb의 601b, 602b, 603b)) 세트 중 적어도 하나에 대하여 획득될 때, 평가 데이터가 하나 이상의 한계 내에 있는지 판단하기 위하여 하나 이상의 질의가 수행될 수 있다. 평가 데이터가 하나 이상의 한계 내에 있을 때, 패터닝된 웨이퍼 세트는 검증된 웨이퍼로서 식별될 수 있다. 평가 데이터가 하나 이상의 한계 내에 있지 않을 때, 하나 이상의 보정 동작이 수행될 수 있다.
또한, 제3 시뮬레이션 데이터가 제3 IEC 에칭 시퀀스에 대한 제3 IEC-MIMO 모델을 이용하여 제3 IEC 에칭 시퀀스에 대하여 생성될 수 있다. 제3 IEC-MIMO 모델은, 제3 개수(Nc)의 제3 제어 변수(CV1c, CV2c, ... CVNc), 제3 개수(Mc)의 제3 조작 변수(MV1c, MV2c, ... MVMc) 및 제3 개수(Lc)의 제3 외란 변수(DV1c, DV2c, ... DVLc)를 포함할 수 있고, Lc, Mc 및 Nc는 1보다 큰 정수이다.
IE 관련 데이터가 수집될 때, 다수의 검증 웨이퍼 및/또는 IE 센서 웨이퍼가 사용될 수 있고, 후보 외란 변수가 식별될 수 있다. 데이터 수집 동안, 하나 이상의 CV와 관련된 변동이 최소화될 수 있고, 수집된 데이터가 시뮬레이션을 위하여 사용될 수 있다. 시뮬레이션은 생산에 사용되는 IEO 에칭 절차와 동일한 시퀀스를 실행할 수 있다. 예를 들어, 하나 이상의 처리된 웨이퍼가 통합 계측 챔버에서 측정될 수 있고, IM 데이터가 각 인커밍 웨이퍼 상의 패터닝된 마스킹층에서의 여러 사이트로부터의 CD 및 SWA 데이터를 포함할 수 있다. 또한, IE 센서 데이터, 공정 센서 데이터 및/또는 다른 센서 데이터가 수신되어 분석될 수 있다. 각각의 트랜지스터 구조가 에칭 프로파일 제어 요구에 관련될 수 있는 일부 변동을 가질 수 있기 때문에, 격자 밀도(grating density) 및 트랜지스터 유형은 가장 중요한 칩 레벨 성능 기준에 상관되도록 선택되어야만 한다(P 또는 N 채널 트랜지스터 타입과 같이).
도 10은 본 발명의 실시예에 따른 IE(Ion Energy) 센서 웨이퍼에 대한 예시적인 블록도를 도시한다. 예시된 실시예에서, IE 센서 웨이퍼(1000)의 간략화된 상면도가 도시된다. IE 센서 웨이퍼(1000)는 대략 300 mm의 제1 직경(1001)을 가질 수 있다. 이 대신에, 직경(1001)은 더 작거나 더 클 수 있다.
IE 센서 웨이퍼(1000)는, IE 센서 웨이퍼(1000) 내의 하나 이상의 제1 위치에 구성된 하나 이상의 이온 에너지 분석기(1010)를 포함할 수 있다. 예를 들어, IE 센서 웨이퍼(1000)와 이를 사용하는 방법은, 2010년 8월 17일 발행되고 발명의 명칭이 "Two-Grid Ion Energy Analyzer and Methods of Manufacturing and Operating"인 Chen 등의 미국 등록 특허 제7,777,179호에 설명된 바와 같을 수 있고, 이 특허는 본 명세서에 전문이 참조로서 편입된다. 또한, 발명의 명칭이 "Ion Energy Analyzer and Methods of Manufacturing and Operating"이고 공동 계류 중인 미국 특허 가출원 제61/XXXXXX호(대리인 도켓 번호 TEA-051PROV)는 추가 정보를 제공하고, 이 가출원은 본 명세서에 전문이 참조로서 편입된다. 이온 에너지 분석기(1010)의 상면도가 도시되고, 이온 에너지 분석기(1010)는 제2 직경(1011)을 갖는 적어도 하나의 원형 개구를 포함할 수 있다. 제2 직경(1011)은 대략 10 nm 내지 대략 50 nm에서 가변될 수 있다.
컨트롤러(1050)가 도 10에 도시되고, 신호 버스(1055)가 컨트롤러(1050)를 IE 센서 웨이퍼(1000)에 전기적으로 결합하는데 사용될 수 있다. 예를 들어, 컨트롤러(1050)는 신호 버스(1055)를 이용하여 하나 이상의 이온 에너지 분석기(1010)와 IE 관련 데이터를 교환할 수 있다.
일부 실시예에서, 이온 에너지 분석기(1010)는 플라즈마에 담그진 RF(radio frequency) 바이어스된 웨이퍼/기판에 입사하는 이온의 이온 에너지 분포(IED(ion energy distribution))를 판단하는데 사용될 수 있다. 이온 에너지 분석기(1010)는 플라즈마에 노출된 입구 그리드(미도시), 입구 그리드에 근접하게 배치된 전자 거부(rejection) 그리드(미도시) 및 전자 거부 그리드에 근접하게 배치된 이온 전류 수집기(collector)(미도시)를 포함할 수 있다. 이온 전류 수집기는, 컨트롤러(1050) 내에 구성되고 이온 선택 전압에 의해 이온 전류 수집기를 양으로 바이어스하도록 구성되는 이온 선택 전압원에 결합될 수 있고, 전자 거부 그리드는, 컨트롤러(1050) 내에 구성되고 이온 거부 전압에 의해 전자 거부 그리드를 음으로 바이어스하도록 구성되는 전자 거부 전압원에 결합될 수 있다. 또한, 컨트롤러(1050) 내에 구성된 이온 전류계가 이온 전류를 측정하기 위하여 이온 전류 수집기에 결합될 수 있다.
복수의 테스트 칩(1020)이 IE 센서 웨이퍼(1000)의 상면에서 하나 이상의 제2 위치에 제거 가능하게 결합될 수 있고, 제2 위치는 제1 위치에 근접할 수 있다. 예를 들어, 테스트 칩(1020)은 하나 이상의 특징부 스택(505a, 506a, 507a, 505b, 506b, 507b) 또는 하나 이상의 게이트 스택(605a, 606a, 607a, 605b, 606b, 607b)를 포함할 수 있다.
도 11은 본 발명의 실시예에 따른 IE 센서 웨이퍼를 이용하는 방법을 도시한다.
1110에서, IE 센서 웨이퍼(1000)는 도 2a 내지 2g 또는 도 3a 내지 3g에 도시된 IEC 에칭 서브 시스템 내에 구성된 IEC 공정 챔버(도 2의 210 또는 도 3의 310) 내의 웨이퍼 홀더(도 2의 220 또는 도 3의 230)에 위치 설정될 수 있다.
1115에서, 하나 이상의 테스트 칩(150)은 IE 센서 웨이퍼(1000)의 상면에서 하나 이상의 제2 위치에 제거 가능하게 결합될 수 있고, 제2 위치는 제1 위치에 근접할 수 있다. 예를 들어, 테스트 칩(1020)은 하나 이상의 특징부 스택(505a, 506a, 507a, 505b, 506b, 507b) 또는 하나 이상의 게이트 스택(605a, 606a, 607a, 605b, 606b, 607b)를 포함할 수 있다.
IEO(Ion Energy Optimized) 플라즈마가 적어도 하나의 IEC 공정 챔버(도 2의 210 또는 도 3의 310) 내에 생성될 때 IEO(Ion Energy Optimized) 에칭 절차가 수행될 수 있다.
1125에서, IE 센서 웨이퍼(1000) 내에 구성된 이온 에너지 분석기(1010)가 이온 전류 수집기를 포함할 때, 이온 전류 수집기가 공급받은 이온 전류가 컨트롤러(1050)에 의해 측정될 수 있고, 이온 전류가 이온 선택 그리드 상의 이온 선택 전압의 함수로서 저장될 수 있다. 예를 들어, 이온 전류 수집기는 측정을 위해 이온 전류를 공급받고 공급받은 이온 전류에 기여하는 이온을 선택하는 2중 기능을 제공할 수 있다.
이온 에너지 분석기(1010)가 입구 그리드를 포함할 때, 입구 그리드는 부동(floating) DC 전위의 플라즈마에 노출될 수 있다. 이온 에너지 분석기(1010)가 입구 그리드에 근접하는 전자 거부 그리드를 포함하면, 전자 거부 그리드는 플라즈마로부터의 전자를 거부하기 위하여 음의 DC 전압으로 바이어스될 수 있다. 이온 에너지 분석기(1010)가 전자 거부 그리드에 근접하는 이온 전류 수집기를 포함할 때, 이온 전류 수집기는 이온 전류 수집기에 도달하는 이온을 구별하기 위하여, 컨트롤러(1050)로부터, 양의 DC 전압으로 바이어스될 수 있다. IEO 플라즈마가 생성될 때, 이온 전류 수집기에서의 하나 이상의 선택된 이온 전류가 컨트롤러(1050)에 의해 측정될 수 있다. 예를 들어, 선택된 이온 전류가 이온 전류 수집기에서의 양의 DC 전압의 함수로서, 컨트롤러(1050)에 의해, 저장될 수 있고, 이온 전류 수집기에서의 양의 DC 전압은 가변될 수 있다. 그 다음, 이온 선택 전압의 함수로서의 저장된 이온 전류 데이터는, 테스트 회로와 관련시키기 위한 IED를 결정하기 위하여, 컨트롤러(1050)에 의해, 통합될 수 있다.
1130에서, IEO 에칭 절차 동안 공정 데이터가 측정되어 저장될 수 있다. 예를 들어, 하나 이상의 공정 센서(도 2의 236 또는 도 3의 336)는 성능 데이터를 획득하기 위하여 IEC 공정 챔버(도 2의 210 또는 도 3의 310)에 결합될 수 있고, 컨트롤러(1050)는 성능 데이터를 수신하고 분석하기 위하여 공정 센서(도 2의 236 또는 도 3의 336)에 결합될 수 있다.
1135에서, 하나 이상의 테스트 칩(1020)은 IEO 에칭 절차가 수행된 후 IE 센서 웨이퍼로부터 제거될 수 있다.
1140에서, 테스트 칩(1020)이 IE 센서 웨이퍼로부터 제거되고 IEO 에칭 절차가 수행된 후, 하나 이상의 테스트 칩(1020)에 대하여 측정 데이터가 획득될 수 있다. 예를 들어, CD-SEM(CD-Scanning Electron Microscopy) 데이터가 획득될 수 있고, ODP 데이터가 획득될 수 있고, TEM(Transmission Electron Microscopy) 데이터가 획득될 수 있다.
1145에서, IE 관련 차이 데이터가 측정 데이터 및 IE 관련 레퍼런스 데이터를 이용하여 판단될 수 있다. 예를 들어, IE 관련 레퍼런스가 IE 관련 데이터 라이브러리로부터 획득될 수 있다.
1150에서, 차이 데이터가 IEO 관련 임계값 이하일 때, IEO 에칭 절차와 관련된 공정 레시피가 검증된 IEO 공정 레시피로서 식별될 수 있다.
1155에서, 차이 데이터가 IEO 관련 임계값보다 클 때, IEO 에칭 절차와 관련된 공정 레시피가 검증되지 않은 IEO 공정 레시피로서 식별될 수 있다.
도 12는 본 발명의 실시예에 따른 제1 IED(Ion Energy Districution) 데이터 세트를 도시한다. 예시된 실시예에서, 측정된 IED(A/eV) 데이터(1201)와 레퍼런스 IED(A/EV) 데이터(1202)가 제1 IEO 에칭 절차에 대하여 에너지(eV)에 대해 그래프로 그려진 제1 IED(Ion Energy Districution) 데이터(1200)가 도시된다. 제1의 측정된 상부 데이터 포인트(1202), 제2의 측정된 상부 데이터 포인트(1204) 및 제3의 측정된 상부 데이터 포인트(1206)가 제1의 측정된 IED 그래프(1201)에 대하여 도시되고, 하나 이상의 측정된 상부 데이터 포인트(1202, 1204, 1206)가 제1 IEO 에칭 절차를 특징화하는데 사용될 수 있다. 예를 들어, 측정된 IED 그래프(1201) 상의 측정된 상부 데이터 포인트(1202, 1204, 1206)와 레퍼런스 그래프(1202) 상의 대응하는 포인트 사이에서 제1 에러값이 계산될 수 있고, 하나 이상의 제1 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다. 제1의 측정된 하부 데이터 포인트(1212), 제2의 측정된 하부 데이터 포인트(1214) 및 제3의 측정된 하부 데이터 포인트(1216)가 제1의 측정된 IED 그래프(1201)에 대하여 도시되고, 하나 이상의 측정된 하부 데이터 포인트(1212, 1214, 1216)가 제1 IEO 에칭 절차를 특징화하는데 사용될 수 있다. 또한, 측정된 IED 그래프(1201) 상의 측정된 하부 데이터 포인트(1212, 1214, 1216)와 레퍼런스 그래프(1202) 상의 대응하는 포인트 사이에서 추가의 제1 에러값이 계산될 수 있고, 하나 이상의 추가의 제1 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다.
측정된 하부 차이값(1222) 및 측정된 상부 차이값(1225)이 제1 IED 그래프(1200)에 대하여 도시되고, 하나 이상의 측정된 차이값(1222, 1250)은 제1 IEO 에칭 절차를 특징화하는데 사용될 수 있다. 예를 들어, 측정된 IED 그래프(1201)에서의 측정된 차이값(1222, 1240)과 레퍼런스 그래프(1202)에서의 대응하는 차이값(미도시)의 사이에서 제2 에러값이 계산될 수 있으며, 하나 이상의 제2 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다.
제1의 측정된 피크 데이터 포인트(1231), 제2의 측정된 피크 데이터 포인트(1232) 및 제3의 측정된 피크 간격값(1235)이 제1의 IED 그래프(1200)에 대하여 도시되고, 하나 이상의 측정된 피크 데이터 포인트(1231, 1232) 및/또는 측정된 피크 간격값(1235)이 제1 IEO 에칭 절차를 특징화하는데 사용될 수 있다. 예를 들어, 제3 에러값이 측정된 IED 그래프(1201) 상의 측정된 피크 데이터 포인트(1231, 1231)와 레퍼런스 그래프(1202) 상의 대응하는 포인트 사이에 계산될 수 있고, 하나 이상의 제3 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다. 추가의 제3 에러값이 측정된 IED 그래프(1201) 상의 측정된 피크 간격값(1235)과 레퍼런스 그래프(1202) 상의 대응하는 피크 간격값 사이에 계산될 수 있고, 하나 이상의 추가의 제3 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다.
제1의 측정된 밸리(valley) 데이터 포인트(1250)가 제1의 IED 그래프(1200)에 대하여 도시되고, 하나 이상의 측정된 밸리 데이터 포인트(1250)가 제1 IEO 에칭 절차를 특징화하는데 사용될 수 있다. 예를 들어, 제4 에러값이 측정된 IED 그래프(1201) 상의 측정된 밸리 데이터 포인트(1250)와 레퍼런스 그래프(1202) 상의 대응하는 밸리 포인트 사이에 계산될 수 있고, 하나 이상의 제4 에러값이 제1 IEO 에칭 절차를 최적화하고 그리고/또는 특징화하는데 사용될 수 있다.
또한, 데이터 포인트(1202, 1204, 1206, 1212, 1214, 1216), 차이값(1222, 1224, 1226) 및 피크 데이터 포인트(1232, 1234, 1236)에 대하여, 평균값이 계산될 수 있고, 임계값이 계산될 수 있고, 그리고/또는 한계값이 계산될 수 있다.
CD DV는 임계(critical) DV일 수 있으며, IEC 에칭 시퀀스 동안의 작업에서의 메커니즘에 기인하는 측정을 수정하는 관련된 DV를 가질 수 있다. SWA는 각도가 90도 미만이 됨에 따라 민감도가 증가하는 주요 변경자(modifier)일 수 있다. 또한, 최종 CD에 대한 가장 정확한 상관 관계를 제공한다면 중간 CD가 사용될 수 있다. 상부 및 하부 CD 측정의 변동을 평균화하기 때문에, 중간 CD가 간단히 말해서 최상을 수행한다.
CD의 제2 변경자는 웨이퍼에 걸친, 그리고 웨이퍼간의 BARC 두께일 수 있다. BARC 에칭 동안 레지스트가 계속 에칭되기 때문에 두께가 비균일하다면 BARC 두께가 CD에 영향을 미칠 수 있다. 더 얇은 BARC가 더 짧은 에칭 시간을 제공할 수 있고, 더 두꺼운 BARC가 더 간 에칭 시간을 제공할 수 있고, 더 긴 에칭 시간은 더 작은 CD를 제공할 것이다. 따라서, BARC 비균일성은, 부분적이고 최종적인 에칭 동안 제어를 위하여 모델링될 필요가 있을 증가된 중심-에지 CD 변동을 직접적으로 제공할 수 있다.
또한, 공정 센서와 IE 센서 데이터가 예측 플라즈마 에칭 상태를 나타내는 DV에 대하여 사용될 수 있다. 예를 들어, 컨디셔닝 웨이퍼를 사용하지 않으면서 롯트(웨이퍼)가 처리되고 있을 때, 챔버 상태가 드리프트에 의해 영향을 받을 수 있다. 챔버 상태 피드 포워드 DV에 기여하는 변동은 챔버 세정, 부품 교체, 화학물 변경, 아이들 시간, 컨디셔닝 웨이퍼, 챔버 중지, 수동 조정, 웨이퍼 재료 변경 및 제품 밀도 변경과 같은 이벤트를 포함할 수 있다. 공정 센서 및 IE 센서 데이터가 필터링 및/또는 통과될(qualified) 수 있다. 예를 들어, 측정 DV는 동일한 집합에 있는 것으로 통계적으로 보이지 않는 사이트를 제거하는 박스 및 위스커 알고리즘(box and whisker algorithm)을 이용하여 필터링될 수 있고, 나머지 사이트들은 웨이퍼의 물리적 영역을 나타내도록 평균화될 수 있다.
IEO 공정 레시피는 IEC-MIMO 모델 최적화 동안 구축된 하나 이상의 MV를 이용하여 IEC 에칭 시퀀스에 대하여 정의될 수 있고, 종래의 공정 레시피는 MV에 대한 새로운 값을 이용하여 조정될 수 있다. 비선형 최적화가 각 실행 후에 레시피를 조정함으로써 IEC 에칭 시퀀스의 성능을 최대화하기 위하여 에칭 공정과 관련된 비선형 관계 및 제한을 다루는데 사용될 수 있다.
조작 변수(MV)의 값을 계산하기 위하여 IM 데이터가 하나 이상의 최적화 컨트롤러에 피드 포워드될 수 있다. 각 제어 변수(CV)와 관련된 비선형 모델 공식이 각 CV 타겟값과 함께 사용될 수 있다. 2차 목적 함수(quadratic objective function)가 목적 함수에서 각 CV 항을 우선화하기 위하여 가중 인자를 활용할 수 있고, IEC-MIMO에서의 최적화기가 비선형 프로그래밍을 이용하여 MV의 제한으로 목적 함수를 최소화하거나 최대화하여 에칭 레시피를 결정하는데 사용될 수 있다.
일부 예에서, 하나 이상의 웨이퍼가 조정된 레시피를 이용하여 처리될 수 있다. 예를 들어, 조정된 레시피는 IEC 에칭 시퀀스를 위하여 최적화기로부터 최적화된 MV를 포함할 수 있다. 그 다음, 측정 데이터가 하나 이상의 처리된 웨이퍼에 대하여 획득될 수 있다. 예를 들어, 웨이퍼 상의 하나 이상의 사이트에서 측정이 이루어질 수 있다. 출력 CV는 폴리 에칭 시퀀스(poly etch sequence)가 수행된 후 그리고/또는 모델-게이트-에칭 시퀀스가 수행된 후 IM 도구를 이용하여 측정될 수 있다. IEC 에칭 시퀀스로부터 획득된 데이터는 필터링 및/또는 통과될 수 있다. 또한, 공정 에러가 IEC 에칭 시퀀스에 대하여 계산될 수 있다. 예를 들어, 에러(실제 출력에서 모델 출력을 뺀 것)가 각 CV에 대하여 계산될 수 있다. 다음으로, 피드백 데이터 항목이 IEC 에칭 시퀀스에 대하여 계산될 수 있고, 에러가 EWMA(Exponentially Weighted Moving Average) 필터를 이용하여 IEC-MIMO 모델 CV 오프셋을 업데이트하는데 사용될 수 있다. 그 다음, 새로운 모델 오프셋이 IEC 에칭 시퀀스에 대하여 업데이트될 수 있고, 이러한 오프셋 값은 다음 실행을 위하여 외란을 보상하는데 사용되도록 최적화 컨트롤러에 제공될 수 있다. 예를 들어, 이 오프셋은 다음 업데이트가 계산될 때까지 사용될 수 있고, 이 절차는 최종의 패터닝된 웨이퍼가 처리될 때까지 수행될 수 있다.
미리 준비된(send-ahead) 웨이퍼가 사용될 때, IM 데이터가 IEC 에칭 시퀀스에서 중간 포인트에 획득될 수 있다. 새로운 그리고/또는 추가의 측정 데이터, 검사 데이터 및/또는 평가 데이터가 요구될 때, 추가 IM 데이터가 웨이퍼 상의 하나 이상의 사이트로부터 획득될 수 있다. 예를 들어, 웨이퍼 상의 주기 격자, 주기 어레이 및/또는 다른 주기성 구조와 같은 측정 구조가 하나 이상의 사이트에서 측정될 수 있다.
일부 실시예에서, 이력 및/또는 실시간 데이터는, 하나 이상의 웨이퍼에 대하여, IE 맵, 웨이퍼 관련 맵, 공정 관련 맵, 손상 평가 맵, 레퍼런스 맵, 측정 맵, 예측 맵, 위험 맵, 검사 맵, 검증 맵, 평가 맵, 입자 맵 및/또는 신뢰성 맵(들)을 포함할 수 있다. 또한, 일부 IEO 에칭 절차가 하나 이상의 GOF(Goodness of Fit) 맵, 하나 이상의 두께 맵, 하나 이상의 게이트 관련 맵, 하나 이상의 CD(Critical Dimension) 맵, 하나 이상의 CD 프로파일 맵, 하나 이상의 재료 관련 맵, 하나 이상의 구조 관련 맵, 하나 이상의 측벽 각도 맵, 하나 이상의 차폭(differential width) 맵, 또는 이들의 조합을 포함할 수 있는 웨이퍼 맵을 사용할 수 있다.
웨이퍼 맵이 생성 및/또는 수정될 때, 값은 전체 웨이퍼에 대하여 계산되지 않고 그리고/또는 요구되지 않을 수 있고, 웨이퍼 맵이 하나 이상의 사이트, 하나 이상의 칩/다이, 하나 이상의 다른 영역 및/또는 하나 이상의 상이한 형상의 영역에 대한 데이터를 포함할 수 있다. 예를 들어, 처리 챔버는 웨이퍼의 소정 영역에서의 처리 결과의 품질에 영향을 미칠 수 있는 고유 특성을 가질 수 있다. 또한, 제조자는 웨이퍼의 하나 이상의 영역 내의 칩/다이에 대하여 덜 정확한 공정 및/또는 평가 데이터가 수율을 최대화하게 할 수 있다. 맵에서의 값이 한계에 가까울 때, 신뢰성 값은 맵에서의 값이 한계에 가깝지 않을 때보다 낮을 수 있다. 또한, 정확성 값은 웨이퍼의 상이한 칩/다이 및/또는 상이한 영역에 대하여 가중치가 부여될 수 있다. 예를 들어, 더 높은 신뢰도 가중치가 하나 이상의 이전에 사용된 평가 사이트와 관련된 정확성 계산 및/또는 정확성 데이터에 할당될 수 있다.
또한, 하나 이상의 처리와 관련된 처리 결과, 측정, 검사, 검증, 평가 및/또는 예측 맵이 웨이퍼를 위한 신뢰성 맵을 계산하는데 사용될 수 있다. 예를 들어, 다른 맵으로부터의 값이 가중 인자로서 사용될 수 있다.
본 발명의 소정의 실시예만이 전술되었지만, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명의 신규한 교시 사항 및 이점으로부터 실질적으로 벗어나지 않으면서 실시예에 많은 수정이 가능하다는 것을 용이하게 이해할 것이다. 따라서, 이러한 모든 수정은 본 발명의 범위 내에 포함되는 것으로 의도된다.
따라서, 발명을 실시하기 위한 구체적인 내용은 본 발명을 한정하려고 의도되지 않으며, 실시예의 수정 및 변경이 가능하다는 것을 이해하면서, 본 명세서에서 제공된 상세한 정도로 본 발명의 구성, 동작 및 거동이 설명되었다. 따라서, 전술한 상세한 설명은, 어떠한 방법으로도 본 발명을 제한하는 것으로 의미되거나 의도되지 않으며, 대신에 본 발명의 범위는 첨부된 특허청구범위에 의해 정의된다.

Claims (20)

  1. 웨이퍼 처리 방법으로서,
    처리 시스템에 의하여, 제1의 패터닝된 웨이퍼 세트 및 관련된 IE(Ion Energy) 데이터를 받는 단계로서, 각각의 패터닝된 웨이퍼는 상부에 제1의 패터닝된 소프트 마스크층 및 복수의 추가 층을 갖는 것인 상기 받는 단계;
    상기 제1의 패터닝된 웨이퍼 세트로부터 제1의 패터닝된 웨이퍼를 선택하는 단계;
    상기 IE 데이터를 이용하여 선택된 패터닝된 웨이퍼에 대하여 제1 IE 관련 공정 시퀀스를 설정하는 단계;
    상기 제1 IE 관련 공정 시퀀스가 제1 IEC(Ion Energy Controlled) 에칭 시퀀스를 포함하는지를 판단하는 단계;
    상기 제1 IE 관련 공정 시퀀스가 상기 제1 IEC 에칭 시퀀스를 포함할 때, 상기 제1 IEC 에칭 시퀀스를 수행하는 단계로서, 상기 제1 IEC 에칭 시퀀스가 상기 제1의 패터닝된 웨이퍼 세트를 이용하여 수행될 때, 제2의 패터닝된 웨이퍼 세트가 형성되는 것인 상기 제1 IEC 에칭 시퀀스를 수행하는 단계; 및
    상기 제1 IE 관련 공정 시퀀스가 상기 제1 IEC 에칭 시퀀스를 포함하지 않을 때, 적어도 하나의 보정 동작을 수행하는 단계
    를 포함하는 웨이퍼 처리 방법.
  2. 제1항에 있어서,
    상기 제1 IEC 에칭 시퀀스가 제1 IEO(Ion Energy Optimized) 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제1 IEC 에칭 시퀀스가 상기 제1 IEO 에칭 절차를 포함할 때, 상기 제1 IEO 에칭 절차를 수행하는 단계로서, 상기 제1 IEO 에칭 절차는 내부에 구성된 제1 IEC(Ion Energy Controlled) 공정 챔버와, 이에 결합된 제1 MIMO(Multi-Input/Multi-Output) 컨트롤러를 갖는 제1 에칭 서브 시스템을 이용하는 것인 상기 제1 IEO 에칭 절차를 수행하는 단계; 및
    상기 IEC 에칭 시퀀스가 상기 제1 IEO 에칭 절차를 포함하지 않을 때, 제1 보정 동작을 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  3. 제2항에 있어서, 상기 제1 IEO 에칭 절차를 수행하는 단계는,
    상기 제1의 패터닝된 웨이퍼 세트로부터 패터닝된 웨이퍼를 선택하는 단계;
    상기 제1 IEC 공정 챔버 내의 제1 웨이퍼 홀더 상에 선택된 패터닝된 웨이퍼를 위치 설정하는 단계;
    상기 제1 IEC 공정 챔버 내에 제1 IEO(Ion Energy Optimized) 플라즈마를 생성하는 단계;
    상기 제1 IEO 플라즈마를 사용하여 선택된 패터닝된 웨이퍼를 처리하는 단계; 및
    선택된 패터닝된 웨이퍼가 처리되는 동안 제1 IE 센서 데이터를 획득하는 단계
    를 포함하고,
    제1 IE(Ion Energy) 센서가, 상기 제1 IEC 공정 챔버에 결합되어 상기 제1 IE 센서 데이터를 획득하도록 구성되는 것인 웨이퍼 처리 방법.
  4. 제3항에 있어서,
    상기 제1 IEC 에칭 시퀀스가 제2 IEO 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제1 IEC 에칭 시퀀스가 상기 제2 IEO 에칭 절차를 포함할 때 상기 제2 IEO 에칭 절차를 수행하는 단계로서, 상기 제2 IEO 에칭 절차는, 내부에 구성된 제2 IEC 공정 챔버와, 이에 결합된 제2 MIMO 컨트롤러를 갖는 제2 에칭 서브 시스템을 이용하는 것인 상기 제2 IEO 에칭 절차를 수행하는 단계; 및
    상기 IEC 에칭 시퀀스가 상기 제2 IEO 에칭 절차를 포함하지 않을 때, 제1 확인(validation) 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  5. 제4항에 있어서, 상기 제2 IEO 에칭 절차를 수행하는 단계는,
    제1의 에칭되고 패터닝된 웨이퍼 세트로부터 에칭되고 패터닝된 웨이퍼를 선택하는 단계;
    선택된 상기 에칭되고 패터닝된 웨이퍼를 상기 제2 IEC 공정 챔버 내의 제2 웨이퍼 홀더 상에 위치 설정하는 단계;
    상기 제2 IEC 공정 챔버 내에 제2 IEO 플라즈마를 생성하는 단계;
    상기 제2 IEO 플라즈마를 이용하여 선택된 상기 에칭되고 패터닝된 웨이퍼를 처리하는 단계; 및
    선택된 상기 에칭되고 패터닝된 웨이퍼가 처리되는 동안, 제2 IE 센서 데이터를 획득하는 단계
    를 포함하고,
    제2 IE 센서가, 상기 제2 IEC 공정 챔버에 결합되어 상기 제2 IE 센서 데이터를 획득하도록 구성되는 것인 웨이퍼 처리 방법.
  6. 제1항에 있어서,
    상기 제1 IE 관련 공정 시퀀스가 제2 IEC 에칭 시퀀스를 포함하는지를 판단하는 단계;
    상기 제1 IE 관련 공정 시퀀스가 상기 제2 IEC 에칭 시퀀스를 포함할 때, 상기 제2 IEC 에칭 시퀀스를 수행하는 단계로서, 상기 제1 IEC 에칭 시퀀스 및 상기 제2 IEC 에칭 시퀀스가 상기 제1의 패터닝된 웨이퍼 세트를 이용하여 수행될 때, 제3의 패터닝된 웨이퍼 세트가 형성되는 것인 상기 제2 IEC 에칭 시퀀스를 수행하는 단계; 및
    상기 제1 IE 관련 공정 시퀀스가 상기 제2 IEC 에칭 시퀀스를 포함하지 않을 때, 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  7. 제6항에 있어서,
    상기 제2 IEC 에칭 시퀀스가 새로운 제1 IEO(Ion Energy Optimized) 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제2 IEC 에칭 시퀀스가 상기 새로운 제1 IEO 에칭 절차를 포함할 때, 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계로서, 상기 새로운 제1 IEO 에칭 절차는, 내부에 구성된 새로운 제1 IEC 공정 챔버와, 이에 결합된 새로운 제1 MIMO 컨트롤러를 갖는 새로운 제1 에칭 서브 시스템을 이용하는 것인 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계; 및
    상기 제2 IEC 에칭 시퀀스가 상기 새로운 제1 IEO 에칭 절차를 포함하지 않을 때, 새로운 제1 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  8. 제7항에 있어서, 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계는,
    제2의 패터닝된 웨이퍼 세트로부터 제2의 패터닝된 웨이퍼를 선택하는 단계;
    선택된 상기 제2의 패터닝된 웨이퍼를 상기 제2 IEC 공정 챔버 내의 제2 웨이퍼 홀더 상에 위치 설정하는 단계;
    상기 제2 IEC 공정 챔버 내에 제2 IEO 플라즈마를 생성하는 단계;
    상기 제2 IEO 플라즈마를 이용하여 선택된 상기 제2의 패터닝된 웨이퍼를 처리하는 단계; 및
    선택된 상기 제2의 패터닝된 웨이퍼가 처리되는 동안, 제2 IE 센서 데이터를 획득하는 단계
    를 포함하고,
    제2 IE 센서가, 상기 제2 IEC 공정 챔버에 결합되어 상기 제2 IE 센서 데이터를 획득하도록 구성되는 것인 웨이퍼 처리 방법.
  9. 제8항에 있어서,
    상기 제2 IEC 에칭 시퀀스가 새로운 제2 IEO 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제2 IEC 에칭 시퀀스가 상기 새로운 제2 IEO 에칭 절차를 포함할 때 상기 새로운 제2 IEO 에칭 절차를 수행하는 단계로서, 상기 새로운 제2 IEO 에칭 절차는, 내부에 구성된 새로운 제2 IEC 공정 챔버와, 이에 결합된 새로운 제2 MIMO 컨트롤러를 갖는 새로운 제2 에칭 서브 시스템을 이용하는 것인 상기 새로운 제2 IEO 에칭 절차를 수행하는 단계; 및
    상기 제2 IEC 에칭 시퀀스가 상기 새로운 제2 IEO 에칭 절차를 포함하지 않을 때, 새로운 제2 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  10. 제9항에 있어서, 상기 제2 IEO 에칭 절차를 수행하는 단계는,
    제1의 에칭된 제2 패터닝된 웨이퍼 세트로부터 에칭된 제2의 패터닝된 웨이퍼를 선택하는 단계;
    선택된 상기 에칭된 제2의 패터닝된 웨이퍼를 상기 새로운 제2 IEC 공정 챔버 내의 새로운 제2 웨이퍼 홀더 상에 위치 설정하는 단계;
    상기 새로운 제2 IEC 공정 챔버 내에 새로운 제2 IEO 플라즈마를 생성하는 단계;
    상기 새로운 제2 IEO 플라즈마를 이용하여 선택된 상기 에칭된 제2의 패터닝된 웨이퍼를 처리하는 단계; 및
    선택된 상기 에칭된 제2의 패터닝된 웨이퍼가 처리되는 동안, 새로운 제2 IE 센서 데이터를 획득하는 단계
    를 포함하고,
    새로운 제2 IE 센서가, 상기 새로운 제2 IEC 공정 챔버에 결합되어 상기 새로운 제2 IE 센서 데이터를 획득하도록 구성되는 것인 웨이퍼 처리 방법.
  11. 제1항에 있어서,
    상기 제1 IE 관련 공정 시퀀스가 제2 IEC 에칭 시퀀스 및 제3 IEC 에칭 시퀀스를 포함하는지를 판단하는 단계;
    상기 제1 IE 관련 공정 시퀀스가 상기 제2 IEC 에칭 시퀀스 및 상기 제3 IEC 에칭 시퀀스를 포함할 때, 상기 제2 IEC 에칭 시퀀스 및 상기 제3 IEC 에칭 시퀀스를 수행하는 단계로서, 상기 제1의 패터닝된 웨이퍼 세트를 이용하여 상기 제1 IEC 에칭 시퀀스, 상기 제2 IEC 에칭 시퀀스 및 상기 제3 IEC 에칭 시퀀스가 수행될 때, 제4의 패터닝된 웨이퍼 세트가 생성되는 것인 상기 제2 IEC 에칭 시퀀스 및 상기 제3 IEC 에칭 시퀀스를 수행하는 단계; 및
    상기 제1 IE 관련 공정 시퀀스가 상기 제2 IEC 에칭 시퀀스 및 상기 제3 IEC 에칭 시퀀스를 포함하지 않을 때, 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  12. 제11항에 있어서,
    상기 제2 IEC 에칭 시퀀스가 새로운 제1 IEO 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제2 IEC 에칭 시퀀스가 상기 새로운 제1 IEO 에칭 절차를 포함할 때, 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계로서, 상기 새로운 제1 IEO 에칭 절차는, 내부에 구성된 새로운 IEC(Ion Energy Controlled) 공정 챔버와, 이에 결합된 새로운 제1 MIMO 컨트롤러를 갖는 새로운 제1 에칭 서브 시스템을 이용하는 것인 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계; 및
    상기 제2 IEC 에칭 시퀀스가 상기 제1 IEO 에칭 절차를 포함하지 않을 때, 새로운 제1 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  13. 제11항에 있어서,
    상기 제3 IEC 에칭 시퀀스가 새로운 제1 IEO 에칭 절차를 포함하는지를 판단하는 단계;
    상기 제3 IEC 에칭 시퀀스가 상기 새로운 제1 IEO 에칭 절차를 포함할 때, 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계로서, 상기 새로운 제1 IEO 에칭 절차는, 내부에 구성된 새로운 IEC(Ion Energy Controlled) 공정 챔버와, 이에 결합된 새로운 제1 MIMO 컨트롤러를 갖는 새로운 제1 에칭 서브 시스템을 이용하는 것인 상기 새로운 제1 IEO 에칭 절차를 수행하는 단계; 및
    상기 제2 IEC 에칭 시퀀스가 상기 제1 IEO 에칭 절차를 포함하지 않을 때, 새로운 제1 확인 절차를 수행하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  14. 제1항에 있어서,
    제1 IEC-MIMO(Ion Energy Controlled Multi-Input/Multi-Output) 모델을 이용하여 상기 제1 IEC 에칭 시퀀스에 대한 제1 시뮬레이션 데이터를 생성하는 단계;
    상기 제1 IEC 에칭 시퀀스 동안 제1 IE 센서 데이터를 획득하는 단계;
    상기 제1 시뮬레이션 데이터를 상기 제1 IE 센서 데이터에 비교하여 제1 차이 데이터를 구축하는 단계;
    상기 제1 차이 데이터가 제1 임계 데이터 이하일 때, 상기 제1 IEC 에칭 시퀀스를 검증하는 단계; 및
    상기 제1 차이 데이터가 상기 제1 임계 데이터보다 클 때, 상기 제1 시뮬레이션 데이터 및 상기 제1 IE 센서 데이터 중 하나 이상을 저장하는 단계
    를 포함하고,
    상기 제1 IEC-MIMO 모델은, 제1 개수(Na)의 제1 제어 변수(CV1a, CV2a, ... CVNa), 제2 개수(Ma)의 제1 조작 변수(MV1a, MV2a, ... MVMa) 및 제3 개수(La)의 제1 외란 변수(DV1a, DV2a, ... DVLa)를 포함하고, La, Ma 및 Na는 1보다 큰 정수이고,
    제1 IE(Ion Energy) 센서는 제1 IEC(Ion Energy Controlled) 공정 챔버에 결합되는 것인 웨이퍼 처리 방법.
  15. 제14항에 있어서,
    상기 제1 차이 데이터를 이용하여 상기 제1 IEC 에칭 시퀀스에 대한 위험 데이터를 판단하는 단계;
    상기 위험 데이터가 제1 위험 한계 미만일 때, 상기 제1 IEC 에칭 시퀀스를 검증된 시퀀스로서 식별하는 단계; 및
    상기 위험 데이터가 제1 위험 한계보다 작지 않을 때, 상기 제1 IEC 에칭 시퀀스를 검증되지 않은 시퀀스로서 식별하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
  16. 제1항에 있어서, 상기 제1 IEC 에칭 시퀀스는, IEO(Ion Energy Optimized) 하드 마스크 에칭 절차와, IEO 폭 제어 에칭 절차를 포함하는 것인 웨이퍼 처리 방법.
  17. 제3항에 있어서, 상기 제1 IEO 플라즈마를 생성하는 단계는,
    상기 제1 IEC(Ion Energy Controlled) 공정 챔버에서 제1 챔버 압력을 설정하는 단계로서, 상기 제1 챔버 압력은 12 mT 내지 18 mT 범위에 있는 것인 상기 제1 챔버 압력을 설정하는 단계;
    제1 시간 동안 상기 제1 웨이퍼 홀더에 대하여, 제1 에지 온도와 제1 중심 온도를 설정하는 단계로서, 상기 제1 중심 온도는 상기 제1 시간 동안 섭씨 12도 내지 섭씨 20도 사이에 있고, 상기 제1 에지 온도는 상기 제1 시간 동안 섭씨 8도 내지 섭씨 12도 사이에 있는 것인 상기 제1 에지 온도와 제1 중심 온도를 설정하는 단계;
    상기 제1 웨이퍼 홀더 내의 2중 배면 가스 시스템을 이용하여 제1 에지 배면 압력과 제1 중심 배면 압력을 설정하는 단계로서, 상기 제1 중심 배면 압력은 15 Torr 내지 25 Torr 사이에 있고, 상기 제1 에지 배면 압력은 27 Torr 내지 33 Torr 사이에 있는 것인 제1 에지 배면 압력과 제1 중심 배면 압력을 설정하는 단계;
    상기 제1 시간 동안 상기 제1 IEC 공정 챔버로 제1 공정 가스를 제공하는 단계로서, 상기 제1 공정 가스는 CH4 및 CHF3를 포함하고, CH4 유량은 60 sccm 내지 100 sccm에서 가변하고, 제1 CHF3 유량은 40 sccm 내지 60 sccm에서 가변하고, 상기 제1 IEC 공정 챔버 내의 가스 플리넘(plenum)이 처리 영역(249, 349)의 하나 이상의 영역에 상기 제1 공정 가스를 제공하도록 구성된 것인 상기 제1 공정 가스를 제공하는 단계;
    상기 제1 IEC 공정 챔버 내의 2개의 상부 전극에 결합된 제1 전력 스플리터를 이용하여, 상기 제1 IEC 공정 챔버 내의 중심 영역에 제1 RF(radio frequency) 전력을 제공하고, 상기 제1 IEC 공정 챔버 내의 에지 영역에 제2 RF 전력을 제공하는 단계로서, 제1 RF 소스는 상기 제1 전력 스플리터에 연결되고, 상기 제1 RF 소스는 0.1 MHz 내지 200 MHz의 주파수 범위로 동작하고, 상기 제1 시간 동안 상기 제1 RF 전력은 450 와트 내지 550 와트의 범위이고, 상기 제2 RF 전력은 10 와트 내지 100 와트의 범위인 것인 상기 제1 RF 전력을 제공하고 제2 RF 전력을 제공하는 단계; 및
    RF 생성기 및 임피던스 매치 네트워크를 이용하여 상기 제1 웨이퍼 홀더에서 하부 전극에 낮은 RF(radio frequency) 전력을 제공하는 단계로서, 상기 제1 시간 동안 상기 RF 생성기는 0.1 MHz 내지 200 MHz 범위의 제1 주파수 범위에서 동작하고, 상기 낮은 RF 전력은 450 와트 내지 550 와트의 범위에 있고, 상기 낮은 RF 전력은 90 와트 내지 110 와트 범위에 있는 것인 상기 낮은 RF 전력을 제공하는 단계
    를 포함하는 웨이퍼 처리 방법.
  18. 제1항에 있어서, 상기 제1의 패터닝된 웨이퍼 세트는, pFET 구조, nFET 구조, Tri-게이트 구조 및 FinFET 구조를 포함하는 것인 웨이퍼 처리 방법.
  19. IEC(Ion Energy Controlled) 처리 챔버를 이용하여 웨이퍼를 처리하는 방법으로서,
    상기 IEC 처리 챔버 내의 웨이퍼 홀더 상에 IE(Ion Energy) 센서 웨이퍼를 위치 설정하는 단계;
    상기 IE 센서 웨이퍼의 상면에 하나 이상의 테스트 칩을 제거 가능하게 결합하는 단계;
    상기 IEC 처리 챔버 내에서 IEO(Ion Energy Optimized) 에칭 절차를 수행하는 단계;
    상기 IEO 에칭 절차 동안 이온 에너지 데이터 및 공정 데이터를 측정하는 단계; 및
    상기 IEO 에칭 절차가 수행된 후, 상기 테스트 칩 중 적어도 하나를 제거하는 단계
    를 포함하는 웨이퍼 처리 방법.
  20. 제19항에 있어서,
    상기 테스트 칩 중 하나 이상에 대하여 측정 데이터를 획득하는 단계;
    상기 측정 데이터와 레퍼런스 데이터 사이에서 차이 데이터를 판단하는 단계;
    상기 차이 데이터가 IEO 관련 임계값 이하일 때, IEO 에칭 절차에 대한 공정 레시피를 검증된 IEO 공정 레시피로서 식별하는 단계; 및
    상기 차이 데이터가 상기 IEO 관련 임계값보다 클 때, IEO 에칭 절차에 대한 상기 공정 레시피를 검증되지 않은 IEO 공정 레시피로서 식별하는 단계
    를 더 포함하는 웨이퍼 처리 방법.
KR1020137028475A 2011-03-28 2012-03-29 적응적 레시피 선택기 KR101960112B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/073,237 US8501499B2 (en) 2011-03-28 2011-03-28 Adaptive recipe selector
PCT/US2012/031045 WO2012135396A2 (en) 2011-03-28 2012-03-29 Adaptive recipe selector

Publications (2)

Publication Number Publication Date
KR20140130009A KR20140130009A (ko) 2014-11-07
KR101960112B1 true KR101960112B1 (ko) 2019-03-19

Family

ID=46927757

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137028475A KR101960112B1 (ko) 2011-03-28 2012-03-29 적응적 레시피 선택기

Country Status (6)

Country Link
US (1) US8501499B2 (ko)
JP (1) JP2014513415A (ko)
KR (1) KR101960112B1 (ko)
CN (1) CN104040679B (ko)
TW (1) TWI459168B (ko)
WO (1) WO2012135396A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
US10247644B2 (en) * 2013-02-19 2019-04-02 Garrett Thermal Systems Limited Air sampling system for a low-temperature space that enables removal of ice build-up within a sampling conduit
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
KR20160101021A (ko) * 2013-12-17 2016-08-24 도쿄엘렉트론가부시키가이샤 플라즈마 밀도를 제어하는 시스템 및 방법
CN103760753B (zh) * 2013-12-31 2017-04-12 深圳市华星光电技术有限公司 基板烘烤装置及其温度调节方法
US9508578B2 (en) * 2014-02-04 2016-11-29 Globalfoundries Inc. Method and apparatus for detecting foreign material on a chuck
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9466466B1 (en) * 2015-07-02 2016-10-11 Lam Research Corporation Determination of semiconductor chamber operating parameters for the optimization of critical dimension uniformity
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
JP2017091126A (ja) * 2015-11-09 2017-05-25 アズビル株式会社 調節計
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
TWI676149B (zh) * 2017-01-03 2019-11-01 行政院環境保護署 運作中工廠製程動態篩檢方法
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
US10861677B2 (en) 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
US11328964B2 (en) 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
CN109891566B (zh) * 2019-01-29 2021-01-29 长江存储科技有限责任公司 智能可定制湿法处理系统
US10950508B2 (en) 2019-03-20 2021-03-16 Samsung Electronics Co., Ltd. Ion depth profile control method, ion implantation method and semiconductor device manufacturing method based on the control method, and ion implantation system adapting the control method
CN110416052B (zh) * 2019-07-24 2022-06-17 拓荆科技股份有限公司 具有共振电路的晶圆支撑座
KR20220083811A (ko) * 2019-10-23 2022-06-20 램 리써치 코포레이션 반도체 제작을 위한 레시피의 결정 (determination)
CN113287123A (zh) * 2019-12-03 2021-08-20 株式会社日立高新技术 搜索装置、搜索程序以及等离子处理装置
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
US11068637B1 (en) * 2020-03-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for context aware circuit design
US11222805B2 (en) * 2020-04-01 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and methods of cleaning thereof
US11586794B2 (en) * 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060184264A1 (en) 2005-02-16 2006-08-17 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US20080311688A1 (en) 2007-06-13 2008-12-18 Tokyo Electron Limited Method and Apparatus for Creating a Gate Optimization Evaluation Library
US20090081815A1 (en) 2007-09-21 2009-03-26 Tokyo Electron Limited Method and Apparatus for Spacer-Optimization (S-O)
US20090228408A1 (en) 2008-03-08 2009-09-10 Tokyo Electron Limited Autonomous adaptive semiconductor manufacturing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4509248A (en) * 1982-03-04 1985-04-09 Spire Corporation Encapsulation of solar cells
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
AU2002364719A1 (en) * 2001-12-31 2003-07-24 Tokyo Electron Limited Method of fault detection for material process system
US20050118812A1 (en) * 2001-12-31 2005-06-02 Tokyo Elecron Limited Method of detecting, identifying and correcting process performance
TWI264043B (en) * 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP5112624B2 (ja) * 2004-09-01 2013-01-09 ラム リサーチ コーポレーション プロセスチャンバ及びプラズマチャンバを操作する方法
JP5050830B2 (ja) 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7875859B2 (en) 2008-03-31 2011-01-25 Tokyo Electron Limited Ion energy analyzer and methods of manufacturing and operating
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US8019458B2 (en) 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
SG176562A1 (en) * 2009-06-25 2012-01-30 Lam Res Ag Method for treating a semiconductor wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060184264A1 (en) 2005-02-16 2006-08-17 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US20080311688A1 (en) 2007-06-13 2008-12-18 Tokyo Electron Limited Method and Apparatus for Creating a Gate Optimization Evaluation Library
US20090081815A1 (en) 2007-09-21 2009-03-26 Tokyo Electron Limited Method and Apparatus for Spacer-Optimization (S-O)
US20090228408A1 (en) 2008-03-08 2009-09-10 Tokyo Electron Limited Autonomous adaptive semiconductor manufacturing

Also Published As

Publication number Publication date
KR20140130009A (ko) 2014-11-07
CN104040679A (zh) 2014-09-10
US8501499B2 (en) 2013-08-06
WO2012135396A2 (en) 2012-10-04
WO2012135396A3 (en) 2014-05-01
TWI459168B (zh) 2014-11-01
JP2014513415A (ja) 2014-05-29
TW201245918A (en) 2012-11-16
CN104040679B (zh) 2016-12-07
US20120252141A1 (en) 2012-10-04

Similar Documents

Publication Publication Date Title
KR101960112B1 (ko) 적응적 레시피 선택기
KR101530098B1 (ko) 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법
KR101568879B1 (ko) 다층/다중입력/다중출력(mlmimo) 모델의 설정 및 이용 방법
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
KR101989629B1 (ko) 스위쳐블 중성빔 소스
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US20080081482A1 (en) Selective-redeposition structures for calibrating a plasma process
Ellipsometry-Terry PRESENTATION OUTLINE

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant