TWI264043B - Method and system for analyzing data from a plasma process - Google Patents

Method and system for analyzing data from a plasma process Download PDF

Info

Publication number
TWI264043B
TWI264043B TW092126522A TW92126522A TWI264043B TW I264043 B TWI264043 B TW I264043B TW 092126522 A TW092126522 A TW 092126522A TW 92126522 A TW92126522 A TW 92126522A TW I264043 B TWI264043 B TW I264043B
Authority
TW
Taiwan
Prior art keywords
plasma
parameters
monitoring
substrate
gas
Prior art date
Application number
TW092126522A
Other languages
Chinese (zh)
Other versions
TW200419631A (en
Inventor
Deana Delp
Lee Chen
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200419631A publication Critical patent/TW200419631A/en
Application granted granted Critical
Publication of TWI264043B publication Critical patent/TWI264043B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Abstract

A method and system for analyzing multivariate data of plasma processes in which response surface and neural networks are utilized to improve or find optimal process settings of the plasma process such that performance measurements are compared against model measurements to modify a current plasma process to achieve optimized processing performance.

Description

1264043 五、發明說明(1) 一、 【發明所屬之技術領域】 本發明係 本發明一般係與電漿製程領域有關。尤其 關於在電漿製程設備中監測及分析製程參數。 二、 【先前技術】 電裝製程,例如半導體或顯示器 參數會顯著地改變。製程條件隨 微地改變關鍵性的製程參數也會 微量的變化容易發生於蝕刻氣體 或晶圓溫度。因此,電漿製程設 量測及監測這些製程參數可累積 程控制回授可用來調整製程參數 行性。然而,在許多的案例中, 程資料的變化並無法簡單藉由參 測。要偵測初期之異常及製程之 常常需要藉由先進製程控制 廠内從重新進入之晶圓流程、關 護皆有可能會發生各種錯綜複雜 制、監測及分析製造過程。然 無法應用於各種電浆製程而且無 最終製程關係最隹化。 綜觀各種不同階段的 製造等等,關鍵性的製程 著時間改變,即便是最輕 產生不希望得到的結果。 之組成或壓力、製程腔室 備需要不斷監測。 在任一給定的時間, 及分析有價值的資料。 或決定某製程樣材料之可 反應出製程特性惡化之 考所顯示的製程資料來^ 特性惡化是困難的,而且 (APC )預測並辨識圖形。 由於在半導體製造工 鍵性的製程步驟到製裎唯 的事,一般使用電腦來押 而,資料收集系統軟體; 法將累積及分析的資料與1264043 V. INSTRUCTIONS (1) 1. TECHNICAL FIELD OF THE INVENTION The present invention is generally related to the field of plasma processing. Especially regarding the monitoring and analysis of process parameters in plasma processing equipment. 2. [Prior Art] Den electrical processes, such as semiconductor or display parameters, can change significantly. Process conditions can change critical process parameters with micro-grounds. Minor changes can easily occur at the etch gas or wafer temperature. Therefore, the plasma process design and monitoring of these process parameters can be used to adjust the process parameters. However, in many cases, changes in the process data cannot be simply measured. In order to detect initial anomalies and processes, it is often necessary to perform various intricate, monitoring, and analytical manufacturing processes through re-entering wafer processes and care in advanced process control plants. However, it cannot be applied to various plasma processes and there is no final process relationship. Looking at the various stages of manufacturing, etc., the key processes change over time, even the lightest produces undesired results. The composition or pressure and process chambers need to be constantly monitored. Analyze valuable data at any given time. Or, it is difficult to determine the deterioration of the characteristics of a process-like material that reflects the deterioration of process characteristics. (APC) predicts and recognizes the pattern. Because of the process steps in the semiconductor manufacturing process, the computer is generally used to control the data collection system software; the data accumulated and analyzed by the law

12640431264043

三、【發明内容】 在本發明之一實施例中 系統及方法是用來決定製程 谐波與其它量測之互動關係 程以達到預期之製程結果。 ,廣泛應用於各種電漿製程之 參數與特性量測之關係。決定 及製程變數並配合現有電漿製 四、【實施方式】III. SUMMARY OF THE INVENTION In one embodiment of the invention, systems and methods are used to determine the interaction of process harmonics with other measurements to achieve desired process results. It is widely used in the relationship between parameters and characteristic measurement of various plasma processes. Decision and process variables combined with existing plasma system IV. [Implementation]

例的詳細說明參考與本發明-致之示範實确 例是可&的曰不離開本發明之精神及範疇,其它實城 明並非i可對本實施例進行修改。因此,下列詳細额 f,思θ限制本發明。本發明之範疇乃由隨附之申請; 利範圍所定義。 ,通ιπ心甲明号 明一雷砰細地參考隨附圖例,圖1係根據本發明,說 電^1程系統100。電漿製程系統100包括製程腔室 :又疋為量測並調整至少一製程參數之裝置104、 二至4 J ,一製程特性量測之裝置1 06及控制器1 08。控4 裔8連接製程腔室102、裝置104及裝置106。The detailed description of the embodiments with reference to the present invention is intended to be <RTI ID=0.0>> Therefore, the following detailed amounts f, θ θ limit the present invention. The scope of the invention is defined by the accompanying application; BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a diagram of a system 100 in accordance with the present invention. The plasma processing system 100 includes a process chamber: a device 104 for measuring and adjusting at least one process parameter, two to four J, a device for measuring process characteristics, and a controller 108. The control 4 is connected to the process chamber 102, the device 104, and the device 106.

圖1之電漿製程系統1 Q 〇使用例如電漿處理 4k t\\ ^ u n ZLThe plasma processing system 1 Q of Figure 1 uses, for example, plasma treatment 4k t\\ ^ u n ZL

卫至。或者,電漿製程系統1 0 0包括光阻塗佈 例如弁P日'^ 至, ^ 足轉塗佈系統。此系統也包括光阻圖案轉移腔 室’例如紫外線(ϋν)微影系統或介電質塗二 将变师破螭(SOG )或旋轉塗佈介電質(SOD )奉祐^ 外,雷飧制/ ^尔祝。另 电水I程系統1 〇 〇包括:沈積腔室,例如化皋翁 4# ( CVh \ 予礼子目沈 w)或物理氣相沈積(PVD )系統、快速熱處理Wei Zhi. Alternatively, the plasma processing system 100 includes a photoresist coating such as a 弁P day '^ to ^ ^ foot-turn coating system. The system also includes a photoresist pattern transfer chamber such as a UV (ϋν) lithography system or a dielectric coating 2 that will be changed by a SOG or a spin-coated dielectric (SOD). System / ^ Er Zhu. In addition, the electro-hydraulic I-pass system 1 〇 〇 includes: deposition chamber, such as Huayu Weng 4# (CVh \ 予礼目 sink w) or physical vapor deposition (PVD) system, rapid heat treatment

12640431264043

(RTP )腔室,例如用於熱退火之RTp系統或批次 裝置104可調整製程參數,例如電極間距背面維持ae)氣 壓、總流速、流逮比、下電極RF電力、上電極評電力、晶 片座溫度,或其它。 圖2係根據本發明,更詳細說明一電漿製程系統20 0。 電漿製私系統2 〇 〇包括,如圖i所述,製程腔室i 〇 2、基板 座212,預備處理之基板2〇4固定在此支架上、氣體注入系 統206及真空泵系統208。基板204可為,例如,半導體基 板、晶圓或液晶顯示器(LCD )。製程腔室1〇2可設定為, 例如便於在製程區域202内鄰近基板204表面處產生電 漿。電漿可藉由在製程腔室1〇2内熱電子與可離子化氣體 之間的碰撞形成。可離子化氣體或混合氣體21〇可經由氣 體注統2 0 6導入製程區域2〇2。尚未圖示之控制機構可 用末凋節真工泵系統2〇8。在製程區域2〇2内的電漿可用來 產生有別於預定材料製程之材料且有助於將材料沈積至基 板204或移除裸露於基板2〇4表面之材料。 基板204,例如,可經由自動基板傳輸系統的槽閥 (未圖示)及腔室饋料器(feedthr〇ugh)(未圖示)進 入或離開製程腔室102。可藉由固定於基板座212的基板上 升栓(未圖示)取得基板20 4且可藉由固定於此的裝置機 械式地傳輸基板。 基板2 0 4,例如,可經由靜電夾鉗系統2丨4固定於基板 座H2。基板座2 12包括具有可重覆循環流動的冷卻劑之冷 卻系統,可從基板座2 1 2吸收熱並將熱帶至熱交換器(未 1264043 五 '發明說明(4) 圖示)。冷卻系統亦包括設定為監測基板2 〇 4及/或基板座 2 1 2溫度之裝置2 1 6。裝置21 6可為,例如,熱電隅,如κ型 熱電隅。此外,氣體可經由背面氣體系統2 1 8傳輸至基板 2 04之背面以改善介於基板2 04及基板座212之間的氣體間 隔熱傳導度。當基板2 0 4需要升溫或降溫時,可利用背面 氣體系統2 1 8。例如,平衡從電漿傳遞至基板2 〇 4之熱通量 及從基板204移除之熱通量,傳導至基板座212導致溫度超 過穩態溫度時,基板2 0 4之溫度控制是有用的。 基板座212,例如,可進一步充當電極,rf電力可藉 此傳輸至製程腔室2 0 2内的電漿。例如,可將基板座2丨2偏 壓至RF電壓,藉由從RF產生器22 0經過阻抗匹配網路22 2傳 輸RF電力至基板座212 °RF偏壓可充當熱電子,因此形成 並維持電漿。在此設定下,系統可操作為活性離子蝕刻 (RIE)反應器,其中腔室及上氣體注入電極充當接地 面。一般RF偏壓的頻率範圍從““至“⑽心且可為 13. 56MHz。電漿製程之系統是熟悉本技術者所熟知的。 或者’可提供數個頻率的RF電力至基板座電極。此 外’阻抗匹配網路2 2 2藉由減少反射功率將傳輸至製程腔 室2 0 2内之電漿的R ρ電力最大化。匹配網路架構(例如[ 型、π型、T型等等)及自動控制方法是熟悉本技術 熟知的。 n 圖2之製程氣體2 1 〇,例如,可經由氣體注入系統2 〇 6 導入製程區域20 2。製程氣體210,例如,包括混合氣體 應用於蝕刻氧化物之氬氣、CF4及%或氬氣、qF8及〇2。氣The (RTP) chamber, such as the RTp system or batch device 104 for thermal annealing, can adjust process parameters such as electrode spacing back maintaining ae) air pressure, total flow rate, flow ratio, lower electrode RF power, upper electrode rating power, Wafer holder temperature, or other. 2 is a more detailed illustration of a plasma processing system 20 in accordance with the present invention. The plasma manufacturing system 2 〇 〇 includes, as shown in FIG. 1, a process chamber i 〇 2, a substrate holder 212, and a substrate 2〇4 to be processed is fixed on the holder, the gas injection system 206, and the vacuum pump system 208. Substrate 204 can be, for example, a semiconductor substrate, a wafer, or a liquid crystal display (LCD). The process chamber 1〇2 can be configured, for example, to facilitate the generation of plasma adjacent the surface of the substrate 204 within the process region 202. The plasma can be formed by collision between hot electrons and ionizable gas in the process chamber 1〇2. The ionizable gas or mixed gas 21〇 can be introduced into the process area 2〇2 via the gas injection unit 206. Control mechanisms not shown can be used with the final pump system 2〇8. The plasma in the process zone 2〇2 can be used to create materials that are different from the predetermined material process and to help deposit material into the substrate 204 or to remove material that is exposed on the surface of the substrate 2〇4. Substrate 204, for example, can enter or exit process chamber 102 via a slot valve (not shown) and a chamber feeder (not shown) of the automated substrate transport system. The substrate 20 can be obtained by a substrate plug (not shown) fixed to the substrate holder 212, and the substrate can be mechanically transferred by means of a device fixed thereto. The substrate 205 can be fixed to the substrate holder H2 via, for example, an electrostatic tong system 2丨4. The substrate holder 2 12 includes a cooling system having a recyclable circulating coolant that absorbs heat from the substrate holder 21 and transports the tropics to the heat exchanger (not shown in Figure 12 (4). The cooling system also includes means 2 1 6 configured to monitor the temperature of the substrate 2 〇 4 and/or the substrate holder 2 1 2 . The device 216 can be, for example, a thermoelectric device such as a kappa type thermoelectric device. In addition, gas can be transferred to the back side of the substrate 248 via the back gas system 218 to improve the inter-gas thermal conductivity between the substrate 604 and the substrate holder 212. The backside gas system 2 18 can be utilized when the substrate 250 needs to be warmed or cooled. For example, balancing the heat flux transferred from the plasma to the substrate 2 及4 and the heat flux removed from the substrate 204 is conducted to the substrate holder 212 causing the temperature to exceed the steady state temperature, and the temperature control of the substrate 204 is useful. . The substrate holder 212, for example, can further function as an electrode from which rf power can be transferred to the plasma within the process chamber 202. For example, the substrate holder 2丨2 can be biased to an RF voltage, and RF power can be transmitted from the RF generator 22 through the impedance matching network 22 2 to the substrate holder. The RF bias can act as a hot electron, thus forming and maintaining Plasma. With this setting, the system operates as a reactive ion etching (RIE) reactor in which the chamber and the upper gas injection electrode act as a ground plane. The frequency of the general RF bias ranges from "" to "10" and can be 13.56 MHz. The plasma process system is well known to those skilled in the art. Or 'a plurality of frequencies of RF power can be supplied to the substrate holder electrode. In addition, the 'impedance matching network 2 2 2 maximizes the R ρ power delivered to the plasma in the process chamber 2 0 2 by reducing the reflected power. Matching the network architecture (eg [type, π, T, etc. And the automatic control method is well known in the art. n The process gas 2 1 图 of FIG. 2 can be introduced into the process area 20 2 via the gas injection system 2 〇 6. For example, the process gas 210, for example, includes a mixed gas for etching. Argon gas, CF4 and % or argon, qF8 and 〇2.

第8頁 1264043 五、發明說明(5) 體注入系統2 0 6包括喷氣頭,其中製程氣體2 1 〇可從氣體傳 輸系統(未圖示)通過氣體注入室(未圖示)、一連串的 緩衝板(未圖示)及多孔喷氣頭氣體注入板(未圖示)供 應至製程區域2 0 2。氣體注入系統是熟悉本技術者所熟知 的0Page 8 1264043 V. INSTRUCTIONS (5) The body injection system 2 0 6 includes a gas jet head, wherein the process gas 2 1 〇 can pass through a gas injection system (not shown) through a gas injection system (not shown), a series of buffers A plate (not shown) and a porous jet gas injection plate (not shown) are supplied to the process area 202. The gas injection system is well known to those skilled in the art.

真空泵系統2 0 8,例如,包括每秒抽氣速率5 0 〇 〇升 (且更大)的渦輪分子式真空泵(TMP )及調節腔室壓力 之閘閥。在習知之電漿製程中,使用乾式電漿蝕刻裝置, 丁%?每秒需抽氣1〇〇〇至3〇〇〇升。了^1?通常在低於5〇111了〇1^的 低壓製程中是有用的。在更高的壓力下,TMP抽氣速率便 會急劇降低。對於高壓製程而言,如高於100 mTorr,可 使用機械升壓泵及乾式略抽泵。此外,監測腔室壓力之穿 置224可連接至製程腔室102。壓力量測裝置224,例如,、 可為MKS Instruments公司(Andover、MA)所販售的^心 型Baratr〇n絕對電容壓力計。 電桌製程糸統2 0 0更包括設定為測量特性量測之产曰 工具2 3 0,如在|虫刻系統中,餘刻率、餘刻選擇比(亦『 一材料對另一材料之蝕刻率比)、蝕刻均勻性、圖案 角及關鍵尺寸。度量工具23〇可為内部或外部f置"。'輪廊 内部裝置’度量工具230可為,例如’結合^ ^輪.^為 儀及光束輪廓反射儀之散射儀。散射儀可中A 1啊偏 巧 4 疋位於棘敕B办 (未圖示)内分析進入或離開製程腔室丨〇2之芙' 砂心室 為外部裝置,度量工具2 30可為,例如 =反2 04。若 鏡(SEM ),其中將基板切開,照射圖幸卞^微 彔亚確定特性參The vacuum pump system 208 includes, for example, a turbomolecular vacuum pump (TMP) with a pumping rate of 50 〇 liters per second (and larger) and a gate valve that regulates chamber pressure. In the conventional plasma process, a dry plasma etching apparatus is used, which requires 1 to 3 liters per second. ^1? is usually useful in low presses below 5〇111. At higher pressures, the TMP pumping rate drops dramatically. For high pressure processes, such as above 100 mTorr, mechanical booster pumps and dry pumping pumps are available. Additionally, the monitoring chamber pressure passage 224 can be coupled to the process chamber 102. The pressure measuring device 224, for example, can be a heart-shaped Baratr〇n absolute capacitance manometer sold by MKS Instruments (Andover, MA). The electric table process system 2000 includes the calving tool 2 3 0 set to measure the characteristic measurement. For example, in the insect engraving system, the residual ratio and the residual selection ratio (also "one material versus another material" Etching rate ratio), etching uniformity, pattern angle, and critical dimensions. The metrology tool 23 can be set to internal or external f. The 'Wall Gallery Internal Unit' metrology tool 230 can be, for example, a scatterometer that combines the ^^ wheel and the beam profile reflectometer. The scatterometer can be in the A 1 偏 4 4 疋 疋 疋 疋 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' 2 04. If the mirror (SEM), which cuts the substrate, the illumination map is fortunately

12640431264043

控制器1 0 8包括微處理器、記憶體及可產生足以溝通 與啟動輸入至電漿製程系統丨〇 〇之控制電壓的數位丨埠, 及瓜測電桌製程糸統1 〇 〇之輸出。此外,控制器1 〇 8連接並 與RF產生器2 2 0、阻抗匹配網路2 22、氣體注入系統2〇6、 真空泵系統2 08、壓力量測裝置2 24、背面氣體傳輸系統 218_、基板/基板座溫度量測系統216、靜電夾鉗系統214及 度量工具230交換資訊。儲存於記憶體的程式根據所儲存 之製程可用來啟動輸入至電漿製程系統2 〇 〇之前述零件。 圖3係根據本發明另一實施例,說明一電漿製程系統 300。如圖3所示,除了參考圖}及圖2所述之零件外,圖2 所示之電漿製程系統2 〇 〇,例如,更包括一機械式或電子 式d c旋轉磁場系統3 〇 4,藉此增加電漿密度及/或改善電槳 製程均勻性。此外,控制器108可連接旋轉磁場系統3〇 4以 調整旋轉速度及磁場強度。設計及實現一旋轉磁場是熟悉 本技術者所熟知的。The controller 1 0 8 includes a microprocessor, a memory and a digital port capable of generating a control voltage sufficient to communicate and initiate input to the plasma processing system, and an output of the meter system. In addition, the controller 1 〇8 is connected to and coupled to the RF generator 220, the impedance matching network 2 22, the gas injection system 2〇6, the vacuum pump system 208, the pressure measuring device 24, the back gas transmission system 218_, and the substrate. The substrate holder temperature measurement system 216, the electrostatic clamp system 214, and the metrology tool 230 exchange information. The program stored in the memory can be used to initiate the input to the plasma processing system 2 根据 根据 according to the stored process. 3 illustrates a plasma processing system 300 in accordance with another embodiment of the present invention. As shown in FIG. 3, in addition to the parts described with reference to FIG. 2 and FIG. 2, the plasma processing system 2 shown in FIG. 2, for example, further includes a mechanical or electronic dc rotating magnetic field system 3 〇 4, Thereby increasing the plasma density and/or improving the uniformity of the electric paddle process. Further, the controller 108 can be connected to the rotating magnetic field system 3 〇 4 to adjust the rotational speed and the magnetic field strength. Designing and implementing a rotating magnetic field is well known to those skilled in the art.

圖4係根據本發明另一實施例,說明一之電漿製程系 統400 °圖4之電漿製程系統400類似圖1及圖2所述之系 統;然而圖4之系統40 0更包括上電極402,RF電力可從RF 產生器404經由阻抗匹配網路222連接至上電極4 02。一般 供應至上電極40 2的電力頻率範圍從0· 1MHz至30MHz且可為 2MHz。此外,控制器1 〇8可連接RF產生器404以控制供應至 上電極402的RF電力。設計及實現一上電極是熟悉本技術4 is a plasma processing system 400 according to another embodiment of the present invention. The plasma processing system 400 of FIG. 4 is similar to the system of FIGS. 1 and 2; however, the system 40 of FIG. 4 further includes an upper electrode. 402. RF power can be coupled from RF generator 404 to upper electrode 422 via impedance matching network 222. The power frequency supplied to the upper electrode 40 2 generally ranges from 0·1 MHz to 30 MHz and may be 2 MHz. Further, the controller 1 〇 8 can be connected to the RF generator 404 to control the RF power supplied to the upper electrode 402. Designing and implementing an upper electrode is familiar with the technology

第10頁 1264043 五'發明說明(7) 者所熟知的Page 10 1264043 Five 'Inventions (7) Well known

圖5係根據本發明另一實施例,說明一電漿製程系統 5〇〇。如圖5所示,圖1之系統更包括一感應線圈510,RF電 力從RF產生器5 2 0經由阻抗匹配網路5 3 0連接感應線圈 51〇 °RF電力從感應線圈510經由一介電層窗(未圖示)感 應輕合至電漿製程區域2 0 2。一般供應至感應線圈5 10的電 力頻率範圍從10MHz至100MHz且可為13. 56MHz。同樣地, 一般供應至晶片固定器電極的電力頻率範圍從丨0MHz至 100MHz且可為13· 56MHz。此外,可使用槽狀Faraday鐘罩 (未圖示)減少感應線圈5 1 〇與電漿間的電容性耦合。此 外’控制器1 〇 8可連接RF產生器5 2 0及阻抗匹配網路5 3 0以 控制供應至感應線圈51 〇的電力。設計及實現一感應耦合 電漿(ICP )源是熟悉本技術者所熟知的。 或者,電漿可使用電子迴旋共振(ECR )、發射螺旋 波或傳播表面波形成。每一類型之電漿源皆是熟悉本技術 者所熟知的。 ^ 在圖1至圖5中,基板204可在製程腔室1〇2内進行製程 且可利用,例如,度量工具230進行特性量測。特性量測Figure 5 illustrates a plasma processing system 5 in accordance with another embodiment of the present invention. As shown in FIG. 5, the system of FIG. 1 further includes an induction coil 510. The RF power is connected from the RF generator 52 to the induction coil 51 via the impedance matching network 530, and the RF power is transmitted from the induction coil 510 via a dielectric. The layer window (not shown) is inductively coupled to the plasma process area 202. The power frequency of the induction coil 5 10 is generally from 10 MHz to 100 MHz and may be 13.56 MHz. Likewise, the power frequency typically supplied to the wafer holder electrodes ranges from 丨0 MHz to 100 MHz and can be 13.56 MHz. In addition, a slotted Faraday bell (not shown) can be used to reduce the capacitive coupling between the induction coil 5 1 〇 and the plasma. Further, the controller 1 〇 8 can connect the RF generator 520 and the impedance matching network 530 to control the power supplied to the induction coil 51 。. Designing and implementing an inductively coupled plasma (ICP) source is well known to those skilled in the art. Alternatively, the plasma may be formed using electron cyclotron resonance (ECR), a transmitting spiral wave, or a propagating surface wave. Each type of plasma source is well known to those skilled in the art. In Figures 1 through 5, the substrate 204 can be processed in the process chamber 1 〇 2 and can be utilized, for example, by the metrology tool 230 for characterization. Characteristic measurement

包括,例如,蝕刻率、蝕刻選擇比(亦即第一材料對第一 材料之蝕刻率比)與蝕刻關鍵尺寸(例如圖案之長度戈二 度)、蝕刻圖案非等向性(例如蝕刻圖案側壁輪廊)、, 膜特性(例如薄膜應力、多孔性等等)、電襞漆择 ___ — I 例如 可從Langmui r探針得知)、離子能(例如可從離早处_ 、身 T肖b 5晋公 析儀得知)、化學物質濃度(例如可從光學放射頻皱八 ㈡ yJ jrfrIncluding, for example, etch rate, etch selectivity ratio (ie, etch rate ratio of first material to first material) and etch critical dimension (eg, length of pattern), etch pattern anisotropy (eg, etch pattern sidewalls) The porch), film properties (eg film stress, porosity, etc.), enamel paint ___ — I can be found, for example, from the Langmui r probe), ion energy (for example, from the early _, body T Xiao b 5 Jingong analyzer knows), the concentration of chemical substances (for example, from the optical radio frequency wrinkle eight (two) yJ jrfr

第11頁 1264043 五 發明說明(8) ::、蝕刻幕罩(例如光阻),專膜厚度、蝕刻幕罩(例 σ光阻)圖案關鍵尺寸、^ ^ ^ ^ ^ ^ ΠΓ A ^ 』從用电&妹針里測之自我感應 :J扁£VDC、峰值對峰值RF基板偏壓&及以電壓或電流 幅。電漿之非線性特性會產生諧波,&諧波也可 與其匕特性參數一起量測。 t包括,例如,製程壓力、氦氣背面氣體壓 =、製程氣體(例如cf4、c4f8、〇2、Ar等等)、局部壓Page 11 1640043 Fifth invention description (8) ::, etching mask (such as photoresist), film thickness, etching mask (such as σ photoresist) pattern key size, ^ ^ ^ ^ ^ ^ ΠΓ A ^ 』 from Self-sensing measured by electricity & sister pin: J flat £VDC, peak-to-peak RF substrate bias & and voltage or current amplitude. The nonlinear nature of the plasma produces harmonics, and the harmonics can also be measured along with their 匕 characteristic parameters. t includes, for example, process pressure, gas pressure on the back side of helium, process gas (eg, cf4, c4f8, 〇2, Ar, etc.), partial pressure

1、、製程氣體流速、上電極”電力、下電極心電力、基板 (或晶片固定器)溫度、電極間距及聚焦環尺寸。製程壓 1在製程期間可使用一壓力量測裝置224變更例如閘閥設 定或總製程氣體流量調整及監測。藉由下指令至訂產生器 220-、匹配網路2 22、雙向耦合器(未圖示)及電力計 ,^ )可調整及監測向前及反射的RF電力。使用流量控制 裔調整製程氣體成份流速可調整及監測製程氣體局部壓 力:使用背面氣體傳輸系統21 8包括一壓力調節器可調整 及監測(氦氣)背面氣體壓力。此外,使用溫度監測系"統 21 6可監測基板溫度。 或者,製程參數包括薄膜材料黏度 '薄膜表面張力、 曝露時間及聚焦深度。 1 更詳細地參考製程系統之製程及特性參數的量測,圖 3係為一簡化圖6 0 0,說明在製程腔室内量測之RF訊號及諧 波成份w厂' 及振幅量測Χι—&。在圖6,基頻6〇2延著χ軸標 示為w。母一諧頻延著X轴標示為'、w2、w3、w4、w5等等。 為了獲得製程系統最佳特性,可使用反應表面分析。1. Process gas flow rate, upper electrode "electricity, lower electrode power, substrate (or wafer holder) temperature, electrode spacing, and focus ring size. Process pressure 1 can be changed, for example, by a pressure measuring device 224 during the process. Set or total process gas flow rate adjustment and monitoring. Adjust and monitor forward and reflected by sub-command to order generator 220-, matching network 2 22, bidirectional coupler (not shown) and power meter RF power. Use flow control to adjust process gas composition flow rate to adjust and monitor process gas partial pressure: use back gas transmission system 21 8 including a pressure regulator to adjust and monitor (helium) back gas pressure. In addition, use temperature monitoring The system parameters can be monitored by the system. The process parameters include the film material viscosity, the film surface tension, the exposure time and the depth of focus. 1 Refer to the process and process parameters of the process system in more detail. Figure 3 shows A simplified diagram 600 shows the RF signal and harmonic component w factory' and the amplitude measurement Χι-& measured in the process chamber. Figure 6, base The frequency 6〇2 is indicated by the χ axis as w. The mother-harmonic frequency is marked by the X-axis as ', w2, w3, w4, w5, etc. In order to obtain the best characteristics of the process system, the reaction surface analysis can be used.

第12頁 1264043 五、發明說明(9) 反應表面分析為連接重要獨 的數學或圖形表示。獨立變,數、控制因子及從屬變數 因子。例如流速及溫度。彳^ | =控制的或是認為可控制的 變數的結果。在本發明之二〜^數為設定單一或更多獨立 改善或尋找電漿製程之最佳^ 中,利用反應表面方法 特性量測便會與反應表面八^程°又疋,圖1裝置6所測得之 面方法之設計是為了評估二::3型f測比•。反應表 應表面之形狀。反應表面振效應以便了解反 或他們的二次項或欠項吝^八,主效應及交互作用有關 丸二1 項產生曲率。在某些環境下,反應 表面可只以主效應及交互作 心 行為需要二次項或三次項:m然而完整說明製程 改變影響本製程選定的舌西门7 糈田汉應表面万淥 旦^表枉選疋的重要因子,在製程操作能力上進行 ϊ測,刀析此資料可顯示調整或改善製程特性之因子。 本發明之反應表面方法是以實驗設計(D0E )為基 ’使用胃例如一般矽蝕刻製程之製程參數。表1提供可從 裝置104量測及凋整的示範製程參數。表1每一製程參數的 有效範圍皆已制定。例如,製程參數可調整至高(+)、 中(〇 )或低(-)準位,以Βοχ一Behnken設計為基礎,每 因子$要二準位。B〇x-Behnken設計為一獨立二次設 計’並不包含内嵌階乘或分數矩陣。在此設計中,組合是 在製程空間邊緣的中點及中央。 表1Page 12 1264043 V. INSTRUCTIONS (9) Reaction surface analysis is the connection of important mathematical or graphical representations. Independent variables, numbers, control factors, and dependent variable factors. For example, flow rate and temperature.彳^ | = The result of a controlled or considered variable. In the second embodiment of the present invention, in order to set a single or more independent improvement or to find the best process of the plasma process, the measurement of the characteristics of the reaction surface method will be combined with the reaction surface, and the device 6 of FIG. The method of measuring the surface is designed to evaluate the two::3 type f ratio. The reaction table should have the shape of the surface. The surface vibration effect is reflected in order to understand the inverse or their quadratic term or the underlying term. The main effect and interaction are related to the curvature of the Pill 2 term. In some environments, the reaction surface may only require a secondary or tertiary term with the main effect and interaction behavior: m. However, the complete description of the process changes affects the selection of the tongue of the process. The important factor of 疋 is to make a test on the process operation capability. The analysis of this data can show the factors that adjust or improve the process characteristics. The reaction surface method of the present invention is based on an experimental design (D0E) using process parameters of a gastric, e.g., general etch process. Table 1 provides exemplary process parameters that can be measured and faded from device 104. Table 1 shows the valid range for each process parameter. For example, the process parameters can be adjusted to high (+), medium (〇), or low (-) levels, based on the Beοχ-Behnken design, with a factor of two per level. B〇x-Behnken is designed as an independent secondary design' and does not contain inline factorial or fractional matrices. In this design, the combination is at the midpoint and center of the edge of the process space. Table 1

第13頁 1264043 五 '發明說明(10) 製程參數(因子)P 準你 間隔,電極間跑 +、ft ' — He,背面He壓: + ' 0、-HP Ρ,製程屋力ρ +、0、… Q,總流速# + ' 〇、 ,流速比ρ + 、 0 、 ,下電極RF電力p +、0、— 段D,上電極RF電力p + ' 0、— T,晶片固定器溫度p +、0、—Page 13 1640043 V'Invention Description (10) Process parameters (factor) P Pre-interval, run between electrodes +, ft ' — He, back He pressure: + ' 0, -HP Ρ, process house force ρ +, 0 , Q, total flow rate # + ' 〇 , , flow rate ratio ρ + , 0 , , lower electrode RF power p +, 0, - segment D, upper electrode RF power p + ' 0, — T, wafer holder temperature p +, 0, -

本技術是以有系統地改變獨立變數準位為基礎。仔細 分析資料可提供有關輸入變數如何影響反應之無價資訊並 可顯者地改善產品及製程。 … 使用審查設計(screening design)決定合理的運轉 次數以便發展以相關因子數量為基礎之反應表面。提供下 列,例作為決定合理運轉次數之範例:針對一三級、四因 子灵驗,考慮所有因子每一可能的組合,需要Η 次的運轉次數。因此,以4個以上的製程參數為基礎之反 應表面使用一局部組合的運轉次數決定主互交作用及反應 表面。The technique is based on systematically changing the independent variable level. Careful analysis of the data provides invaluable information on how the input variables affect the response and can significantly improve the product and process. ... use a screening design to determine the number of reasonable runs in order to develop a reaction surface based on the number of relevant factors. The following is provided as an example of determining the number of reasonable operations: for a three-level, four-factor efficiency, considering the possible combinations of all factors, the number of operations required is required. Therefore, the reaction surface based on more than four process parameters determines the primary interaction and the reaction surface using a partial combined number of runs.

在貝施例中’可建構針對蝕刻均句性之反應表面, 例如在100msec間隔從一蝕刻工具收集均勻性上的資料、In the case of Bayes, it is possible to construct a reaction surface for etching uniformity, for example, collecting data on uniformity from an etching tool at intervals of 100 msec,

Vdc及前三個RF諧波(Wi、f3)所發射的電力。挑選 l〇〇mSec間隔與此系統一般伺服反應時間相符合。具有較 長反應時間之系統可以有較長的取樣間隔;具有較短伺服The power emitted by Vdc and the first three RF harmonics (Wi, f3). The l〇〇mSec interval is chosen to match the general servo response time of this system. Systems with longer response times can have longer sampling intervals; with shorter servos

1264043 五、發明說明(11) 反應時間之系統需要較短的取樣間隔。藉由減 後除以量測參數之標準差正規化資料組之每一 句值然 化值是以下列方程式表示:(Vt — v ) /v ,复 。正規 t的量測值、Vm為運轉30秒的平均值m ^ /為時間 ,。例如,如果Vdc平均量測值在總計3〇 :準 ’而量測值的標準差為6,5 volt m 桃-234)/6.5。從此資料可建立反應表面資料丄見化: -次運轉表面皆可產生可接受的結果,例如可接 ; =較此結果儲存於資料庫中以便與之後製程運轉的資料2 圖7A係為一流程圖,根據本發明實施例,說明一反應 表面方法。在圖7A中,製程始於P7〇2持續進行至p7〇4及^ Ρ70 6。在Ρ70 4及Ρ7 0 6,量測工具特性趨勢。特性量測包 括,例如,關鍵尺寸量測(CD)、峰值對峰值RF電壓(Vpp)及 自我發展DC偏移(VDC)與n + i RF諧波量測X至Xn。 製程持續進行至Ρ708。在Ρ708,Ρ704及Ρ706之特性及 拍波$測與反應表面模型比較。此部分先藉由決定最佳反 應表面722來完成’最佳反應表面722最接近,例如,圖7Β 之置測反應7 2 0。接著,決定量測反應7 2 〇及最佳反應表面 722間的差距。此差距用來調整操作參數,將量測反應72〇 朝向最佳反應表面722移動。 例如,藉由尋找最接近量測反應表面72〇之表面模型 7 2 2比較量測反應7 2 0與反應表面模型7 2 2。之後,以對應 於最接近表面模型7 2 2之製程參數與用來產生量測反應7 2 01264043 V. INSTRUCTIONS (11) The system of reaction time requires a short sampling interval. Each value of the normalized data set is normalized by subtracting the standard deviation of the measured parameters by the following equation: (Vt — v ) /v , complex. The measured value of the regular t, Vm is the average value of the operation 30 seconds m ^ / is the time. For example, if the average Vdc measurement is in the total of 3 〇: quasi- and the standard deviation of the measurements is 6,5 volt m Peach - 234) / 6.5. From this data, the surface of the reaction surface can be established: - the secondary surface can produce acceptable results, such as can be connected; = the result is stored in the database for subsequent processing of the process 2 Figure 7A is a process BRIEF DESCRIPTION OF THE DRAWINGS A method of reacting a surface is illustrated in accordance with an embodiment of the present invention. In Fig. 7A, the process starts from P7〇2 and continues to p7〇4 and ^70. At Ρ70 4 and Ρ7 0 6, measure the characteristic characteristics of the tool. Characteristic measurements include, for example, critical dimension measurements (CD), peak-to-peak RF voltage (Vpp), and self-developed DC offset (VDC) and n + i RF harmonic measurements X to Xn. The process continues until Ρ708. The characteristics of Ρ708, Ρ704 and Ρ706 and the beat wave measurement are compared with the reaction surface model. This portion first completes the 'optimal reaction surface 722' by the decision of the optimal reaction surface 722, for example, the set reaction 720 of Figure 7A. Next, it was decided to measure the difference between the reaction 7 2 〇 and the optimal reaction surface 722. This gap is used to adjust the operating parameters to move the measurement reaction 72 朝向 toward the optimal reaction surface 722. For example, by comparing the surface model 7 2 2 closest to the measurement reaction surface 72 2, the measurement reaction 72 2 is compared with the reaction surface model 7 2 2 . Thereafter, the process parameters corresponding to the closest surface model 7 2 2 are used to generate the measurement reaction 7 2 0

第15頁 1264043 五、發明說明(12) 之製程參數兩者間的差距為基礎調整製程參數。特性及諧 波量測趨勢,例如,CD、I、I、χ、^、&、···',可以 下列方式確定特性量測對製程參數間的複雜關係: x 二 f (gap、He、P、Q、%Q、RFb、RFf、τ);Page 15 1264043 V. The difference between the process parameters of the invention (12) is based on the adjustment of the process parameters. Characteristics and harmonic measurement trends, for example, CD, I, I, χ, ^, &,···', can determine the complex relationship between the characteristic measurement and the process parameters in the following ways: x two f (gap, He , P, Q, %Q, RFb, RFf, τ);

Xi= g(gap、He、P、Q、%Q、RFb、RF:、T); x2 = h(gap、He、P、Q、、RFfa、仏、T); T) T) Τ) Τ); 以特性量測對 RFt ‘ RFt • RFt RFt xn = m(gap、He、P、Q、%q、RF 七 及 CD = P(gap、He、P、Q、%q、Rf ‘ vpp = q(gap、He、P、Q、、rf VDC = r(gap、He、P、Q、%Q、RFb ' 因此,在p 7 1 〇,藉由上述確 應720接近表面模型722是有可^部製程參數使得量測反 集特性量測至最佳表面所需完^的。特別是確認驅動或聚 在P712,確定現有P710之=步驟是有可能的。 所需之調整回到Ρ7〇4 ^ 如果製程參數滿足預期結果, 行下一組量測。 , 類似圖7 Α之反應表面方法,、 之預期結果如先前預測的製程表=參數是否滿足姓刻工具 是以現有製程需求為基礎,例如^。敍刻工具之預期結果 或<1°/。細微變化損壞(shading d均勻性+ /-3%或99. 7%良率 參數無法滿足預期結果,製程就a^age )等等。如果製程 經由先進製程控制(APC)系統調餐會持續進行至P714,其中 所需之調整回到P7 〇4。 製裎參數。此製程依據 4程便會回到P7 04以進 珅經網路方法 可與本發明Xi= g(gap, He, P, Q, %Q, RFb, RF:, T); x2 = h(gap, He, P, Q, RFfa, 仏, T); T) T) Τ) Τ ); measure the characteristic RFt ' RFt • RFt RFt xn = m (gap, He, P, Q, %q, RF VII and CD = P (gap, He, P, Q, %q, Rf ' vpp = q(gap, He, P, Q, rf VDC = r(gap, He, P, Q, %Q, RFb ' Therefore, at p 7 1 〇, it is possible to approach the surface model 722 by the above 720 The process parameters make it necessary to measure the back-off characteristics to the optimal surface. In particular, to confirm the drive or gather at P712, it is possible to determine the existing P710 = step. The required adjustment is returned to Ρ7〇 4 ^ If the process parameters meet the expected results, proceed to the next set of measurements. Similar to Figure 7, the reaction surface method, and the expected results, such as the previously predicted process table = whether the parameters meet the surname tool is based on the existing process requirements. For example, ^. Expected result of the etch tool or <1°/. Minor change damage (shading d uniformity + /-3% or 99. 7% yield parameter can not meet the expected result, the process is a ^age), etc. Etc. If the process is controlled by advanced processes (APC) system call dinner continued until P714, wherein the desired adjustment of the back 〇4 P7 manufactured by take off clothes parameters according to this process will be returned to the process P7 4 to 04 into the network by Shen and method of the present invention

1264043 五、發明說明(13) 之系統一同使用將整個製程特性最佳化。神經網路 大量平行操作的處理器有關,每一處理器都具有其:與 的知識及存取其記憶體中的資料。神經網路會經過圍 練」或輸入大量資料及有關資料關係之規則。圖9 ^ 一神經網路模型9 0 0。 ’、°兄月 在圖9中,輸入層904接收來自輸入9〇2之值。輪 Μ 4之每一神經兀皆具有其加權值。每一神經元也具二 臣⑽界值。如果所有加權啟動輸入之總和大於臨界值,絲 元便會啟動。 r、、里 在網路中節點本身相互連接,當節點暴露於資 確認資料中的圖案。此網路可從每一暴露中「學習 僅須依照固定的連續指令便可從傳統計算程式中區^ =路。如圖9所示,輸入902至輸入層9〇4包括RF輸入電力二 『ΙΝ、偏壓Vdc、包括諧波量測乂、χι、χ2·%之特性參數 ^ρ5及時間間隔讀數,其它輸入902在(ts2Q-ts28)内量 =可變的節點數設置中間或隱藏層9〇6。隱藏層9〇6 ^ :路大部分的工作。輸出層9〇8具有複數節點,而且如丁圖: 不,產生如製程OK及RFapplied。隱藏層9〇6内的每一節點 ,全輸入層9 04之輸出連接。在隱藏節點内所學習的資訊 所有的輸入為基礎,允許此網路學習模型内的相互關 針對每一隱藏節點及每一輪出節點進行加權總和。 ^母一總和值前進至下一隱藏層或輪出層9〇8前,使用 線性函數轉換每一總和。 建立神經網路以模擬製程,可確認哪一製程參數影響1264043 V. The system of invention (13) is used together to optimize the overall process characteristics. Neural networks are related to a large number of processors operating in parallel, each with its knowledge of and access to the data in its memory. The neural network will be circulated or enter a large amount of data and rules regarding the relationship of the data. Figure 9 ^ A neural network model 900. In the Figure 9, the input layer 904 receives the value from the input 9〇2. Each neural crest of the rim 4 has its weight value. Each neuron also has a second (10) threshold. If the sum of all weighted start inputs is greater than the critical value, the filament will start. r, , and in the network, the nodes themselves are connected to each other when the node is exposed to the pattern in the confirmation material. From this exposure, the network can learn from the traditional computing program area only by pressing a fixed continuous command. As shown in Figure 9, input 902 to input layer 9〇4 includes RF input power. ΙΝ, bias voltage Vdc, including harmonic measurement 乂, χι, χ2·% characteristic parameter ^ρ5 and time interval reading, other input 902 in (ts2Q-ts28) amount = variable number of nodes set intermediate or hidden layer 9〇6. Hidden layer 9〇6 ^ : Most of the work of the road. The output layer 9〇8 has a complex node, and as shown in the figure: No, it produces such as process OK and RFapplied. Each node in the hidden layer 9〇6 The output connection of the full input layer 9 04. Based on all the input of the information learned in the hidden node, the interaction within the network learning model is allowed to perform weighted summation for each hidden node and each round of outgoing nodes. A summation value is used to convert each sum before advancing to the next hidden layer or rounding layer 9〇 8. Establishing a neural network to simulate the process, which process parameters can be confirmed

第17頁 1264043 五、發明說明(14) :寺性收集複數特性量測。在均句性上的資 如,在100mSec的時間間隔内從蝕 及 统Η㈣ 一般伺服反應時間。具有較長反應時間之系 時間間隔;然❿,具有較短飼服反應時 =糸^要較短取樣時間間隔。藉由減去平均值然後除 里’見4數之標準差正規化資料組的每一數據。藉由下列 I程式確認正規化值:(Vt_vj/Vsd,其中Vt為時間t之量測 vm為運轉3 0秒之平均值而Vsd為量測之標準差。例如, 如果Vdc的平均量測值在每一時間間隔總計為3〇秒為234 volts,而量測值的標準差為β·5 v〇its,則正規化值為(Vf 一 234)/6. 5。在統計有意義之製程數量後,例如10〇,針 對符合每一製程之時間間隔,計算協方差矩陣之特徵解分 析資料。使用實際資料,提供一計算協方差矩陣之特徵解 範例如下:Page 17 1264043 V. Description of invention (14): Measurement of complex characteristics of temple collection. The uniformity of the sentence, from the eclipse and the rectification (4) general servo response time in the interval of 100mSec. A time interval with a longer reaction time; then, with a shorter feeding response = 糸 ^ requires a shorter sampling interval. Each data of the data set is normalized by subtracting the average value and then dividing the standard deviation of 4 numbers. The normalized value is confirmed by the following I program: (Vt_vj/Vsd, where Vt is the measurement of time t, vm is the average value of the run 30 seconds and Vsd is the standard deviation of the measurement. For example, if the average value of Vdc is measured In each time interval, the total is 3 〇 234 volts, and the standard deviation of the measured value is β·5 v 〇its, then the normalized value is (Vf 234) / 6.5. The number of processes that are statistically significant After that, for example, 10〇, the characteristic solution analysis data of the covariance matrix is calculated for the time interval of each process. Using the actual data, an example of the feature solution for calculating the covariance matrix is as follows:

Co var(5) 0.222 0.064 0.064 0.137 - 0,1 -0107 - 0.013 -0.014 〜l_128xlCT3 一 1.929x10 計處及整理特徵值: -0.1 -0.013 - l_128xlCT3 -0.107 - 0.014 - 1.929xl0_3Co var(5) 0.222 0.064 0.064 0.137 - 0,1 -0107 - 0.013 -0.014 ~l_128xlCT3 A 1.929x10 Counting and finishing feature values: -0.1 -0.013 - l_128xlCT3 -0.107 - 0.014 - 1.929xl0_3

0.097 0.013 1.565xlCT3 0.013 1.653x10-3 2·055χ1(Τ4 1.565χ10~3 2.055Χ10-4 2.737 χ!0~5 V = r e v e r s e ( s o r t ( e i g e n v a 1 s ( S )))0.097 0.013 1.565xlCT3 0.013 1.653x10-3 2·055χ1(Τ4 1.565χ10~3 2.055Χ10-4 2.737 χ!0~5 V = r e v e r s e ( s o r t ( e i g e n v a 1 s ( S )))

第18頁 1264043 五、發明說明 (15) '0.34' 74.461 - 〇·1Π 25.539 F = 0 Pet = 1.821x10 一14 0 Γ·1〇〇 2.759x10—15 —0 一 9.291xlCT15 在此範例中,可證明3rd、4th、5th諧波與重要之量測值 是無關的,因此在此時間間隔内不需考慮。測驗每一時間 間隔,針對反應表面的產生,選定所有相關的諳波。此範 例資料之更進一步的時間間隔分析顯示2nd及3rd譜波是重要 的,而4th及5th諧波可忽略不計。Page 18 1640043 V. Description of invention (15) '0.34' 74.461 - 〇·1Π 25.539 F = 0 Pet = 1.821x10 A 14 0 Γ·1〇〇2.759x10—15 —0 A 9.291xlCT15 In this example, It is proved that the 3rd, 4th, and 5th harmonics are independent of the important measured values, so there is no need to consider them during this time interval. Each time interval is tested and all relevant choppings are selected for the generation of the reaction surface. Further time interval analysis of this sample data shows that the 2nd and 3rd spectral waves are important, while the 4th and 5th harmonics are negligible.

在網路的「訓練」期間,網路重覆地從可得到的資料 顯示出觀測資料,這些資料皆與需要解決的問題有關。'例 如,倒傳遞(BP )網路可藉由範例學習,亦即,針對 :例’提供-組包括-些輸入範例及已知正確輸出 没定。 白 範案例應 礎的輸出 的輸出比 路傳回, 示範案例 案例重覆 環直到整 習此問題 用於此網路,此網路 (一開始,輸出為隨 較並計算均方誤差訊 而且每一層之加權皆 ’計算加權變化以減 整個過程,然後再回 體誤差值低於一些預 ’需注意的是網路將 產生以 機值) 號。之 會微量 少誤差 到第一 定的臨 不再精 進行: 其鍵結 。此輪 後此誤 改變。 訊號。 案例等 界值。 確地學 值之現 出與已 差值會 針對討 針對每 等。重 此時網 習理想 狀為基 知之好 經由網 論中的 示範During the "training" of the network, the network repeatedly displays observations from available data, all of which are related to the problem to be solved. For example, a reverse-transfer (BP) network can be learned by example, that is, for example: the provided-group includes some input examples and the known correct output is not determined. The output of the white-fan case-based output is more than the way back, and the demonstration case case is repeated until the problem is solved for this network. This network (in the beginning, the output is calculated and the mean square error is calculated and each The weighting of one layer is 'calculating the weighted change to reduce the whole process, and then the error value of the returning body is lower than some pre-notes that the network will generate the machine value. There will be a small amount of error, and the first will be no longer refined: its bond. This error has changed after this round. Signal. Case and other boundary values. It is true that the difference between the present value and the existing value will be targeted at each other. At this time, the net ideal of learning is a good knowledge.

第19頁 1264043 五、發明說明(16) 反而是漸近地接近理想函數。 圖11係根據本發明,說明一修改神經連接加權之範 例。在圖11中,(I〗、12)、(心、H2)及((^、02)分別設計為 一(2、2、2)倒傳遞網路之輸入隱藏層輸出1104及輸出層 輸出。隱藏節點1與2之輸出為 (1) (2) (3) (4) J-1 且 Μ 其中 輸出層輸出為 7S-1 且 (5) O^sgmij^HX,) 或,使用(1 )及(2 ) (6) 且Page 19 1264043 V. Description of invention (16) Instead, it approaches the ideal function asymptotically. Figure 11 illustrates an example of modifying neural connection weighting in accordance with the present invention. In FIG. 11, (I, 12), (Heart, H2), and ((^, 02) are respectively designed as an input hidden layer output 1104 and an output layer output of a (2, 2, 2) inverted transfer network. The output of hidden nodes 1 and 2 is (1) (2) (3) (4) J-1 and Μ where the output layer output is 7S-1 and (5) O^sgmij^HX,) or, using (1) And (2) (6) and

第20頁 1264043Page 20 1264043

以上述方程式為基礎,已知特定一組輸入計 有可能的。在此訓練範例中,針對已知輸入允許,,是 輸出及預期輸出間的均方誤差(MSE)。MSE為預期_ ^實際 有結果間差值平方的平均值。因為我們感興趣的是誤及現 線的形狀而非精確的MSE函數,因此無須除上輸出個决差曲 而且最小化演算法仍將會找出正確最小值。因此,誤’ 數可正式表示成: °、差函 E-hD^〇,)2 /、 ^ (8) 或,使用(6 )及(7), S = ΚΣ 聊 r qxBased on the above equations, it is known that a specific set of input meters is possible. In this training paradigm, for known input tolerances, is the mean squared error (MSE) between the output and the expected output. MSE is the expected _ ^ actual average of the squared difference between the results. Because we are interested in the shape of the line and not the exact MSE function, there is no need to divide the output and the minimum algorithm will still find the correct minimum. Therefore, the number of errors can be formally expressed as: °, difference E-hD^〇,) 2 /, ^ (8) or, using (6) and (7), S = ΚΣ chat r qx

M-l M \ ^ J 其中Dk為kth預期輸出。 如同範例,假設我們有輸出〇· 75及0· 05與預期輪出〇 9 及 〇· 1。(真正)MSE 現為((〇· 9 - 0· 75)2 + (0· 1-〇· 05) · )〆2 ’等於〇 · 〇 1 2 5 (注意:在倒傳遞演算法中,我們無須 除上Ν )。顯然地,針對任何已知之訓練範例,此值只為 網路的加權函數。因此,為了減少誤差,我們可以嘗試移 動表面上的最低點。為了尋找此點,必須计鼻與每一網路M-l M \ ^ J where Dk is the expected output of kth. As an example, suppose we have outputs 〇·75 and 0·05 with the expected turnout 及 9 and 〇·1. (Real) MSE is now ((〇· 9 - 0· 75)2 + (0· 1-〇· 05) · )〆2 ' is equal to 〇· 〇1 2 5 (Note: In the inverse transfer algorithm, we No need to remove the upper Ν). Obviously, for any known training paradigm, this value is only a weighting function of the network. Therefore, to reduce the error, we can try to move the lowest point on the surface. In order to find this, you must count the nose and each network.

第21頁 1264043 五、發明說明(18) 加權有關之誤差函數的梯度。然後在與梯度相反方向輕微 移動每一加權—如果表面是斜向特定方向,調整加權使 得在誤差表面上的此點往下移動。 由於S形函數的微分可以函數本身來表示,因此梯度 之計算是相當簡單的·· (1+〇“ (10) 窃 此梯度定義為多變函數對每一變數做偏微分所得之向 Ϊ。由於誤差為網路輸出之函數,因此針對與連接加權有 =每-輸出節點,首先必須計算一組偏微分。此結果是 戶 的,因為在計算偏微分時,除了感興趣的變數之外 計二輪皆保持不,。因此,唯—的線性項是出現在 1异輸出的偏微分,捨去係數,此方程式為· (11) 現在’可計算誤差函數的梯度Page 21 1264043 V. INSTRUCTIONS (18) The gradient of the weight function associated with the weighting. Then each weight is moved slightly in the opposite direction of the gradient—if the surface is skewed in a particular direction, the weighting is adjusted so that this point on the error surface moves down. Since the differentiation of the sigmoid function can be expressed by the function itself, the calculation of the gradient is quite simple. (1 + 〇 " (10) The gradient is defined as the direction of the multivariate function for the partial differentiation of each variable. Since the error is a function of the network output, therefore, for the connection-weighted = per-output node, a set of partial differentials must first be calculated. This result is household, because when calculating the partial differential, in addition to the variables of interest The second round remains unchanged. Therefore, the linear term of the only one is the partial differential appearing at the 1 different output, and the coefficient is rounded off. This equation is (11) Now the gradient of the computable error function

注意:): =~2(Α - °») isgm(S^) · dS (12) 一2(¾ -〇„)((1-落桃〇^))落^^))义Note:): =~2(Α - °») isgm(S^) · dS (12) One 2(3⁄4 -〇„)((1-落桃〇^))落^^))

12640431264043

上式 一 - 〇K)((\- sgm(y))sgm(S。)) 主一 众 Λ, liA ^ 表不為各》 。 將負梯度乘上階梯尺寸參數( 量加上附於現層之網路加權向量=學習率)並將合向 而,除非隱藏層加權也更新否則罔路加權值。然 因為這會篡改隱藏層的加權更新種序。支艾不會^生 顯然地,輸出之誤差也將會香 然而’此關係是更為複·。取得層加權的影響。 加權是視為常數而非障藏層加權,目又但攻一次輸出 於隱藏層之加權函在,,際輸出為只附 輸入節點而Μ為中間層節點卜又此罔:/有所謂的L M,L為 』嘈即點)此關係可表示為·· as 2 ml= (0^ 5^^))^(^))Σ sXnh (13) 隱藏層加權可使用與輪出層相同之程 層加權更新。在神經網路中針對一件甽練w Μ _ Λ輸出 環。 Τ奵仵訓練貝枓完成訓練循 =注意的是圖u之輸入層真的只是 般之網路中,可=::力:權需要修改。然而,在更- 是相,似的。-旦計算修改後,所有的 出)皆須更新。 k藏及輸 此外,上述為假設一(2、2、2)倒傳遞網路。從一較The above formula - - 〇 K) ((\- sgm (y)) sgm (S.)) The main public, liA ^ table is not for each. Multiply the negative gradient by the step size parameter (the quantity plus the network weighting vector attached to the current layer = the learning rate) and merge it, unless the hidden layer weighting also updates the otherwise ramp weight. However, this will tamper with the weighted update order of the hidden layer. Zhi Ai will not be born. Obviously, the error of output will also be fragrant. However, this relationship is more complex. Get the effect of layer weighting. The weighting is regarded as a constant rather than the weight of the barrier layer. However, the weighting function of the output layer is hidden in the hidden layer, and the output is only the input node and becomes the middle layer node. Here again: / There is a so-called LM , L is 』嘈即点) This relationship can be expressed as ·· as 2 ml= (0^ 5^^))^(^))Σ sXnh (13) Hidden layer weighting can use the same layer as the rounded layer Weighted update. In the neural network, for a piece of training w Μ _ Λ output ring. Τ奵仵 Training Bellow to complete the training cycle = Note that the input layer of Figure u is really just in the network, can be =:: force: the right needs to be modified. However, in more - it is like, like. - Once the calculation is modified, all the outputs must be updated. In addition, the above is a hypothetical one (2, 2, 2) inverted transmission network. From a comparison

1264043 五、發明說明(20) 有的:::Γ舊u在數學上唯-的差別為較長的總和。所 路模楚係為電―圖,f明在運轉模式中以神經網 私始於m2,持續進行至關及嶋。以此製 性量=〇、4v、^集複ΐ特性量測:此*數特性量測包括特 之RF1吩、*旦、P|* Dc。在?806,在製程工具上進行數量已知 =波1測,此製程工具可為任意半導體製造工具,包 呈。=限,之電聚製程钮刻工具、光阻工具或圖案轉移工 ""這些量測可作為輪入層g 〇 4之輸入。 /、 H q ΛΡ8〇1 P8 04之特性量測及Ρ8〇δ之11譜波量測設置於 圖9所不之神經網路模型的輸入層9〇4内。 日在1;810,使用神經網路9 0 0確認輸出91〇預測特性量測 疋否會導致一成功的製程。此製程持續進行至ρ8ι 2。 在P812,確認製程工具之預測結果是否可接受。如果 預測結果無法接受,則需要做改變。此製程持續進行至 P 81 4。1264043 V. INSTRUCTIONS (20) Some::: The difference between the old u and the mathematics is only a long sum. The road model is an electricity-map, and it is clear that in the operation mode, the neural network starts from m2 and continues to be closed. The measurement quantity = 〇, 4v, ^ set ΐ characteristic measurement: This * number characteristic measurement includes special RF1 phenotype, *dan, P|* Dc. in? 806, the number of known tools on the process tool = wave 1 test, this process tool can be any semiconductor manufacturing tool, packaged. = limit, the electro-convenient button engraving tool, photoresist tool or pattern transfer machine "" These measurements can be used as input to the wheel entry layer g 〇 4. The characteristic measurement of /, H q ΛΡ8〇1 P8 04 and the 11-wave measurement of Ρ8〇δ are set in the input layer 9〇4 of the neural network model shown in Fig. 9. At 1; 810, using the neural network 900 to confirm the output 91 〇 predictive characteristic measurement 疋 no results in a successful process. This process continues until ρ8ι 2 . At P812, confirm that the predicted results of the process tool are acceptable. If the prediction is unacceptable, you will need to make changes. This process continues until P 81 4.

在P814,經由一先進製程控制(apc)調 製程持續進行至P816。 如果製程工具之預測結果是可接受的, 。此製程持續進行至P816。 整製程參數。 則無須做改At P814, the process continues to P816 via an advanced process control (apc). If the predicted result of the process tool is acceptable, . This process continues to P816. Whole process parameters. No need to change

在P8 1 6 ’確認時間窗是否已到期。時間窗可針對一整 個運轉或一預定時段加以延長。如果時間窗已到期,2 製程持續進行至P8 18。Confirm that the time window has expired at P8 1 6 '. The time window can be extended for a whole operation or for a predetermined period of time. If the time window has expired, the 2 process continues to P8 18.

1264043 發明說明(21) 在P 81 8,以新收集之資料為基礎,更新神經網路模型 加權且此製程持續進行至P8〇4。如上所述’將負梯度乘上 階梯尺寸參數(亦即學習率)並將合向量加上附於現層之網 路加權向量計算新網路加權值。 如果%間®沒有到期,則此製程回到p 8 〇 4。 圖10係根據本發明,說明一系統。系統1〇〇〇包括— 接先進製程控制(APC)伺服器1 004之製程工具1〇〇2。將製 程工具之特性量測1010資料直接送至位於Apc伺服器之^ 料收集中心1 008。將來自於資料收集中心1〇〇8之特性量測 貪料送至一模組1 0 06,模組1 006利用如圖以及圖8所述之 反應表面法(RSM)或神經網路(NN)法。控制電腦1〇12收集 =^事件並以APC祠服器1 00 4之建議為基礎控制製程參數 叹疋點及維護計數器。 雖然本發明實施例之前述說明提供圖例及敘述,但並 她只可為上述揭示之形式。本發明之實施例可 =述說明做修改及變化。例如…說明清楚而於不 例内所述之本發明的各種特徵也可結合於單一實施 可八』ί ί 述於單一實施例中之本發明的各種特徵也 須刀了 Cl於任一合適的次組合中…,熟悉本技藝者 發明夕ί七明並非由上述圖例及說明所限定。才目反地,本 月之靶圍是由隨附之申請專利範圍及其均等物所限定。 1264043 圖式簡單說明 五【圖式簡單說明】 發明其它特徵將參考下列圖例並且經由本 及數個視圖<元件編號代表本發明之類似零件f其中遍 圖1係根據本發明實施例,說明一電漿製程系統; 統 圖2係根據本發明另一實施例,說明一電漿製程系 統 統 統 圖3係根據本發明另一實施例 圖4係根據本發明另一實施例 圖5係根據本發明另一實施例 說明一電漿製程系 說明一之電漿製程系 說明一電漿製程系 圖6係為一簡化圖,說明RF訊號及諧波量測; 圖7A係為一流程圖,根據本發明實施例,說明一反應 表面方法; 圖7B係說明根據本發明實施例之示範反應表面模型; 圖8係為一流程圖,根據本發明實施例,說明一 網路模型; 圖9係根據本發明實施例,說明一神經網路模型; 圖1 0係根據本發明實施例,說明一完整系統;及 圖11係根據本發明實施例,說明一神經網路模型。 元件符號說明: 1 〇 〇、2 0 〇、3 0 0、4 0 0、5 0 0 :電漿製程系統1264043 Description of invention (21) On P 81 8, based on the newly collected data, the neural network model is updated and the process continues until P8〇4. The new network weighting value is calculated by multiplying the negative gradient by the step size parameter (i.e., the learning rate) and adding the resultant vector to the current network weighting vector. If %Between® does not expire, the process returns to p 8 〇 4. Figure 10 illustrates a system in accordance with the present invention. The system 1 includes a process tool 1〇〇2 connected to the Advanced Process Control (APC) Server 1 004. The characteristic measurement 1010 data of the process tool is sent directly to the material collection center 1 008 of the Apc server. The characteristic measurement from the data collection center 1〇〇8 is sent to a module 1 0 06, and the module 1 006 utilizes the reaction surface method (RSM) or neural network (NN) as shown in FIG. 8 and FIG. )law. The control computer 1〇12 collects the =^ event and controls the process parameters based on the recommendations of the APC server 1 00 4 sigh point and maintenance counter. Although the foregoing description of the embodiments of the present invention provides illustrations and illustrations, they are only in the form disclosed above. Embodiments of the invention may be described with modifications and variations. For example, the various features of the invention described herein may be combined in a single embodiment. The various features of the invention described in the single embodiments are also applicable to any suitable In the sub-combination, it is not limited by the above illustrations and descriptions. In fact, the target range for this month is limited by the scope of the patent application and its equivalent. 1264043 BRIEF DESCRIPTION OF THE DRAWINGS [Brief Description of the Drawings] Other features of the invention will be referred to the following figures and represent similar parts of the present invention via the present and several views < component numbers, wherein Figure 1 is illustrated in accordance with an embodiment of the present invention. A plasma processing system; FIG. 2 is a schematic diagram of a plasma processing system according to another embodiment of the present invention. FIG. 3 is another embodiment of the present invention. FIG. 4 is a diagram according to another embodiment of the present invention. FIG. Another embodiment illustrates a plasma processing system. A plasma processing system is described. A plasma processing system is a simplified diagram illustrating RF signals and harmonic measurements. FIG. 7A is a flow chart according to the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A reactive surface method is illustrated; FIG. 7B illustrates an exemplary reaction surface model in accordance with an embodiment of the present invention; FIG. 8 is a flow chart illustrating a network model in accordance with an embodiment of the present invention; FIG. Inventive embodiments, a neural network model is illustrated; FIG. 10 illustrates a complete system in accordance with an embodiment of the present invention; and FIG. 11 illustrates a neural network module in accordance with an embodiment of the present invention. . Description of component symbols: 1 〇 〇, 2 0 〇, 3 0 0, 4 0 0, 5 0 0 : plasma processing system

1264043 圖式簡單說明 102 製 程 腔 室 104 製 程 參 數 裝 置 106 特 性 量 測 裝 置 108 控 制 器 202 製 程 區 域 212 基 板 座 206 氣 體 注 入 系 統 208 真 空 泵 系 統 204 基 板 214 靜 電 夾 钳 系 統 216 裝 置 218 背 面 氣 體 系 統 22 0、40 4、52 0 : RF 產生器 2 2 2、5 3 0 :阻抗匹配網路 210 製 程 氣 體 224 腔 室 壓 力 之裝 置 230 度 量 工 具 304 機 械 式 或 電子 式d c旋轉磁場系統 402 上 電 極 510 感 應 線 圈 P7 0 2、P 8 0 2 ··開始 P704 、 1010 、 P804 :特性量測 P7 0 6、P 8 0 6 :量測η諧波 Ρ7 0 8 ··將特性及諧波量測與反應表面比較1264043 Schematic description 102 Process chamber 104 Process parameter device 106 Characteristic measurement device 108 Controller 202 Process area 212 Substrate holder 206 Gas injection system 208 Vacuum pump system 204 Substrate 214 Electrostatic clamping system 216 Device 218 Back gas system 22 0. 40 4, 52 0 : RF generator 2 2 2, 5 3 0 : impedance matching network 210 process gas 224 chamber pressure device 230 metric tool 304 mechanical or electronic dc rotating magnetic field system 402 upper electrode 510 induction coil P7 0 2, P 8 0 2 ··Start P704, 1010, P804: Characteristic measurement P7 0 6、P 8 0 6 : Measurement η harmonic Ρ7 0 8 ··Compat the characteristics and harmonic measurement with the reaction surface

第27頁 1264043 圖式簡單說明 P710 :預測内部製程參數 P712、P812 :是否需要改變製程?Page 27 1264043 Simple description of the diagram P710: Predict internal process parameters P712, P812: Do you need to change the process?

P714、P814 :調整APC 7 2 0 :量測反應 722 :最佳反應表面 P8 08 :將量測設置於模型内 P810 :預測内部製程成功 P8 16 :時間窗是否到期? P818 :更新模型加權 9 0 0 :神經網路模型 90 2 :輸入 9 0 4 :輸入層 P3-P5 :特性參數 X、Xi、χ2 "·χη :諧波量測 9 0 6 :隱藏層 9 0 8 :輸出層 910 :輸出 1 0 0 0 :系統 1 0 0 2 :製程工具 1 0 0 4 :先進製程控制伺服器 1 0 0 8 :資料收集中心 1 0 0 6 :模組P714, P814: Adjust APC 7 2 0 : Measurement reaction 722 : Optimal reaction surface P8 08 : Set measurement in the model P810 : Predict internal process success P8 16 : Is the time window expired? P818: Update model weighting 900: Neural network model 90 2: Input 9 0 4: Input layer P3-P5: Characteristic parameters X, Xi, χ2 "·χη: Harmonic measurement 9 0 6 : Hidden layer 9 0 8 : Output layer 910 : Output 1 0 0 0 : System 1 0 0 2 : Process tool 1 0 0 4 : Advanced process control server 1 0 0 8 : Data collection center 1 0 0 6 : Module

第28頁Page 28

Claims (1)

1264043 案號 92126522 修正 m - 年彡月彳曰 六、申請專利範圍 1、 一種多變量電漿製程資料之監測及分析系統,包括: 一製程腔室,有助於在製程區域内產生電漿; 一第一機構,量測至少一製程參數; 一第二機構,量測至少一特性參數; 一裝置,以一數學模型為基礎分析來自第一機構及第 二機構之資料以改善系統特性;及 一裝置,以應用於此數學模型之特性參數為基礎,調 '整至少一製程參數,其中,1264043 Case No. 92126522 Amendment m - Year 2006, Patent Application 1. A monitoring and analysis system for multivariable plasma process data, including: A process chamber to help generate plasma in the process area; a first mechanism for measuring at least one process parameter; a second mechanism for measuring at least one characteristic parameter; and a device for analyzing data from the first institution and the second mechanism based on a mathematical model to improve system characteristics; a device, based on the characteristic parameters applied to the mathematical model, adjusts at least one process parameter, wherein 該特性參數至少包括钱刻率、沈積速度、姓刻選擇 性、蝕刻關鍵尺寸、蝕刻圖案非等向性、電漿密度、離子 能量、餘刻幕罩薄膜厚度、光罩圖案關鍵尺寸、自我感應 DC基板偏壓及峰值對峰值RF基板偏壓其中之一; 該製程參數至少包括製程壓力、製程氣體流速、上電 極RF電力、製程氣體、下電極RF電力、基板溫度、電極尺 寸、薄膜材料黏性、薄膜材料表面張力、裸露時間及聚焦 深度其中之一;以及 | 該數學模型包括一反應表面模型或一神經網路模型。The characteristic parameters include at least the engraving rate, deposition rate, surname selectivity, etching critical dimension, etching pattern anisotropy, plasma density, ion energy, residual mask film thickness, reticle pattern critical size, self-induction DC substrate bias and peak-to-peak RF substrate biasing; the process parameters include at least process pressure, process gas flow rate, upper electrode RF power, process gas, lower electrode RF power, substrate temperature, electrode size, film material adhesion One of the properties of the film, the surface tension of the film material, the exposure time and the depth of focus; and | The mathematical model includes a reaction surface model or a neural network model. 2、 如申請專利範圍第1項之多變量電漿製程資料之監測及 分析系統,其中製程腔室為一蝕刻腔室。 3、如申請專利範圍第1項之多變量電漿製程資料之監測及 分析系統,其中製程腔室包括: 一基板,針對製程將其放於適當位置;2. A monitoring and analysis system for multivariable plasma process data as claimed in claim 1 wherein the process chamber is an etch chamber. 3. A monitoring and analysis system for multivariable plasma process data according to item 1 of the patent application, wherein the process chamber comprises: a substrate, which is placed in an appropriate position for the process; 第29頁 1264043Page 29 1264043 _案號 92126522_年月日__ 六、申請專利範圍 一氣體注入機構,將製程氣體導入製程區域;及 一真空泵機構,其中此至少一特性參數包括製程氣體 之特性及製程腔室内的壓力。 4、 如申請專利範圍第3項之多變量電漿製程資料之監測及 分析系統,其中基板為一晶圓或一液晶顯示器。_ Case No. 92126522_年月日日__ VI. Patent Application Scope A gas injection mechanism introduces process gas into the process area; and a vacuum pump mechanism, wherein at least one characteristic parameter includes characteristics of the process gas and pressure in the process chamber. 4. A monitoring and analysis system for multi-variable plasma process data according to item 3 of the patent application, wherein the substrate is a wafer or a liquid crystal display. 5、 如申請專利範圍第3項之多變量電漿製程資料之監測及 分析系統,更包括一裝置,控制基板溫度,其中此至少一 製程參數包括量測基板溫度。 6、 如申請專利範圍第1項之多變量電漿製程資料之監測及 分析系統,更包括: 一RF產生器,將能量傳遞至製程腔室以便在製程區域 内產生電漿; 一氣體注入機構,連接製程腔室;及 一壓力量測裝置,連接製程腔室並量測製程腔室内的 壓力,5. The monitoring and analysis system for multi-variable plasma process data of claim 3, further comprising a device for controlling the substrate temperature, wherein the at least one process parameter comprises measuring the substrate temperature. 6. The monitoring and analysis system for multivariable plasma process data according to claim 1 of the patent scope, further comprising: an RF generator for transferring energy to the process chamber to generate plasma in the process area; a gas injection mechanism , connecting the process chamber; and a pressure measuring device connecting the process chamber and measuring the pressure in the process chamber, 其中此至少一製程參數包括經由氣體注入機構導入製 程區域内的製程氣體之特性。 7、如申請專利範圍第1項之多變量電漿製程資料之監測及 分析系統,更包括一機械式或一電子式的旋轉磁場機構, 配置於製程腔室。The at least one process parameter includes characteristics of a process gas introduced into the process area via the gas injection mechanism. 7. The monitoring and analysis system for multivariable plasma process data of claim 1 includes a mechanical or an electronic rotating magnetic field mechanism disposed in the process chamber. 第30頁 1264043 底"彳 _案號 92126522_年月日__ 六、申請專利範圍 8、 一種多變量電漿製程資料之監測及分析方法,包括: 量測電漿製程之特性參數; 量測一些電漿製程之諧波參數; 確認一電漿製程之數學模型; 將量測的特性參數及諧波參數應用至電漿製程之數學模 型; 以特性參數、諧波參數及應用的數學模型為基礎,預 測製程參數;及Page 30 1264043 Bottom "彳_案号92126522_年月日日__ VI. Patent application scope 8. A method for monitoring and analyzing multivariable plasma process data, including: measuring the characteristic parameters of the plasma process; Measure some harmonic parameters of the plasma process; confirm a mathematical model of the plasma process; apply the measured characteristic parameters and harmonic parameters to the mathematical model of the plasma process; use the mathematical parameters of the characteristic parameters, harmonic parameters and applications Based on the prediction of process parameters; and 依照應用的數學模型,調整製程參數,其中, 該特性參數至少包括蝕刻率、沈積速度、蝕刻選擇 性、蝕刻關鍵尺寸、蝕刻圖案非等向性、電漿密度、離子 能量、蝕刻幕罩薄膜厚度、光罩圖案關鍵尺寸、自我感應 DC基板偏壓及峰值對峰值RF基板偏壓其中之一; 該製程參數至少包括製程壓力、製程氣體流速、上電 極RF電力、製程氣體、下電極RF電力、基板溫度、電極尺 寸、薄膜材料黏性、薄膜材料表面張力、裸露時間及聚焦 深度其中之一;以及The process parameters are adjusted according to a mathematical model of the application, wherein the characteristic parameters include at least an etch rate, a deposition rate, an etch selectivity, an etch key dimension, an etch pattern anisotropy, a plasma density, an ion energy, and an etch mask film thickness. The mask size, the self-inductive DC substrate bias, and the peak-to-peak RF substrate bias; the process parameters include at least process pressure, process gas flow rate, upper electrode RF power, process gas, lower electrode RF power, One of substrate temperature, electrode size, film material viscosity, film material surface tension, bare time, and depth of focus; 該數學模型包括一反應表面模型或一神經網路模型。 9、 如申請專利範圍第8項之多變量電漿製程資料之監測及 分析方法,其中預測内部製程參數。The mathematical model includes a reactive surface model or a neural network model. 9. For the monitoring and analysis method of the multi-variable plasma process data of the application of the patent scope, item 8, in which the internal process parameters are predicted. 第31頁Page 31
TW092126522A 2002-10-01 2003-09-25 Method and system for analyzing data from a plasma process TWI264043B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US41465602P 2002-10-01 2002-10-01

Publications (2)

Publication Number Publication Date
TW200419631A TW200419631A (en) 2004-10-01
TWI264043B true TWI264043B (en) 2006-10-11

Family

ID=32069752

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092126522A TWI264043B (en) 2002-10-01 2003-09-25 Method and system for analyzing data from a plasma process

Country Status (7)

Country Link
US (1) US20050199341A1 (en)
JP (1) JP2006501684A (en)
KR (1) KR101027183B1 (en)
CN (1) CN100353485C (en)
AU (1) AU2003279058A1 (en)
TW (1) TWI264043B (en)
WO (1) WO2004032194A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8184288B2 (en) 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
US8520194B2 (en) 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20050115924A1 (en) * 2003-12-01 2005-06-02 Justin Sato Integration function of RF signal to analyze steady state and non-steady state ( initializaion) of plasmas
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
JP2008028022A (en) 2006-07-19 2008-02-07 Tokyo Electron Ltd Plasma etching method and computer readable storage medium
JPWO2008015738A1 (en) * 2006-08-01 2009-12-17 株式会社島津製作所 Substrate inspection / correction device and substrate evaluation system
JP2008122929A (en) * 2006-10-20 2008-05-29 Toshiba Corp Method for creating simulation model
JP2009290150A (en) * 2008-06-02 2009-12-10 Renesas Technology Corp System and method for manufacturing semiconductor device
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
KR101354343B1 (en) * 2011-11-24 2014-01-27 서울대학교산학협력단 Monitoring Method and Apparatus for Electron Energy Distribution Characteristics of Plasma
WO2014050808A1 (en) * 2012-09-26 2014-04-03 株式会社日立国際電気 Integrated management system, management device, method for displaying information for substrate processing device, and storage medium
CN103020349B (en) * 2012-12-08 2015-05-06 清华大学 Modeling method of etching yield in plasma etching process
KR101405237B1 (en) * 2013-06-25 2014-06-10 현대자동차 주식회사 System for controlling motor of eco-friendly car
KR102376599B1 (en) * 2014-06-05 2022-03-21 토마스 웨스트 인코포레이티드 Centrifugal casting of polymer polish pads
CN104991581B (en) * 2015-06-08 2019-08-23 北京北方华创微电子装备有限公司 A kind of compress control method and device of processing chamber
TWI559218B (en) * 2015-12-09 2016-11-21 英業達股份有限公司 Data providing method
KR20170103661A (en) * 2016-03-04 2017-09-13 램 리써치 코포레이션 Systems and methods for reducing power reflected towards a higher frequency rf generator during a period of a lower frequency rf generator and for using a relationship to reduce reflected power
US10622219B2 (en) * 2016-12-06 2020-04-14 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
KR20190048491A (en) 2017-10-31 2019-05-09 삼성전자주식회사 Method for predicting etch effect and method for determining input parameters
WO2019130159A1 (en) * 2017-12-27 2019-07-04 株式会社半導体エネルギー研究所 Thin film manufacturing apparatus and thin film manufacturing apparatus using neural network
US10909738B2 (en) 2018-01-05 2021-02-02 Nvidia Corporation Real-time hardware-assisted GPU tuning using machine learning
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
JP7220573B2 (en) * 2019-01-24 2023-02-10 株式会社荏原製作所 Information processing system, information processing method, program and substrate processing apparatus
TWI713085B (en) * 2019-05-16 2020-12-11 國立交通大學 Semiconductor process result prediction method
JP2022542160A (en) * 2019-07-26 2022-09-29 ジュスン エンジニアリング カンパニー リミテッド Substrate processing apparatus and its interlock method
CN112530773B (en) * 2020-11-27 2023-11-14 北京北方华创微电子装备有限公司 Semiconductor processing equipment
JP2022122425A (en) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 Plasma processing device and monitoring device
US11817340B2 (en) * 2021-04-28 2023-11-14 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
CN114091848A (en) * 2021-11-04 2022-02-25 北京北方华创微电子装备有限公司 Method and system for automatically acquiring semiconductor process formula and semiconductor process equipment
CN115050644B (en) * 2022-08-17 2022-11-15 合肥晶合集成电路股份有限公司 Wafer etching method and system
CN115097737B (en) * 2022-08-24 2022-11-08 北京航空航天大学 Multi-level regulation and control method capable of being re-entered into manufacturing system

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5467883A (en) * 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
SG70554A1 (en) * 1992-12-14 2000-02-22 At & T Corp Active neural network control of wafer attributes in a plasma etch process
US5737496A (en) * 1993-11-17 1998-04-07 Lucent Technologies Inc. Active neural network control of wafer attributes in a plasma etch process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3577163B2 (en) * 1995-05-19 2004-10-13 エイ・ティ・アンド・ティ・コーポレーション Determining the end point of the plasma etching process using an active neural network
US5751582A (en) * 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
JPH09266098A (en) * 1996-03-29 1997-10-07 Seiko Epson Corp Plasma condition detecting device and method, and etching terminating point detecting device and method
JPH10301979A (en) * 1997-04-30 1998-11-13 Oki Electric Ind Co Ltd Method and device for extracting model parameter
JP2001516963A (en) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 System and method for monitoring and managing gas plasma processing
EP0942453A3 (en) * 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
WO2001013401A1 (en) * 1999-08-12 2001-02-22 Infineon Technologies Ag Method for monitoring a production process for preparing a substrate in semiconductor manufacturing
CN1197130C (en) * 2000-07-04 2005-04-13 东京毅力科创株式会社 Operation monitoring method for treatment apparatus
JP4570736B2 (en) * 2000-07-04 2010-10-27 東京エレクトロン株式会社 How to monitor operating conditions
JP4610021B2 (en) * 2000-07-04 2011-01-12 東京エレクトロン株式会社 Processing device operating method and processing device abnormality detection method
JP4213871B2 (en) * 2001-02-01 2009-01-21 株式会社日立製作所 Manufacturing method of semiconductor device
US6627464B2 (en) * 2001-02-07 2003-09-30 Eni Technology, Inc. Adaptive plasma characterization system
JP4128339B2 (en) * 2001-03-05 2008-07-30 株式会社日立製作所 Process monitor for sample processing apparatus and method for manufacturing sample
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
KR20010106372A (en) * 2001-11-02 2001-11-29 진천웅 A mobile phone which has function of enlarging characters on the display panel
WO2003058687A1 (en) * 2001-12-31 2003-07-17 Tokyo Electron Limited Method of detecting, identifying and correcting process performance
JP4659359B2 (en) * 2001-12-31 2011-03-30 東京エレクトロン株式会社 Material processing method and system for material processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8184288B2 (en) 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
US8520194B2 (en) 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process

Also Published As

Publication number Publication date
JP2006501684A (en) 2006-01-12
CN1682338A (en) 2005-10-12
US20050199341A1 (en) 2005-09-15
KR101027183B1 (en) 2011-04-05
WO2004032194A3 (en) 2004-07-15
WO2004032194A2 (en) 2004-04-15
KR20050054985A (en) 2005-06-10
CN100353485C (en) 2007-12-05
AU2003279058A1 (en) 2004-04-23
TW200419631A (en) 2004-10-01
AU2003279058A8 (en) 2004-04-23

Similar Documents

Publication Publication Date Title
TWI264043B (en) Method and system for analyzing data from a plasma process
CN100530206C (en) Method and system for run-to-run control
US7505879B2 (en) Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US10303830B2 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US8501499B2 (en) Adaptive recipe selector
EP1639632B1 (en) Method of monitoring a processing system
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
CN101542280B (en) Transforming metrology data from a semiconductor treatment system using multivariate analysis
KR101530098B1 (en) Using multi-layer/multi-input/multi-output (mlmimo) models for metal-gate structures
US7328126B2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
TW200303059A (en) Method of fault detection for material process system
CN100552889C (en) Plasma processing apparatus
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission
Xiao et al. Recurrent neural-network-based model predictive control of a plasma etch process
JP4224454B2 (en) Multivariate analysis model creation method for processing device, multivariate analysis method for processing device, control device for processing device, control system for processing device
JP4660091B2 (en) Material processing system and method for characterizing material processing system
Patterson et al. Methodology for feedback variable selection for control of semiconductor manufacturing processes-Part 2: Application to reactive ion etching
JP2023136461A (en) Prediction method and information processing equipment
Prakash et al. Plasma etch process virtual metrology using aggregative linear regression
Khargonekar et al. Intelligent Electronics Manufacturing: Modeling and Control of Plasma Processing

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees