JP7177923B6 - 先進の半導体プロセス最適化および生産中の適応制御 - Google Patents

先進の半導体プロセス最適化および生産中の適応制御 Download PDF

Info

Publication number
JP7177923B6
JP7177923B6 JP2021519635A JP2021519635A JP7177923B6 JP 7177923 B6 JP7177923 B6 JP 7177923B6 JP 2021519635 A JP2021519635 A JP 2021519635A JP 2021519635 A JP2021519635 A JP 2021519635A JP 7177923 B6 JP7177923 B6 JP 7177923B6
Authority
JP
Japan
Prior art keywords
chamber
wafers
data
metrology
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021519635A
Other languages
English (en)
Other versions
JP2022504561A (ja
JP7177923B2 (ja
Inventor
サマー バンナ
ダーモット キャントウェル
ワヘブ ビシャーラ
リオル エンゲル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/155,773 external-priority patent/US10657214B2/en
Priority claimed from US16/155,779 external-priority patent/US10930531B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022504561A publication Critical patent/JP2022504561A/ja
Priority to JP2022180846A priority Critical patent/JP7275370B2/ja
Application granted granted Critical
Publication of JP7177923B2 publication Critical patent/JP7177923B2/ja
Publication of JP7177923B6 publication Critical patent/JP7177923B6/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32193Ann, neural base quality management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33034Online learning, training
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37224Inspect wafer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/42Servomotor, servo controller kind till VSS
    • G05B2219/42155Model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Software Systems (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本開示は一般に、半導体処理機器の動作を予測するための空間モデルを構築すること、ならびにその空間モデルを使用して開発段階中に所望のプロセスに向けてより速く収束し、大量生産(HVM)中の厳密なプロセス制御を得ることに関する。
デバイスサイズが縮小するにつれて半導体ウエハ処理の複雑さが増大してきた。典型的なプロセスには多数の異なるステップがあり、プラズマエッチングなどのいくつかの先進のプロセスには20ステップ、さらにはそれ以上ものステップがあり得る。各ステップには、動作を最適化することに関連した数多くのノブがある。したがって、所与のプロセスを調整および最適化するために使用可能な空間が理論的に極めて大きい。
プロセス技術者は、その経験および専門知識を用いて予備ベースラインプロセスを選択し、そのプロセスを、実験計画法(DoE)に専用の限られた数のウエハ(または、クーポンと呼ばれるウエハの一部分)に基づいて微調整する。DoEの目標は、ウエハの所望の仕様を実現するようにプロセスを適合させることである。しかし、DoEデータ収集のために完全なウエハまたはウエハの一部分を割り当てると、貴重な資源が消費される。したがって、採用されたプロセスは実行可能なものであり得ることが多いが、必ずしも最適な解決策ではないことがある。
別の障害が、不十分なインライン高精度計測学データによって加わる。高精度計測学のために、透過型電子顕微鏡(TEM)などの、通常では破壊的な技法が使用される。しかし、TEMは非常に時間がかかるので、十分な統計データおよびウエハ全体にわたる十分なカバレッジを通常は生み出さない。さらに、TEMは破壊的な技法であるので、生産ラインに組み込むことができない。
以下は、本開示のいくつかの態様についての基本的な理解が得られるようにするための本開示の簡略な概要である。この概要は本開示の広範な概説ではない。この概要は、本開示の主要または重要な要素を特定するものでもなければ、本開示の特定の実施態様の何らかの範囲、または特許請求の何らかの範囲を描写するものでもない。その唯一の目的は、後で提示するより詳細な説明の前置きとして、本開示のいくつかの概念を簡略な形で提示することにある。
本開示の諸態様では、半導体処理機器の動作を予測するための空間モデルを構築し、その空間モデルを使用してプロセス開発段階中に所望のプロセスに向けてより速く収束する方法およびシステムについて説明する。具体的には、コンピュータで実施される方法が説明され、この方法は、有限数のウエハを処理して処理機器のセンサから仮想計測学(VM)データ、およびウエハ上のデバイスからオンボード計測学(OBM)データを生成することによって、既知の初期プロセスに基づいて物理的実験計画法(DoE)を実行し、デバイスの特徴の三次元プロファイリングを実行する走査型電子顕微鏡(SEM)からインライン計測学データを取得し、所与のプロセスおよび所与の処理機器の経験的プロセスモデルを取得し、インライン計測学データを基準として使用することによって経験的プロセスモデルを較正し、VM、OBMおよびインライン計測学データを結合してカスタム化計測学データを生成し、カスタム化計測学データを受け取り、ウエハ全体にわたる対象の1つまたは複数の寸法に対するウエハの1つまたは複数の空間マップを出力する機械学習エンジンは、経験的プロセスモデルを洗練することによって予測モデルを構築し、この予測モデルは、どんな別のウエハも物理的に処理することなく空間デジタルDoEを実行するものであり、空間デジタルDoEは、所与の処理機器に対する、およびウエハ全体にわたる対象の1つまたは複数の寸法に対する、所与のプロセスの多制約最適化を含む。
本開示の別の態様では、ウエハ上のデバイスの生産中にウエハ間のデバイス動作変動を制御するシステムについて説明する。このシステムは、1つまたは複数の処理チャンバ、オンボード計測学(OBM)ツール、および機械学習ベースのプロセス制御モデルを記憶する第1のサーバを含む、プロセスプラットフォームを備える。第1のサーバは、1つまたは複数の処理チャンバ内の現在のセットのウエハの処理中に、現在の記録のプロセス(POR)を用いてセンサから収集された仮想計測学(VM)データを受け取るものであり、また、ウエハ上に製造されているデバイス中の対象の1つまたは複数の寸法を測定することによってOBMツールが生成したOBMデータを受け取るものでもあり、1つまたは複数の対象の寸法は、デバイス動作と相関関係がある。さらに、第1のサーバは、VMデータとOBMデータを結合するものであり、また、結合されたデータに基づいて1つまたは複数の対象の寸法の空間分布を予測するためにプロセス制御モデルを使用するものである。システムはさらに、現在のPORに対応する基準インライン計測学データを生成するために現在のセットのウエハをサンプリングすることによって選択されたサブセットのウエハについて、1つまたは複数の対象の寸法を測定するためのインライン計測学ツールを備える。加えて、システムは、第1のサーバから1つまたは複数の対象の寸法の予測空間分布をVMおよびOBMに基づいて受け取るための、またインライン計測学ツールから基準インライン計測学データも受け取るための、機械学習エンジンを有する第2のサーバを備え、この機械学習エンジンは、1つまたは複数の対象の寸法の予測空間分布を、現在のPORに対応する基準インライン計測学データと比較することによって、また、現在のPORを修正するための新方策を次のセットのウエハに対し推奨することによって、プロセス制御モデルを周期的に更新するものであり、この新方策は、現在のセットのウエハと次のセットのウエハとの間の処理条件の変化に、ウエハ間のデバイス動作変動を最小限にするように適応する。
本開示のさらに別の態様では、ウエハ上のデバイスの生産中にウエハ間デバイス動作変動を制御するための、コンピュータで実施される方法について説明する。この方法は、第1のサーバにおいて、現在のセットのウエハの現在の記録のプロセス(POR)を取得するステップであって、PORが、ウエハ上に製造されるデバイスの対象の寸法の空間分布を予測する機械学習ベースのプロセス制御モデルに基づいたによって推奨され、対象の寸法がデバイスの動作と相関関係がある、ステップと、現在のセットのウエハを、現在のPORに対応するノブ設定で処理するステップと、現在のセットのウエハの処理中にセンサから仮想計測学(VM)データを受け取るステップと、ウエハ上に製造されているデバイス中の1つまたは複数の対象の寸法を測定することによって生成された、オンボード計測学(OBM)データを受け取るステップであって、1つまたは複数の対象の寸法がデバイス動作と相関関係がある、ステップと、VMデータとOBMデータを結合するステップと、機械学習ベースのプロセス制御モデルを使用して、結合データに基づき、1つまたは複数の対象の寸法の空間分布を予測するステップと、現在のセットのウエハをサンプリングして、インライン計測学ツールへ送出するためのサブセットのウエハを選択するステップと、インライン計測学ツールを使用して、サブセットのウエハ上の1つまたは複数の対象の寸法を、現在のPORに対応する基準計測学データを生成するために測定するステップと、機械学習エンジンを有する第2のサーバにおいて、VMおよびOBMに基づいて第1のサーバによって予測された1つまたは複数の対象の寸法の空間分布を受け取るステップと、第2のサーバにおいてインライン計測学データを受け取るステップと、第2のサーバの機械学習エンジンを使用して、1つまたは複数の対象の寸法の予測空間分布を、現在のPORに対応する基準インライン計測学データと比較することによって、また、現在のPORを修正するための新方策を次のセットのウエハに対し推奨することによって、プロセス制御モデルを周期的に更新するステップであって、新方策は、現在のセットのウエハと次のセットのウエハとの間の処理条件の変化に、ウエハ間のデバイス動作変動を最小限にするように適応する、ステップとを含む。
本開示のさらに別の態様では、ウエハ上のデバイスの生産中にチャンバ間変動を制御するための方法、および対応するシステムについて説明する。具体的には、コンピュータで実施される方法が説明され、多チャンバ処理プラットフォーム内の現在のチャンバごとに、ウエハの空間モデルが取得される。空間モデルは、デバイスの1つまたは複数の対象の寸法についての第1のセットの計測学データに基づいて、第1の機械学習エンジンによって生成される。空間モデルはグローバルとすることができて、プロセスの物理的挙動を包含し、またはチャンバ固有とすることができて、チャンバ変動を説明する。現在のチャンバの1つまたは複数のパラメータが取得される。空間モデル、および現在のチャンバの1つまたは複数のパラメータを使用して、デバイスの1つまたは複数の対象の寸法の空間測定値がウエハ全体にわたって予測される。デバイスの1つまたは複数の対象の寸法の基準空間測定値がウエハ全体にわたって、基準チャンバ(たとえば、別々のチャンバを較正するために使用されるゴールデンチャンバ)から取得される。いくつかの実施形態では、ゴールデンチャンバのモデルが較正のために使用されることがある。予測空間測定値は基準空間測定値と比較されて、現在のチャンバと基準チャンバとの間の動作の変動を示す測定基準が生成される。
第2の機械学習エンジンによって実行される測定基準の多制約最適化に基づいて、現在のチャンバの1つまたは複数のパラメータのうちの少なくともいくつかの調整が、現在のチャンバの動作が基準チャンバの動作と実質的に対等になるように推奨される。
さらに別の態様では、ウエハ上のデバイスの生産中にチャンバ間変動を制御するための、コンピュータで実施される方法は、多チャンバプラットフォームの複数のチャンバ内で実施されるべきプロセスの物理的現象を表す、基準チャンバの空間モデルを取得するステップと、多チャンバプラットフォームの別々のチャンバから1つまたは複数のウエハを周期的にサンプリングするステップと、サンプリングされた1つまたは複数のウエハから高精度計測学ツールによって取得された実際および仮想の計測学データを受け取る機械学習エンジンによって実行される、多制約最適化に基づいて基準チャンバの空間モデルを較正するステップと、較正された基準チャンバ空間モデルに基づいて、現在のチャンバの1つまたは複数のパラメータに対する調整を、現在のチャンバの動作が基準チャンバの動作と実質的に対等になるように推奨し、それによって、多チャンバプラットフォーム内のプロセスのチャンバ間変動を適応的に制御するステップとを含む。
本開示は、以下に示す「発明を実施するための形態」から、および本開示の様々な実施態様の添付図面からより完全に理解されよう。
本開示のいくつかの実施形態による、空間デジタル実験計画法(DoE)を作り出すための例示的な方法のフロー図である。 本開示の一実施形態による、機械学習ベースの空間モデル生成器のブロック図である。 本開示の実施形態による、モデルの2つの基本的な機能である、空間測定を予測することおよび方策を推奨することを示す図である。 本開示の実施形態による、モデルの2つの基本的な機能である、空間測定を予測することおよび方策を推奨することを示す図である。 本開示の実施形態による、それぞれのステップに1つまたは複数のノブがある多ステッププロセスの例示的なDoE空間を示す表である。 本開示の一実施形態による、対象の寸法のターゲットプロファイルと空間モデルの予測との比較を示す図である。 本開示の一実施形態による、空間デジタルDoEによって予測された、異なるプロセス条件での対象の寸法のグラフである。 本開示の一実施形態による、第1のノブが変えられたときのプロセス空間のカバレッジを示す図である。 本開示の一実施形態による、第2のノブが変えられたときのプロセス空間のカバレッジを示す図である。 本開示の一実施形態による、第3のノブが変えられたときのプロセス空間のカバレッジを示す図である。 本開示の一実施形態による、第1と第2の非直交ノブが同時に変えられたときにプロセス空間のカバレッジが改善するのを示す図である。 本開示の一実施形態による、3つの非直交ノブが同時に変えられたときにプロセス空間のカバレッジがさらに改善するのを示す図である。 本開示の一実施形態による、プロセス最適化中およびプロセス制御中それぞれのDoEを示す図である。 本開示の実施形態による、様々な試験および測定技法とデバイス動作との間の相関を示す図である。 本開示の一実施形態による、プロセス最適化および制御の基礎である経験的空間モデルが、デバイス動作に対してもインライン計測学に対してもどのように較正され得るかを示す図である。 本開示の一実施形態による、機械学習ベースのモデルの1つの適応バージョンで使用されるそれぞれ異なる入力を示す図である。 本開示の一実施形態による、機械学習ベースの経験的モデルを使用する新技術開発サイクルを示す図である。 本開示の一実施形態による、ウエハ間プロセス制御のモデルの適用を示す図である。 本開示の一実施形態による、ロット間更新をこれだけには限らないが含む、定期更新のためのモデルの適応拡張を示す図である。 本開示のいくつかの実施形態による、大量生産中の、チャンバ間変動制御(長期および短期)を含む、適応モデルを使用してより厳密なプロセス制御を維持する例示的なプロセス制御方法を示すフロー図である。 本開示の一実施形態による、誘導計測学を使用する変動制御の重要構成要素を示す。 本開示の一実施形態による、変動制御の技法的アーキテクチャブロック図である。 本開示の方法およびシステムを実現できる簡略化した環境を示す図である。
概説
本開示の諸態様は、半導体処理機器(「チャンバ」とも呼ばれる)の動作を空間デジタルDoEを用いて予測するための空間モデルを構築することが対象とされている。この空間モデルはまた、プロセス空間内の任意の条件のセットに対する仮想方策をデジタル的に生成することもできる。用語の「仮想方策」とは、物理的ウエハまたはクーポンではなく、ウエハの空間デジタルモデル、すなわち仮想ウエハを使用して生成されるプロセス方策を意味する。用語の「仮想ウエハ」は、ウエハだけでなく、マスクベースの計測学を実行するためのマスクなどの他のものも同様に包含する。空間モデルでは、計測学データと様々なノブとの間の相乗効果を活用するために機械学習を使用する。用語の「ノブ」は、プロセスを制御するために操作することができる変数を示すために用いられる。ノブは場合により、プロセス制御変数、または簡単にプロセス変数とも呼ばれる。開示された手法は、新半導体処理機器および新技術(たとえば5nm以下)のためにプロセス適性を促進し、経験的には調査できない著しく大きいプロセス空間を包含し、また、機器ハードウェア、最終デバイス動作およびその他のプロセスパラメータに対するプロセスウィンドウおよびその相互関係を特定する。研究開発段階中に、開示されたシステムおよび方法は、限られた数の試験ウエハだけを使用して、ターゲットプロセス方策に向けてのより速い収束を実現する。大量生産(HVM)につながるプロダクションランプ(production ramp)中、開示されたシステムおよび方法は、プロセスウィンドウのより厳密な制御をウエハ内だけでなく、単一のロット内のウエハ間(ウエハ間制御)、またはウエハの別々のロット間(ロット間制御)でも可能にする。プロセス制御頻度およびモデル適応化頻度は、それがウエハ間であるか(高頻度)、ロット間であるか(中頻度)、またはPM間であるか(すなわち定期補修(PM)ごとに1回)に応じて変わり得る。
半導体処理機器の例としては先進プラズマエッチャを、これだけには限らないが挙げることができる。説明にあるように、典型的なプラズマエッチングプロセスには20個より多いステップがあり得、変えることができる様々なプロセスパラメータ(プロセス変数としても知られている)を制御することによって各プロセスステップを制御するのに利用可能な20個以上のノブがあり得る。関連するノブによって制御できるエッチングステップのプロセス変数の例としては、プラズマ高周波(RF)電力、圧力、温度、プラズマを発生させるために使用される混合ガス中のガスの組成および比率などを挙げることができる。ノブの設定は、プラズマ中のラジカルまたはイオンの濃度、およびプロセスを規定する他の要素に影響を及ぼす。当業者には、所与のプロセスを調整および最適化するために利用可能なプロセス空間が極めて大きく、いかなる妥当なプロセス適性時間枠内でも全プロセス空間を経験的に調査することはもとより、多次元プロセス空間最適化を抽象概念として実行できることは、実際上あり得ないことは容易に理解されよう。
さらに、ノブと、プロセス動作に及ぼすその影響との間の相互作用の故に、一度に1つのノブを手動で走査することによって同時ノブ制御の複合効果を予測するのは極めて困難である。このタスクは、単一のプロセス中に多数のステップがある場合、または複数のプロセス(各プロセスにはその付随するステップがある)が含まれる場合に、さらに複雑になる。
プロセス技術者は、巨大な動作窓が手作業の最適化で包含され得ないことを認識している。しかし、容認できるレベルの動作を実現するために、プロセス技術者は、先行経験に依拠して既存の知識に基づいた初期プロセスを選択し、プロセス空間内のいくつかのノブ(すなわち、利用可能なノブの1つの全セットよりも少ない)を、対象の1つまたは複数のフィーチャレベル寸法の変動を制御しようとして調整する。知識ベースの初期プロセスはベースラインプロセスとすることができるが、ベースラインプロセスでなくてもよい。
本開示の利点の1つは、機械学習ベースの知的プロセス最適化を可能にすることによって、プロセス技術者の能力を著しく補完および拡張して、1つの大きいセットのノブのデジタル走査をプロセス空間全体にわたって可能にすることである。最初に、多様な供給源、たとえばオンツール計測学およびオフツール計測学からの計測学データを使用して、モデルが構築される。このモデルは、研究開発段階中のプロセス最適化に使用される。後に、モデルは、大量生産中に厳密なプロセスウィンドウを維持および管理するために使用される。
オンツール計測学には、ダイ内のデバイス自体について、またはデバイスと同様のフィーチャを有する試験構造物について行われる測定が含まれ得る。使用される測定技法に応じて、試験構造物には、ウエハ上にある論理デバイスまたはメモリデバイスと同様の構造物が、これだけには限らないが含まれ得る。一括して、デバイスまたは試験構造物について行われるオンツール計測学は、「オンボード計測学」(OBM)と呼ばれる。OBMは、光学測定(たとえば、デバイスもしくは試験構造物からインサイチュで光放射スペクトルを収集すること、または光学ターゲットを使用するマクロ2Dマッピング)、または他のタイプの測定に基づくことができる。これらの光学的測定またはその他の測定は、チャンバの内側(インサイチュ)もしくはチャンバの外側(エクスサイチュ)であるがなお真空下において、または複数のチャンバを有し得るプロセスプラットフォーム上のファクトリインターフェースにおいて(必ずしも真空下ではない)、可能である。1つのそのような例は、光学限界寸法(OCD)などの統合計測学である。用語の「プラットフォーム」は、複数のプロセスを含む、および/または、すべてが同じである、もしくは一部のツールが他と異なり得る計測学ツールを含む、システムを広く包含する。たとえば、プラットフォームが、同じプロセスを実行する複数のプロセスチャンバを有することがあり、あるいは1つのプロセス(たとえば、エッチング)が行われるいくつかのチャンバと、別のプロセス(たとえば、堆積)が行われる他のチャンバとを有することがある。いくつかの他の実施形態では、1つのプラットフォームが、異なるタイプのプロセスチャンバ(たとえば、導体または誘電体エッチング)を含むことがある。プラットフォームはまた、計測学ツールを含むこともある。当業者には、本開示の範囲がチャンバおよび/またはプラットフォームの構成によって制限されないことが理解されよう。
別のタイプのオンツール計測学は、「仮想計測学」(VM)と呼ばれ、チャンバ内またはチャンバの外側であるが同じプラットフォームの中の様々なセンサから収集されたデータを含む。VMには、圧力、温度、RF電力、電流、電圧、流量制御位置などの様々なプロセス変数の時間追跡が含まれ得る。
オフツール計測学には、インライン計測学(たとえば、eビーム検査および計測学)が含まれ得る。「インライン計測学」は、処理チャンバの外側で行うことができるが、ウエハを生産ラインから取り出さなくてもよい測定を広く包含する。インライン計測学の一例が走査型電子顕微鏡(SEM)であり、その先進のバージョンでは、高精度および広範囲のモダリティを提供することができる。先進のSEMは、二次放出検出器に加えて後方散乱電子(BSE)センサと、様々な傾斜角および数百電子ボルトから数十キロ電子ボルトの範囲の様々な入射エネルギーの電子放出を測定する能力とを含み得る。SEMは、計測学データの幅広いデータベースを非破壊的に生成する機能を有する。eビームシミュレーションによってカスタム化されたSEMベースのインライン計測学、データ収集、画像特徴づけおよび特徴抽出、ならびに統計分析は、「カスタム化計測学」と呼ばれることがある。先進のSEMツールが、カスタム化計測学の中心にある高精度、非破壊の三次元フィーチャレベルプロファイリングの基礎として使用され得る。
オフツール計測学はまた、同様のデバイスのセットについて以前に実行された、TEMなどの任意の追加の非インラインまたはオフライン計測学から得られるデータを含むこともある。これらのオンツールおよびオフツール計測学は、DoEに専用の有限数のウエハについて実行される。そのモデルでは、機械学習アルゴリズムを用いてすべてのデータを組み合わせると共に、対象の寸法の計測学と、プロセスを制御する様々なノブとの間の意味のある関係を抽出する。さらに以下で論じるように、機械学習を用いてまた、電気試験データ(パラメータ歩留まり推定のためのデータなど)を様々なノブと相互に関係づけることもできる。
機械学習ベースの空間モデルが試験され検査されると、このモデルは、実際の生産ウエハの最適化プロセスを開発するために使用される。この段階では、専用の物理的DoEウエハが必要とされないことがある。むしろ、モデルは空間デジタルDoEを実行するために用いられ、プロセス空間全体にわたって変えられるノブの全セットを包含する。
空間モデルを構築および確立
本開示の中心にあるのは、機械学習技法を用いて経験的空間モデルを構築して、対象の1つまたは複数の寸法の変動を完全ウエハまたはウエハの一部分にわたって予測することである。用語の「空間」とは、ウエハ全体にわたって複数の場所を指し、たとえばウエハの中心、ウエハの縁などを指す。場所の典型的な数は、数十から数千まで変わり得る。対象の寸法は、ウエハ上の物理的フィーチャの幾何学的寸法とすることができ、たとえば線幅、構造物の高さ、側壁角度、上部限界寸法(TCD)、下部限界寸法(BCD)または他の任意のフィーチャレベルの三次元プロファイル情報とすることができる。しかし、本開示の範囲は、幾何学的寸法の空間分布だけに限定されない。空間プロセス測定の非限定的な例としては、ウエハ全体にわたる特定の核種のドーピング濃度の分布、ウエハ全体にわたる多孔性などが挙げられる。計測学は、空間プロセス変動を測定するためにも強力なツールになり得る。
図1Aは、本開示のいくつかの実施形態による、空間モデルの生成および使用を可能にするための例示的な方法10のフロー図である。方法10は、ハードウェア(たとえば、処理デバイス、回路、専用論理回路、プログラム可能論理回路、マイクロコード、デバイスのハードウェア、集積回路など)、ソフトウェア(たとえば、処理デバイス上で走る、すなわち実行される命令)、またはこれらの組み合わせを含み得る処理論理回路によって、実行することができる。ある特定の順序または順番で示されているが、特にことわらない限り、説明のためのフロー図と共に以下で記述される方法10または他の方法におけるプロセスの順番は、修正されることがある。したがって、説明される実施形態は例としのみ理解されるべきであり、説明されるプロセスは別の順番で実行することができ、いくつかのプロセスは並行して実行することができる。加えて、1つまたは複数のプロセスは、様々な実施形態で省略することができる。すなわち、全プロセスがすべての実施形態で必要とされるわけではない。他のプロセスフローも実施可能である。
図1Aに戻って参照すると、ブロック20で、プロセスがプロファイリングされる。プロセスプロファイリングは、方策構成を理解して、ウエハ上に製造されるデバイスのいくつかの寸法およびプロファイルを得ることを含む。有限数のDoEウエハまたはクーポン(第1のセットのDoEウエハ)が、所与のベースライン方策の周辺で実際のDoEプロセスにかけられる。実際のDoEウエハまたはクーポンの数は、1つの方策に使用されるべきノブの数に応じて変わり得る。
予備DoEスクリーニングが、プロセス技術者の経験に基づいて行われることがある。予備DoEスクリーニングを説明するために、ステップが10個より多い、およびステップごとのノブが20個より多い例示的なプラズマエッチングプロセスを考える。このプロセスでは、数億の方策組み合わせを生成することができる。この組み合わせの数は、予備DoEスクリーニングおよびプロセス知識を用いて減らすことができる。予備DoEスクリーニングを行うことによって、1つの全セットのノブより少ないノブが方策空間にわたって特定される。要するに、ブロック20で、実際のウエハまたはクーポンからのデータを見直すことによって、重要ノブ、すなわち対象の寸法に最も大きい影響を及ぼすノブが特定される。
物理的DoE設計と呼ばれるブロック40で、使用される実際のウエハの数を最適化することができる。実施形態のうちの1つによれば、決定的スクリーニングまたはその他の統計技法(たとえば、表面応答またはその他)を用いて、一次従属性、および異なるノブ間の相互作用、ならびに/またはどのノブが直交するかを特定することができる。要するに、ブロック40では、DoEが、ブロック20で特定された重要ノブ相互作用を包含するように設計され、ベースライン方策の周辺のプロセスウィンドウが調査される。たとえば、15個の特定された重要ノブに対し、DoEは50個くらいの実際のウエハで設計される。しかし、決定的スクリーニングまたは他の技法によって実現されたプロセスステップおよびノブの低減の後でさえも、対象に含むべき巨大なプロセス空間が残っており、これを解決することを本開示の空間デジタルDoEモデルは目指す。
ブロック60で、プロセスモデルが構築される。このモデルは以下の構築ブロックに基づいて構築される。すなわち、1)有限セットの実際のDoEウエハからのオンツールおよびオフツールのカスタム化計測学データ70を処理する機械学習エンジン、2)プロセス機器(たとえば、チャンバ)設計と、機器内のプロセス(たとえば、リアクタ内のプラズマの特性および挙動)の物理および化学とについての基本的な理解に基づく入力、3)機器ハードウェア仕様および許容動作範囲(プロセス方策生成ルールを含む)に基づく入力、ならびに4)モデルの予測の信頼水準を定量化するペナルティ関数。
プロセス機器、プロセス自体、およびペナルティ関数による入力制約なしで、機械学習エンジンは、特定の半導体処理機器と両立できないことがある多数の数学的に実行可能な解決策を生み出すことができる。それゆえに、プロセスの物理および化学についての深い知識と、機器設計および処理の経験とは、プロセスモデルが、計測学から得られる大量のデータを単に数値演算処理するのではなく、適合された解決策を提供するためには不可欠である。
プロセスモデルの訓練段階中、サブセットの物理的DoEウエハ(たとえば、20~100個のウエハ)が、ベースライン方策の周辺の有限数の方策を用いて処理される。1つの例では、少なくとも3つの方策が選択される。選択される方策のうちの1つは、特定されたベースライン方策とまさに同一とすることができる。処理されたウエハ次に、上で論じたように、異なるオンツールおよびオフツールの計測学データを用いて特徴づけられる。プロセスモデルの目標は、ノブに対するプロセス感度を発見して、ノブ相関関係の計測学を見つけること、および最終的に空間モデルを生成することを含む。空間モデルは場所ごとに、すなわちウエハ上の場所ごとに生成することも、ウエハ上のすべての場所の結果を集める最終空間モデルを生成することもできる。図1Aのステップ60は、図1Bのシステム100によって実施することができる。
次にブロック80で、空間モデルが使用されて、プロセス境界の中に空間デジタルDoE空間が生成される。以下で論じるように、このモデルは、物理的ウエハを使用せずに仮想方策を生成することができる。このモデルは、多制約最適化を実行して、所望の寸法仕様を満たす方策空間を作り出す。
図1Bは、最終空間モデル112を出力するシステム100を示す。システム100への入力は、ベースライン方策の周辺の方策を使用する物理的DoEウエハからの特徴づけデータを含む。特徴づけデータは、VM生データ103aおよびOBM生データ103bを含むオンツール計測学データ101、ならびにインライン計測学データ104aおよび非インライン計測学データ104bを含むオフツールデータ102を含む。
次に、特徴づけデータは、機械学習エンジン108に供給される。このデータは、機械学習エンジン108の前にある追加のデータフィルタリングおよび特徴抽出モジュール106によってフィルタリングされる。モジュール106は、データセットから意味のある特徴を抽出し、推論を引き出して機械学習エンジン動作を最適化する重要モジュールである。モジュール106の出力は、現在使用されているDoEウエハからの多入力計測学データ107a(オンツールおよびオフツール計測学データから得られた)である。モジュール106の出力はまた、以前の信頼できる測定から得られたいくつかの基準測定値107bを有することもある。これらの基準測定値(「ゴールデンプロファイル」と呼ばれることもある)は、空間モデル構築およびプロセス最適化段階中には使用できないが、後に続くランタイムプロセス制御中にはしばしば使用される。
機械学習エンジン108で使用される機械学習法は、ニューラルネットワーク、ディープラーニング、または回帰分析に使用される他の任意の既知の技法(たとえば、線形、部分最小二乗法、ガウス分布、多項式、回帰の畳み込みニューラルネットワーク、回帰木その他)に基づくことができる。計測学データに加えて、機械学習エンジン108はまた、様々な方策およびノブについての情報111、ならびにプロセスおよび機器についての情報113も受け取る。次に、機械学習エンジン108は、ウエハ上の測定ごとに中間空間モデル109を生成する。各測定では、対象の1つまたは複数の寸法についてのデータを得ることができる。モデルの動作は、評価モジュール110によって評価される。モデルの動作は、二乗平均平方根誤差(rMSE)または他の任意の適切な測定基準などのペナルティ関数または費用関数105を使用して、最適化される。費用関数は、対象の1つまたは複数の寸法の最適化を可能にするように設計されている、「目的関数」と呼ばれることがある。費用関数は、ウエハ上の場所ごとのものであっても、ウエハ全体のただ1つの費用関数であってもよい。費用関数はまた、DoE条件ごとのものであってもよい。群最適化または群変形(swarm variant)をこれだけには限らないが含む最適化ルーチンが、非凸状多最小限超曲面(non-convex multi-minima hyper-surface)を最小限にするように設計される。誤差ペナルティまたは規則化項が費用関数に加えられて、高次元非凸状多最小限超曲面でのより高い確率解決策が見出され得る。費用関数の所望の値が得られると、空間モデルはさらに、別のセットの物理的DoEウエハからの計測学データを使用して検査することができる。試験および検査ウエハの数は、10個台または20個台の範囲にあり得るが、変わり得る。費用関数がどのように選択されるかに応じて、試験および検査プロセスは、計測学が行われたウエハ全体にわたるデータ点ごとに、空間的に繰り返すことができる。あるいは、空間モデルは、ウエハ全体にわたって平均寸法均一性が得られるように最適化することができる。最終空間モデル112は、計測学が行われたウエハ上のすべてのデータ点からの結果を組み合わせることができる。
空間モデルが確立されると、ウエハ全体にわたる対象の寸法の平均値、およびウエハ全体にわたるその値の範囲の一方または両方に対して、ノブのプロセス感度を決定することができる。このような感度結果により、新プロセスを開発している間にプロセス技術者の洞察力が高くなり、異なるノブ間の相互作用が動作にどのように影響を及ぼすかを技術者が理解する助けになる。さらに、この感度結果により、各ノブのそれ自体の寄与と、ノブの様々な組み合わせの集合効果とを分離することが可能になる。ベースラインプロセスの複数回(通常は3回以上)の繰り返しが、プロセス変動および計測学変動を捕捉するために、DoEに含まれる。
計測学データから集められた制御ノブの感度についての知識があると、実際のウエハを使用する必要性が限られる。この段階は「デジタル」DoE段階と呼ばれ、プロセス最適化がほとんど仮想ドメインで起こる。デジタルDoEの結果がウエハの空間モデルであり、したがって、デジタルDoEはまた、空間デジタルDoEとも呼ばれることに留意されたい。極めて多数の、たとえば数万回以上の空間デジタルDoEを実行して、統計的に実用的な分布を得ることができる。空間デジタルDoEによってプロセス空間が確立されると、実際のウエハを走らせる必要なしに安定した処理条件を特定することができる。プロセスモデルはまた、「逆空間」モードで機能することもでき、すなわちプロセスモデルは、信頼できる基準寸法を入力としてウエハ全体にわたって取得し、予測可能な動作を所望のプロセスウィンドウ内で与える仮想方策をほとんど即時に提案する。空間モードと逆空間モードで並行して、または連続して最適化することが可能である。さらに、このモデルを使用して空間デジタルDoEを実行する場合でも、限られた数の物理的DoEウエハを実際に処理して、モデルによって予測された結果の有効性を試験することもできる。
図2および図3は、機械学習ベースのモデルの2つの基本的な機能を示す。計測学データ202が使用されて最終空間モデル112が生成されるとき、機械学習ベースのモデルは、様々なプロセス方策および制御ノブ情報211に基づいて、対象の空間寸法215を予測することができる。一方で、基準空間測定値(「ゴールデンプロファイル」と呼ばれることがある)302が入力として使用される場合、逆空間モデル312は、プロセス/機器情報313が逆空間モデル312に供給されたときに、方策315を(プロセスおよび機器のデータベースから選択された)所与のプロセスおよび所与の機器に対し推奨することができる。これら2つの機能の一方または両方が、モデル訓練および較正段階の間中、ならびにランタイムウエハ間変動制御段階の間中に使用され得る。本明細書でさらに以下で論じるように、較正プロセス中には空間測定予測がより有用であり、ウエハ間制御段階(たとえば、HVM段階に対する記録のプロセス(POR)の維持および/または最適化)の間中には、方策予測がより有用である。
空間モデルは、プロセス技術者が、ウエハ全体にわたる寸法ごとの所望の空間プロファイル、所望の均一性範囲、ウエハ全体にわたる所望の平均値などの、プロセス動作に対する複数の制約(たとえば、プロセス規格値)を画定することを可能にする。このことは、多入力多出力(MIMO)プロセス最適化と呼ばれる。
図4は、本開示の一実施形態による、典型的なプロセス方策に基づく例示的なDoE範囲を示す。DoEは、一連の異なるプロセスステップの間中に複数のプロセス変数を変化させる。これらの変数は、ベースライン方策の周辺のバンド内で調整される。たとえば、変数1は、ベースライン値Aの周辺の上限値A+と下限値A-の間で変えられる。
図5Aは、ウエハ全体にわたる対象の特定の寸法のグラフである。中実の丸は対象の寸法のターゲットプロファイルを表し、空白の丸は、本開示の空間モデルによって予測されたプロファイルを表す。
図5Bは、空間デジタルDoEによって予測された、プロセス空間内の異なるプロセス条件での対象の寸法D1のグラフを示す。グラフ上の各ドットはプロセス条件を表す。グラフのX軸は、ウエハ全体にわたる対象の寸法D1の平均値であり、グラフのY軸は標準偏差である。図5Bの各点は、ノブ設定の組み合わせを示す。中心の密集状態は、ノブ設定の多くの組み合わせが、連続していることがある特定のノブ空間に対して同じプロセス空間およびマップに入ることを示す。このより厳密なプロセス制御ウィンドウは、以下でさらに詳述するように、HVM中のウエハ間制御において特に役立つ。
図6Aは、単一のノブ(第1のノブ)が変えられたときの、すなわち単一のプロセス変数が変えられたときのプロセス空間のカバレッジを示す。図6Bは、別のノブ(第2のノブ)が変えられたときの、すなわち別のプロセス変数が変えられたときのプロセス空間のカバレッジを示す。図6Cは、さらに別のノブが変えられたときの、すなわち第1のノブおよび第2のノブとは異なる第3のノブが変えられたときのプロセス空間のカバレッジを示す。これは、プロセス空間調査の従来の方法であり、2つのノブの互いの影響は、両方が同時に変えられたときに、特に2つのノブが、ほとんどの場合がそうである非直交のときに、予測できない。
図6Dは、2つの非直交プロセス変数(たとえば、上で論じた第1のノブおよび第2のノブ)が同時に変えられたときの、本開示の空間デジタルDoEモデルによって予測されたプロセス空間のカバレッジを示す。図6Eは、3つの非直交プロセス変数(たとえば、上で論じた第1のノブ、第2のノブおよび第3のノブ)が同時に変えられたときの、本開示の空間デジタルDoEモデルによって予測されたプロセス空間のカバレッジを示す。プロセス変動が、包含されたプロセス空間のより暗い中心部分内に制限される場合には、より厳密な寸法制御を実現することができ、図5B、図6Dおよび図6Eに添付の密度バーに示されるように、明るい影から暗い影が低い密度から高い密度を表している。
図7Aは、プロセス開発段階中のDoEの2つの段階を示す。DoEの第1の段階(DoE段階1、またプロセス最適化DoEとも呼ばれる)の目的は、プロセスを最適化すること、および機械学習制御フレームワークを確立することである。DoEプロセスの例としては、ウエハ受け入れ試験(WAT)用の、記録のプロセス(POR)と対等になるためにデバイス構造としてのテーパ付き底部プロファイルを得るためのエッチングプロセスを、これだけには限らないが挙げることができる。DoEの第1の段階はまた、どのプロセスステップが特定のノブ(たとえば、RF電力、温度、ガスなど)の変動、または他のプロセスパラメータ変動に影響を受けやすいかを特定する助けにもなり得る。
DoEの第2の段階(DoE段階2、プロセス制御DoEとも呼ばれる)の目的は、以下で説明されるように、先進の機械学習ベースのウエハ間変動制御である。DoE段階2では、主要方策制御パラメータと、様々なタイプの計測学(たとえば、VM、OBM、SEMベースのインライン計測学など)との間の関係を確立することを目指す。その最終目標は、プロセス最適化DoEと、機械学習技法を用いるウエハ間およびウエハ内のプロセス制御のためのプロセス制御DoEとからの結合情報を使用することである。
より厳密なプロセス制御のための適応モデリング
上で論じた空間モデルは、ウエハ全体にわたる空間プロファイルを生成する。厳しいデバイス規格値(たとえば、5nmの技術以上のもの)では、フィーチャレベル3D空間プロファイリング制度要件は非常に困難なものになる。寸法精度要件を満たすために、1つのウエハから別のウエハまで、より厳密なプロセスの制御が大量生産中に必要とされる。1つの処理機器の中に、または製造プラットフォーム全体にわたる多数の処理機器の中に分散された複数のチャンバの場合には、デバイス動作変動と相関関係があるデバイス寸法変動を招くハードウェア変動の故に、チャンバマッチングがウエハ間のプロセス変動を最小限にするために重要である。
現在、プロセス制御の主な焦点は、ロット内のウエハ間制御ではなくロット間制御である。本開示の発明者らは、経時的なチャンバ状態ドリフト、チャンバ壁変化および消耗部品の劣化による時間的な変化が、単一ロット内でもウエハ間のデバイス動作変動を生じさせ得ると認識している。多チャンバ製造プラットフォーム内で、プロセス制御エコシステムは、ウエハ間デバイス動作変動を最小限にするための先進のチャンバマッチングに、ビッグデータ分析ベースのプロセス制御モデルを必要とする。
現在、チャンバの監視は、仮想計測学、オンボード計測学、インライン計測学、または特別な電気試験構成を必要とするデバイスパラメータおよび歩留まり試験のいずれかを使用して行われる。各技法にはその得失がある。たとえば、仮想計測学(VM)は、データがチャンバ内のセンサからリアルタイムで得られるので、時間的に最もデバイス処理サイクル時間に近い。オンボード計測学(OBM)もまた、時間的に処理サイクルと非常に近い。しかし、VMおよびOBMのデータは、デバイス動作との直接の相関関係が限定されている。したがって、デバイス動作予測モデルへの入力としてVMおよびOBMのデータだけが使用される場合には、モデルの精度が損なわれることがある。
デバイス動作の最終的な試験データは、電気試験によって1つのプロセスステップ(または、リソグラフィ、堆積、エッチング、洗浄などのいくつかのプロセスステップ)の最後に得られる。閾電圧、漏洩電流などの電気試験データは、ウエハ上のフィーチャレベル寸法と高い相関関係がある。しかし、この試験データは、デバイス処理のどの段階で電気試験が行われているかに応じて、すなわち、電気試験がラインのフロントエンド(FEOL)試験であるか、ラインのミドルエンド(MEOL)試験であるか、それともラインのバックエンド(BEOL)試験であるかに応じて、1つのプロセスステップ(またはいくつかのプロセスステップ)が完了した後にようやく入手可能になる。本開示のDoEは、FEOL DoE、MEOL DoE、またはBEOL DoEに対しカスタム化することができる。電気試験データを取得するための時間枠は、初めから終わりまでを数週間または2、3カ月とすることができる。
本開示では、プロセスフローの最後で電気試験結果を待つよりも実際のプロセスサイクル時間に近接して行われる高精度インライン計測学が、モデルを更新してモデルを実際のデバイス動作と十分な相関関係があるようにするために使用できると認識している。eビーム検査および計測学などのインライン計測学では、データの精度(すなわち、計測学データがデバイス動作とどれだけ十分な相関関係にあるか)と、サイクル時間に近いこととの間のよい妥協点を得ることができる。たとえば、フィーチャレベル3Dプロファイル情報をもたらす高精度SEM計測学(カスタム化計測学と呼ばれることもある)には、VMおよびOBMだけから得られる相関関係と比較して、デバイス動作との非常によい相関関係がある。OBMおよびVMのデータは、任意の現在のプロセスステップで入手できるが、SEM計測学データは、プロセスステップの間で得ることができる。さらに、インライン計測学データは、各プロセスサイクルの最後に得られるまれな電気試験データ(デバイスパラメータおよび歩留まりのデータ)を待たなければならないのではなく、1つのプロセスサイクルのサイクル時間に非常に近接して(サイクル時間への近接度はVMおよびOBMよりも低いが)収集することができる。図7Bは、測定のタイプとデバイス動作との間の、上述の変動相関関係を要約している。
上記の利点にもかかわらず、インライン計測学が現在、単一のロット内のウエハ間ではなく、複数のウエハロットの間で行われている。本開示では、インライン計測学ツールで得られた大量の3Dプロファイリング計測学データ(カスタム化計測学)が、よりよいウエハ間制御のための本明細書に記載の機械学習ベースのプロセスモデルを適応させるために、どのように利用されるかについて説明する。
図7Cは、プロセス最適化および制御の基礎である経験的空間モデルが、デバイス動作に対してもインライン計測学(たとえば、SEM)データに対してもどのように較正され得るかを示す。電気試験からのデバイスパラメトリックデータおよび歩留まりデータが得られると(ブロック710)、モデルはデバイス動作に対して較正され、SEMによって測定された3Dプロファイル寸法が、パラメトリックデータおよび歩留まりデータを用いることによってデバイス動作と互いに関係づけられる(ブロック708)。デバイス動作に対する較正は、周期的な保守または他の任意の適切な周期ごとに設定することができる。対象の3Dプロファイル寸法はまた、チャンバ制御ノブと互いに関係づけられる(ブロック706)。モデルは、ウエハごと、またはロットごとに対象の寸法について得られたSEM画像および計測学データを使用して較正され、次に、デバイス動作に対して較正される。したがって、OBMおよびVMのデータに基づくプロセス制御ノブの相関関係がブロック704でさらに洗練されて、デバイス動作との相関関係全体が改善する。最終的に、モデルは、チャンバの制御ノブを関連ステップにおいて調整して対象の寸法を制御する助けになる(ブロック702)。
図7Dは、多入力多出力適応経験的プロセス制御モデル760(「適応モデル」とも呼ばれる)を生成するために利用される様々な情報を示す。「多入力」とは、対象の様々な寸法についての計測学データを指し、「多出力」とは、モデルによって予測される様々な空間プロファイルを指す。適応フィーチャを実現するために、実際のDoEウエハは、VMデータ(ブロック750として示す)、OBMデータ(ブロック752として示す)、およびインライン計測学データ(ブロック754として示す)によって特徴づけられる。TEMデータ、顧客または他の情報源から入手可能なデータ、電気試験からのデバイスパラメトリックデータおよび歩留まりデータなどの他のデータ(ブロック756として示す)もまた、モデルに供給することができる。加えて、チャンバ情報およびプロセス情報が(一括してブロック758として示す)、適応モデルを生成するために使用される。プロセス情報758の一例には、特定のチャンバに利用可能なプラズマベースのプロセスモデルがあり得る。チャンバ情報およびプロセス情報は多様なことがあり、様々なチャンバおよび様々なプロセスについての情報を包含するデータベースから取り出すことができる。インライン計測学データは、上で論じたように、インライン計測学データとデバイス動作との相関性がよいので、適応モデルを較正する際にVMおよび/またはOBMデータよりも高い優先順位が与えられることがある。デバイスパラメトリックデータ(電気試験データ)が同様のデバイスから得られる場合には、そのデータもまた使用される。適応モデルは、DoEで特定された重要ノブと計測学出力との間を互いに関係づける。適応モデルは、確立され構成されると、VMおよびOBMをモデルの入力として利用して、少なくとも特定の期間はインライン計測学データを補正する必要なしに、デバイス動作変動のウエハ間制御のために使用することができる。
図7Eは、機械学習ベースの経験的モデルを使用する新技術開発サイクルを示す。このサイクルは時間スケールに沿って3つの段階に、すなわち、プロセス開発段階、ランプ段階、および大量生産(HVM)段階に分けることができる。プロセス開発段階は3つの段階に、すなわち、初期プロセス開発段階(P1)、計測学設定とプロセス調整段階(P2)、および感度DoE段階(P3)に細分することができる。ランプ段階は適応制御段階(P4)を含み、HVM段階は監視およびプロセス制御段階(P5)を含む。
各段階は、いくつかの目的および機能と関連づけられている。たとえばP1は、とりわけ、初期プロセス方策スクリーニングと、重要計測学尺度を画定することとに関連づけられている。P2は、とりわけ、予備方策ベースラインを確立すること、計測学モデル(OBMおよびSEMなど)を開発すること、ならびに計測学精度および正確さを最適化することに関連づけられている。P3は、とりわけ、重要プロセス制御ノブを特定すること、および経験的モデルに基づいてベースライン方策を最適化することに関連づけられている。P4は、プロセス制御のための経験的モデルの適応バージョンを開発すること、およびデバイス動作データに対する較正に基づいて計測学モデルを洗練することに関連づけられている。この段階では予測計測学を使用する。P5は主に、偏位監視、および閉ループプロセス制御(たとえば、ウエハ内、ウエハ間、ロット間、チャンバ間の変動制御)に関連づけられている。
図8は、ロット内ウエハ間デバイス動作変動制御のための適応モデル使用方法のブロック図を示す。この適応モデルは、実際のデータおよびデジタルDoEベースの計測学データを用いて訓練された機械学習エンジン818から得られた、最終空間モデル838を使用する。現在のウエハ(Wn-1)からのVM(ブロック828)およびOBM(ブロック830)の生データが、データフィルタリングおよび特徴抽出モジュール836へ供給される。ロットごとに、いくつかのウエハがサンプリングされてインライン計測学ツールまで行き、インライン計測学から収集されたデータに基づいて(ブロック832)、モデル838が適応的に調整される。周期的に(たとえば、周期的な保守サイクルまたは他の事前設定時間周期ごとに)、プロファイリング要件は、入手できる電気試験結果に基づいて調整することができる。ブロック840で、空間測定結果が1つの方策のために生成され、この方策は、現在のウエハに使用された記録のプロセスであり得る。予測された空間測定値840は、ブロック842で逆空間モデルを使用して、いくつかの空間ゴールデン測定値(ブロック832)および/または統計基準(ブロック834)と比較される。逆空間モデルの出力は、調整された方策を同じロット内の次のウエハ(Wn)に対し推奨するもの(ブロック846)である。
図9は、各ロットの後にウエハ間制御モデルをどのように適応的に調整するかを示す。詳細には、図9は、モデル更新のための適応プロセスを表すブロック図を示す。ブロック902および904で、VM生データおよびOBM生データはそれぞれ、ウエハの現在のロット(Ln-1)の1つまたは複数のサンプルから収集される。インライン計測学データは、現在のロットについてブロック906で、現在のロット内のいくつかのウエハをサンプリングすることによって収集される。収集されたすべてのデータは、データフィルタリングおよび特徴抽出モジュール910を通過する。空間モデル912は、空間予測測定値914を生成し、この空間予測測定値は機械学習エンジン918に供給される。機械学習エンジン918は予測測定値を、インラインまたは他のオフツール計測学によって得られた基準測定値916と比較する。プロセス方策920と、プロセスおよび機器情報922とは、機械学習エンジンに供給される。更新された空間モデルがブロック924で生成されて、次のロット(Ln)を制御するために使用される。図8のブロック図に示されたプロセスと同様のプロセスが、1つの方策を次のロット(Ln)に対し推奨するために使用される。
図8に示された機械学習エンジン818および図9に示された機械学習エンジン918は、図1Bのシステム100に示されたのと同じプロセッサとすることができ、または別の制御システムの一部とすることができる。同様に、データフィルタリングおよび特徴抽出モジュール810および910は、システム100の一部、または別の制御システムの一部とすることができる。
ウエハ間(および/またはロット間)デバイス動作変動制御を改善することに加えて、インライン計測学データを適応モデルに統合することで、プロセス技術者が潜在的な障害の箇所を理解することが可能になる。VMおよびOBMが使用されて対象の寸法の空間マップが定性的に得られ、この空間マップは次にゴールデン基準と比較されて、潜在的な障害の箇所が特定される。次に、選択されたウエハがインライン計測学ツール(カスタム化計測学用のeビームなど)まで搬送され、潜在的な障害場所が特定されている対象の領域が詳細に走査されて、障害の根本原因が特定される。
図10は、本開示のいくつかの実施形態による、単一ロット内のウエハ間およびロット間の相補的プロセス制御を可能にするための例示的な方法1000のフロー図である。方法1000の目標は、HVM中にプロセスを維持し厳密に制御することである。プロセス制御は、長短期間のチャンバ間変動を明らかにすることを含み得る。方法1000は、ハードウェア(たとえば、処理デバイス、回路、専用論理回路、プログラム可能論理回路、マイクロコード、デバイスのハードウェア、集積回路など)、ソフトウェア(たとえば、処理デバイス上で走る、すなわち実行される命令)、またはこれらの組み合わせを含み得る処理論理回路によって、実行することができる。ある特定の順序または順番で示されているが、特にことわらない限り、説明のためのフロー図と共に以下で記述される方法1000または他の方法のプロセスの順番は、修正されることがある。したがって、説明される実施形態は例としのみ理解されるべきであり、説明されるプロセスは別の順番で実行することができ、いくつかのプロセスは並行して実行することができる。加えて、1つまたは複数のプロセスは、様々な実施形態で省略することができる。すなわち、全プロセスがすべての実施形態で必要とされるわけではない。他のプロセスフローも実施可能である。
方法1000は、2つの相補的フロー、すなわち、適応モデルのモデル構築および更新フロー1005と、ロット内ランからランのプロセス制御フロー1010とを有し得る。用語の「ランからラン」は、1つのロット内の1つのウエハから次のウエハという意味で用いられる。チャンバ間変動には、ランの間で対応することができる。モデル構築および更新フロー1005はブロック1020で、プロセス最適化の間中に特定された記録のプロセス(POR)方策周辺のDoEを設計することから開始する(すなわち、本開示の空間デジタルDoEモデルを使用する開発およびランプアップ段階)。このブロックで、モデル較正に使用される実際のDoEウエハの数が最適化され得る。プロセス変動が、POR方策のために調査される。さらに、プロセス制御のために使用されるべき重要ノブが特定され、DoEプロセス空間が、少なくとも重要ノブを包含するように設計される。次に、プロセス制御モデルがブロック1030で構築され、このモデルは、VMおよびOBMによってプロセス変動を捕捉し、また機械学習エンジンを使用して、ロット内の次のセットのウエハに使用できるプロセス変動補正を提案する。ブロック1040で、プロセス制御モデルは、インライン計測学データによって更新される。プロセスモデルのロット間較正を実行することができる。さらに、「ホットスポット」または障害の箇所がランからランのプロセス制御フロー1010(以下で説明)内で特定された場合には、根本原因分析(RCA)をブロック1040で実行することができる。
ランからランのプロセス制御フロー1010はブロック1060で開始し、オンウエハ計測学(すなわち、対象の寸法の空間マップ)がVMおよびOBMデータに基づいて予測される。この予測は、ゴールデン基準および/または統計的基準と比較することができる。ブロック1070で、ブロック1030からの提案が受け取られ、補正された方策が次のウエハランのために計算される。この方策補正は、先進のプロセス制御(APC)ホストに対し推奨することができる。
ブロック1080で、サンプリング方式が、適応モデルをブロック1040で調整するために定義される。いくつかのウエハがモデル調整のために、VMおよびOBMデータに基づいて選択される。これらいくつかのウエハは格付けすることができ、1つまたは複数の最高格付けのウエハを、インライン計測学へ送出するための関連ウエハとして選択することができる。たとえば、最高の3~5つのウエハをインライン計測学へ送出することができるが、この数は変わり得る。ホットスポット(HS)(または障害の箇所)がeビーム検査または他の方法によって、インライン計測学へ送出されるウエハから特定され得る。特定されたホットスポットは、上で論じたように、ウエハ上のいかなる潜在的な障害の箇所も理解するためのRCAに使用することができる。当業者には、ブロック1040が、VM、OBMおよびインライン計測学データから得られた複合識見に基づいて、ブロック1030に周期的に戻り得ることが理解されよう。
図11は、本開示の一実施形態による、モデルを洗練するために誘導計測学を使用する変動制御の重要構成要素を示す。モデルの出力は、経験的プロセスモデルおよび機械学習に基づいて障害の確率を示す予測空間マップである。第1のブロック1102は、どこを測定すべきか、すなわち、測定すべきウエハ上の正確な場所を、設計ファイル(たとえば、マスク設計GDSファイル)上のホットスポット(または障害の箇所)を含めて決定する。ブロック1104は、どの寸法がデバイス動作と相関関係があるかを決定する。ブロック1106で、先進のeビーム技術によるフィーチャレベルでの大量のダイ内測定からの画像データが、空間モデルに供給される。ブロック1108は計測学ブロックであり、対象の寸法に対する感度が、従来のアルゴリズムと機械学習のアルゴリズムとを組み合わせることによって評価される。ブロック1110は決定ブロックであり、特定のプロセスに問題があるかどうかが明確にされる。問題が明確にされた場合、この問題は、その構成要素、たとえば、問題を修正するにはどのノブ設定が特に調整される必要があるのか、になるように畳み込みが解かれる。最後に、ブロック1112は制御ブロックであり、機械学習モデルに基づいて、どのノブを調整すべきか、および各ノブをどれだけ調整すべきかが決定される。要約すると、誘導計測学では、図11に示された機能ブロックを結合してホットスポット(または障害の箇所)を検出し、ホットスポットを回避するように、または障害を軽減するようにプロセスが洗練される。
システム環境
図12は、図を簡単にするために単一のチャンバを示している技術構成ブロック図を示すが、当業者には、複数のチャンバが単一のプラットフォームの一部であり得ることが理解されよう。プロセスプラットフォーム1220は、プロセスモデリングミニサーバ1208を有する。このプロセスモデルは、ウエハ全体にわたる対象の1つまたは複数の寸法の空間変動を引き起こす、チャンバ内のプロセスの物理的現象を捕捉する。モデルはチャンバ固有であってもよく、あるいは1つの共通モデルが複数のチャンバに使用されてもよい。共通モデルは、1つまたは複数のチャンバを較正するための基準として使用されるので、「ゴールデンモデル」と呼ばれることがある。ミニサーバ1208は、チャンバ1204内のセンサからのセンサデータ1205(すなわち、VMデータ)と、OBMツール1202からの生データ1203(たとえば、放射スペクトル)とを受け取る。VMおよびOBMに基づいて、プロセスモデリングミニサーバ1208は、(空間モデルを使用して計算された)多ノブ推奨1209をホストコンピュータ1210内のfab先進のプロセスコントローラ(APC)へ送出する。この推奨は、プロセス制御ノブまたは他の任意のチャンバパラメータ(たとえば、ソース電力、圧力などを調整する)に適用されるべきオフセットを含み得る。fab APC 1210はまた、TEM、電気試験ツールなどの他の試験ツールからデータ1207を受け取る。電気試験データは、デバイスパラメータおよび歩留まりのデータを含み得る。Fab APC 1210はまた、多次元カスタム化計測学データ1214を生成するSEM 1212からインライン高精度計測学データを受け取る。機械学習ベースのモデル構築設定サーバ1216は、カスタム化計測学データ1214、TEMおよび他のデバイスのパラメータおよび歩留まりのデータ1270、生OBMデータ1203ならびにセンサデータ1205を受け取って、プロセスモデルを適応的に調整する。機械学習エンジンは、ミニサーバ1208、Fab APC 1210および設定サーバ1216のうちの1つまたは複数に存在し得る。プロセスモデリングミニサーバ1208と機械学習ベースのモデル構築設定サーバ1216とは、リンク1217を介して互いに結合され、それにより、プロセスモデリングミニサーバ1208によって生成された空間モデルは、SEM1212からの大量のインライン計測学データを必要に応じて使用して、ウエハ間またはロット間変動制御に適応させることができる。加えて、チャンバ間変動は、チャンバ内部のプロセスの物理的現象を捕捉する基準チャンバモデルに基づき各チャンバを較正することによって、制御することができる。基準チャンバモデル自体は、機械学習に基づいて適応的に較正することができ、それにより、改善された基準モデルを用いて較正された各チャンバは、基準チャンバに期待されるオンウエハ動作と対等になることができる。適応的較正は、異なるチャンバからのウエハの周期的なサンプリングに基づいており、それにより、チャンバ間変動は実質的に補償される。ホットスポットベースのサンプリングの一例が、図10に提示されている。
図13は、本明細書で論じられた方法のうちのいずれか1つまたは複数を機械に実行させる命令のセットをその内部で実行できる、コンピュータシステム1300の例示的な機械を示す。代替の実施態様では、機械は、LAN、イントラネット、エクストラネット、および/またはインターネット内の他の機械と接続(たとえば、ネットワーク化)することができる。機械は、クライアントサーバネットワーク環境内のサーバもしくはクライアント機械の能力内で、ピアツーピア(もしくは分散された)ネットワーク環境内のピア機械として、またはクラウドコンピューティングのインフラストラクチャもしくは環境内のサーバもしくはクライアント機械として、動作することができる。
機械は、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、ウェブ機器、サーバ、ネットワークルータ、スイッチもしくはブリッジ、または機械が行う動作を指定する(順次的な、または別の)命令のセットを実行できる任意の機械とすることができる。さらに、単一の機械が図示されているが、用語の「機械」はまた、個々に、または連結して命令の1つのセット(または複数のセット)を実行して、本明細書で論じられる方法のいずれか1つまたは複数を実行する機械の、任意の集まったものも含むと解釈されるべきである。
例示的なコンピュータシステム1300は、処理デバイス1302、主メモリ1304(たとえば、読み出し専用メモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(シンクロナスDRAM(SDRAM)など))、およびスタティックメモリ1306(たとえば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、およびデータストレージデバイス1316を含み、これらはバス1308を介して互いに通信する。
処理デバイス1302は、マイクロプロセッサ、中央処理ユニットなどの、1つまたは複数の汎用処理デバイスを表す。より詳細には、処理デバイスは、複雑命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、または他の命令セットを実施するプロセッサ、もしくは命令セットの組み合わせを実施するプロセッサ、とすることができる。処理デバイス1302はまた、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなどの1つまたは複数の専用処理デバイスとすることができる。処理デバイス1302は、本明細書で論じられている動作およびステップを実行するための命令を実行するように構成されている。
コンピュータシステム1300はさらに、ネットワーク1318を介して通信するためのネットワークインターフェースデバイス1322を含み得る。コンピュータシステム1300はまた、ビデオディスプレイユニット1310(たとえば、液晶ディスプレイ(LCD)またはカソード陰極線管(CRT))、英数字入力デバイス1312(たとえば、キーボード)、カーソル制御デバイス1314(たとえば、マウスまたはタッチパッド)、信号生成デバイス1320(たとえば、スピーカ)、グラフィック処理ユニット(図示せず)、ビデオ処理ユニット(図示せず)、および音声処理ユニット(図示せず)を含み得る。
データストレージデバイス1316は、機械可読ストレージ媒体1324(コンピュータ可読媒体とも呼ばれる)を含み、このストレージ媒体には、本明細書に記載された方法または機能のいずれか1つまたは複数を具現化する、1つまたは複数の命令のセットもしくはソフトウェアが記憶される。命令はまた、コンピュータシステム1300によるその実行中に、主メモリ1304の中、および/または処理デバイス1302の中に完全に、または少なくとも部分的に存在することができ、この主メモリ1304および処理デバイス1302もまた、機械可読ストレージ媒体を構成している。
1つの実施態様では、命令は、高低差決定に対応する機能を実施する命令を含む。機械可読ストレージ媒体624が単一の媒体であるように例示的な実施態様に示されているが、用語の「機械可読ストレージ媒体」は、命令の1つまたは複数のセットを記憶する単一の媒体または複数の媒体(たとえば、集中もしくは分散されたデータベース、ならびに/または関連するキャッシュおよびサーバ)を含むと解釈されたい。用語の「機械可読ストレージ媒体」はまた、機械によって実行するための、また本開示の方法のうちのいずれか1つまたは複数を機械に実行させる、命令のセットを記憶または符号化できる任意の媒体を含むと解釈されるべきである。したがって、用語の「機械可読ストレージ媒体」は、固体メモリ、光学媒体および磁気媒体を、これらだけには限らないが含むと解釈されるべきである。
これまでの詳細な説明のいくつかの部分は、コンピュータメモリ内のデータビットに対する操作のアルゴリズムおよび記号表現に関して提示されている。これらのアルゴリズムに関する説明および表現は、データ処理技術分野の当業者がその仕事の内容を他の当業者に最も効果的に伝えるために使用する手段である。1つのアルゴリズムが本明細書にあり、一般に、所望の結果に至る自己矛盾のない一連の動作であると考えられる。これらの動作は、物理量の物理的な操作を必要とするものである。通常これらの量は、必ずというわけではないが、記憶、結合、比較、およびそれ以外の操作をすることができる電気信号または磁気信号の形をとる。これらの信号をビット、値、要素、記号、特性、用語、番号などと呼ぶことが場合により便利であることが、主に普通の用法という理由で、判明している。
しかし、これらのすべて、および類似の用語は、適切な物理量と関連づけられるものであり、これらの量につけられた単なる便利な標識にすぎないことを念頭に置かれたい。特にことわらない限り、上記の議論から明らかなように、本明細書全体を通して、「特定する」、「決定する」、「実行する」、「行う」、「収集する」、「生成する」または「送出する」などの用語を利用する議論は、コンピュータシステムまたは類似の電子計算デバイスの動作およびプロセスを指し、このシステムまたはデバイスは、コンピュータシステムのレジスタおよびメモリ内で物理量(電子量)として表されたデータを、コンピュータシステムメモリもしくはレジスタ、または他のこのような情報ストレージデバイス内で物理量として同様に表される他のデータになるように、操作および変換することを理解されたい。
本開示はまた、本明細書に記載の動作を実行する装置にも関する。この装置は、意図される目的のために特別に構築すること、またはコンピュータに記憶されたコンピュータプログラムによって選択的に起動または再構成される汎用コンピュータを備えることができる。このようなコンピュータプログラムは、フロッピーディスク、光ディスク、CD-ROM、および光磁気ディスクを含む任意のタイプのディスク、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、EPROM、EEPROM、磁気もしくは光学カード、または電子的命令を記憶するのに適している、それぞれがコンピュータシステムバスに結合された任意のタイプの媒体などのコンピュータ可読ストレージ媒体に、これらだけに限らないが、記憶することができる。
本明細書に提示されたアルゴリズムおよびディスプレイは、いかなる特定のコンピュータまたは他の装置とも本質的には関連づけられていない。様々な汎用システムが、本明細書の教示に従ってプログラムと共に使用されてもよく、あるいは、方法を実行するためにより専門化された装置を構築することが都合のよいことが判明することもある。様々なこれらのシステムの構造は、以下の説明で示されるように明らかになろう。加えて、本開示は、何か特定のプログラミング言語に準拠して説明されていない。本明細書に記載された本開示の教示を実施するのに多様なプログラミング言語が使用されてよいことを理解されたい。
本開示は、命令が記憶されている機械可読媒体を含むことができるコンピュータプログラム製品またはソフトウェアとして提供されてもよく、このコンピュータプログラム製品またはソフトウェアは、本開示によるプロセスを実行するためのコンピュータシステム(または他の電子デバイス)をプログラムするために使用されてよい。機械可読媒体には、機械(たとえば、コンピュータ)が可読の形で情報を記憶するための任意の機構が含まれる。たとえば、機械可読(たとえば、コンピュータ可読)媒体には、読み出し専用メモリ(「ROM」)、ランダムアクセスメモリ(「RAM」)、磁気ディスクストレージ媒体、光学ストレージ媒体、フラッシュメモリデバイスなどの機械(たとえば、コンピュータ)可読ストレージ媒体が含まれる。
本明細書でこれまで、本開示の実施態様をその特定の例示的実施態様に関連して説明してきた。様々な修正が、添付の特許請求の範囲に示された本開示の諸実施態様の広範な趣旨および範囲から逸脱することなく、実施態様に加えられ得ることは明らかであろう。したがって、本明細書および図面は、制限的な意味ではなく説明的な意味において考慮されるものである。

Claims (39)

  1. コンピュータで実施される方法であって、
    有限数のウエハを処理して処理機器のセンサから仮想計測学(VM)データ、およびウエハ上のデバイスの特徴からオンボード計測学(OBM)データを生成することによって、既知の初期プロセスに基づいて物理的実験計画法(DoE)を実行するステップと、
    走査型電子顕微鏡(SEM)からインライン計測学データを取得するステップと、
    プロセスおよび前記処理機器の経験的プロセスモデルを取得するステップと、
    前記インライン計測学データを基準として使用することによって前記経験的プロセスモデルを較正するステップと、
    前記VM、OBMおよびインライン計測学データを結合してカスタム化計測学データを生成するステップと、
    前記カスタム化計測学データを受け取り、前記ウエハ全体にわたる対象の1つまたは複数の寸法に対する前記ウエハの1つまたは複数の空間マップを出力する機械学習エンジンが、前記経験的プロセスモデルを洗練することによって予測モデルを構築するステップとを含み、前記予測モデルが、どんな別のウエハも物理的に処理することなく空間デジタルDoEを実行するものであり、前記空間デジタルDoEが、前記処理機器に対する、および前記ウエハ全体にわたる対象の前記1つまたは複数の寸法に対する、前記プロセスの多制約最適化を含む、方法。
  2. カスマム化された計測学データがさらに透過型電子顕微鏡(TEM)データを含む、請求項1に記載の方法。
  3. 前記予測モデルが、前記ウエハを処理するための仮想方策を逆モードで推奨するものである、請求項1に記載の方法。
  4. 前記予測モデルが、対象の前記1つまたは複数の寸法のプロセス誘発変動が許容限度内にあるプロセス空間の中でプロセスウィンドウを特定するものである、請求項1に記載の方法。
  5. 前記機械学習エンジンがさらに、ある特定のプロセスと、前記プロセスが実行されることになる1つまたは複数の特定の機器とについての情報を受け取るものである、請求項1に記載の方法。
  6. 別のセットの物理的ウエハを処理することにより取得された別の計測学データを使用して、前記予測モデルを検査するステップをさらに含む、請求項1に記載の方法。
  7. 前記予測モデルが、前記カスタム化計測学データをプロセス空間内の1つまたは複数の重要ノブ設定と互いに関係づけるものである、請求項1に記載の方法。
  8. 機械学習技法が、対象の前記1つまたは複数の寸法に対する前記1つまたは複数の重要ノブの複合効果を特定するために使用される、請求項7に記載の方法。
  9. 各ウエハが、完全ウエハまたはその一部分を含む、請求項1に記載の方法。
  10. 空間デジタル実験計画法(DoE)用の機械学習ベースの予測モデルを構築するための、コンピュータで実施される方法であって
    半導体プロセスの知識に基づいて初期方策を取得するステップと、
    前記初期方策の周辺で前記半導体プロセスの複数の変数を変更することによって第1のセットのウエハを処理して、前記第1のセットのウエハ上に製造されたデバイスの対象の寸法に影響を及ぼす重要ノブを特定するステップと、
    前記第1のセットのウエハを処理する前記ステップの結果をスクリーニングして、プロセス空間内の前記特定された重要ノブの相互作用を包含するために必要なウエハの最適数を決定するステップと、
    第2のセットのウエハを、前記特定された重要ノブを前記プロセス空間内で変えることによって処理するステップであって、前記第2のセットが前記最適数のウエハを含む、ステップと、
    前記第2のセットのウエハを処理する前記ステップの間中に、前記対象の寸法に関連する仮想計測学(VM)データをセンサから収集するステップと、
    前記第2のセットのウエハからの前記対象の寸法に関連するオンボード計測学(OBM)データを収集するステップと、
    前記対象の寸法に関連するインライン計測学データを、前記第2のセットのウエハからのウエハのうちの少なくともいくつかにeビーム検査および計測学を実行することによって収集するステップと、
    前記VM、OBMおよびインライン計測学データを結合して、前記対象の寸法に関連する結合計測学データを生成するステップと、
    前記結合計測学データと前記プロセス空間内の前記特定された重要ノブ設定との相関関係を決定するステップと、
    前記半導体プロセスと関連する様々なノブが前記プロセス空間内で仮想的に変えられたときに、前記対象の寸法の空間分布を予測するために前記決定された相関関係を用いる、機械学習ベースの予測モデルを構築するステップであって、前記対象の寸法の前記間分布が、所与の処理チャンバの半導体プロセスを最適化するために何か別のウエハを物理的に処理しなくても、空間デジタルDoEを実行することを可能にする、ステップと
    を含む方法。
  11. ウエハ上のデバイスの生産中にウエハ間のデバイス動作変動を制御するシステムであって、
    (1)1つまたは複数の処理チャンバ、オンボード計測学(OBM)ツール、および機械学習ベースのプロセス制御モデルを記憶する第1のサーバを含む、プロセスプラットフォームであって、
    前記第1のサーバが、前記1つまたは複数の処理チャンバ内の現在のセットのウエハの処理中に、現在の記録のプロセス(POR)を用いてセンサから収集された仮想計測学(VM)データを受け取るものであり、また、前記ウエハ上に製造されているデバイス中の対象の1つまたは複数の寸法を測定することによって前記OBMツールが生成したOBMデータを受け取るものでもあり、前記1つまたは複数の対象の寸法がデバイス動作と相関関係があり、
    前記第1のサーバが、前記VMデータと前記OBMデータを結合するものであり、また、前記結合されたデータに基づいて前記1つまたは複数の対象の寸法の空間分布を予測するために前記プロセス制御モデルを使用するものである、プロセスプラットフォームと、
    (2)前記現在のPORに対応する基準インライン計測学データを生成するために前記現在のセットのウエハをサンプリングすることによって選択されたサブセットのウエハについて、前記1つまたは複数の対象の寸法を測定するためのインライン計測学ツールと、
    (3)前記第1のサーバから前記1つまたは複数の対象の寸法の前記間分布をVMおよびOBMに基づいて受け取るための、また前記インライン計測学ツールから前記基準インライン計測学データも受け取るための、機械学習エンジンを有する第2のサーバとを備え、
    前記機械学習エンジンが、
    前記1つまたは複数の対象の寸法の前記間分布を、前記現在のPORに対応する前記基準インライン計測学データと比較することによって、また
    前記現在のPORを修正するための新方策を次のセットのウエハに対し推奨することによって、前記プロセス制御モデルを周期的に更新するものであり、前記新方策が、前記現在のセットのウエハと前記次のセットのウエハとの間の処理条件の変化に、ウエハ間のデバイス動作変動を最小限にするように適応する、システム。
  12. 前記基準インライン計測学データがさらに、透過型電子顕微鏡(TEM)から前記第2のサーバの前記機械学習エンジンによって受け取られたオフライン計測学データを含む、請求項11に記載のシステム。
  13. 前記基準インライン計測学データがさらに、デバイス特徴について行われた電気試験から前記第2のサーバの前記機械学習エンジンによって受け取られた、デバイスパラメトリックデータおよび歩留まりデータを含む、請求項11に記載のシステム。
  14. 前記サブセットのウエハが、所定のサンプリング方式に基づいて前記現在のセットのウエハをサンプリングすることによって選択される、請求項11に記載のシステム。
  15. 前記所定のサンプリング方式がさらに、
    前記サブセットのウエハ中の個々のウエハを、特定されたホットスポットまたは他の障害の箇所に基づいて格付けすることを含む、請求項14に記載のシステム。
  16. 前記次のセットのウエハに対し新方策を推奨する際に、前記特定されたホットスポットまたは前記他の障害の箇所について行われる根本原因分析を考慮に入れる、請求項15に記載のシステム。
  17. 前記プロセス制御モデルが1つのロット内で周期的に更新される、請求項11に記載のシステム。
  18. 前記プロセス制御モデルが1つのロットから次のロットまで更新される、請求項11に記載のシステム。
  19. 前記プロセス制御モデルが周期的な保守サイクルごとに1回更新される、請求項11に記載のシステム。
  20. 前記プロセス制御モデルが、処理チャンバの時間的なドリフトを補正するために更新される、請求項11に記載のシステム。
  21. 前記システムが、前記新方策に対するノブ設定を変えるために前記第1のサーバから推奨を受け取るホストコンピュータをさらに備える、請求項11に記載のシステム。
  22. ウエハ上のデバイスの生産中にウエハ間デバイス動作変動を制御するための、コンピュータで実施される方法であって、
    第1のサーバにおいて、現在のセットのウエハの現在の記録のプロセス(POR)を取得するステップであって、前記PORが、前記ウエハ上に製造されるデバイスの対象の寸法の空間分布を予測する機械学習ベースのプロセス制御モデルによって推奨され、前記対象の寸法が前記デバイスの動作と相関関係がある、ステップと、
    前記現在のセットのウエハを、前記現在のPORに対応するノブ設定で処理するステップと、
    前記現在のセットのウエハの処理中にセンサから仮想計測学(VM)データを受け取るステップと、
    前記ウエハ上に製造されている前記デバイス中の1つまたは複数の対象の寸法を測定することによって生成された、オンボード計測学(OBM)データを受け取るステップであって、前記1つまたは複数の対象の寸法がデバイス動作と相関関係があるステップと、
    前記VMデータとOBMデータを結合するステップと、
    機械学習ベースのプロセス制御モデルを使用して、前記結合データに基づき、前記1つまたは複数の対象の寸法の空間分布を予測するステップと、
    前記現在のセットのウエハをサンプリングして、インライン計測学ツールへ送出するためのサブセットのウエハを選択するステップと、
    前記インライン計測学ツールを使用して、前記サブセットのウエハ上の前記1つまたは複数の対象の寸法を、前記現在のPORに対応する基準インライン計測学データを生成するために測定するステップと、
    機械学習エンジンを有する第2のサーバにおいて、前記VMおよびOBMに基づいて前記第1のサーバによって予測された前記1つまたは複数の対象の寸法の前記空間分布を受け取るステップと、
    前記第2のサーバにおいて前記基準インライン計測学データを受け取るステップと、
    前記第2のサーバの前記機械学習エンジンを使用して、
    前記1つまたは複数の対象の寸法の前記間分布を、前記現在のPORに対応する前記基準インライン計測学データと比較することによって、また
    前記現在のPORを修正するための新方策を次のセットのウエハに対し推奨することによって、前記プロセス制御モデルを周期的に更新するステップであって、前記新方策が、前記現在のセットのウエハと前記次のセットのウエハとの間の処理条件の変化に、ウエハ間のデバイス動作変動を最小限にするように適応する、ステップと
    を含む、コンピュータで実施される方法。
  23. ウエハ上のデバイスの生産中にチャンバ間変動を制御するための、コンピュータで実施される方法であって、
    多チャンバ処理プラットフォーム内の現在のチャンバごとに、
    ウエハの空間モデルを取得するステップであって、前記空間モデルが、前記デバイスの1つまたは複数の対象の寸法についての第1のセットの計測学データに基づいて、第1の機械学習エンジンによって生成される、ステップと、
    前記現在のチャンバの1つまたは複数のパラメータを取得するステップと、
    前記空間モデル、および前記現在のチャンバの前記1つまたは複数のパラメータを使用して、前記デバイスの前記1つまたは複数の対象の寸法の空間測定値を前記ウエハ全体にわたって予測するステップと、
    前記デバイスの前記1つまたは複数の対象の寸法の基準空間測定値を前記ウエハ全体にわたって取得するステップであって、前記基準空間測定値が、基準チャンバ内で前記デバイスを処理することによって取得される、ステップと、
    前記空間モデルによって予測された前記間測定値を前記基準空間測定値と比較して、前記現在のチャンバと前記基準チャンバとの間の動作の変動を示す測定基準を生成するステップと、
    第2の機械学習エンジンによって実行される前記測定基準の多制約最適化に基づいて、前記現在のチャンバの前記1つまたは複数のパラメータのうちの少なくともいくつかに対する調整を、前記現在のチャンバの動作が前記基準チャンバの動作と実質的に対等になるように推奨するステップとを含む、コンピュータで実施される方法。
  24. 前記第1のセットの計測学データが、
    前記チャンバ内のウエハの処理中にセンサから収集された仮想計測学(VM)データと、
    前記デバイスの前記1つまたは複数の対象の寸法を測定することによってOBMツールで生成されたオンボード計測学(OBM)データとを含む、請求項23に記載の方法。
  25. 前記第2の機械学習エンジンが、前記デバイスの1つまたは複数の対象の寸法についての前記第1のセットの計測学データおよび第2のセットの計測学データを用いて訓練されることによって、経験的プロセスモデルを適応的に洗練する、請求項23に記載の方法。
  26. 前記第2のセットの計測学データが、
    サブセットのウエハの前記1つまたは複数の対象の寸法を周期的に測定するインライン計測学ツールによって生成されたインライン計測学データを含む、請求項25に記載の方法。
  27. 前記インライン計測学ツールが走査型電子顕微鏡(SEM)である、請求項26に記載の方法。
  28. 前記多チャンバ処理プラットフォーム内のチャンバのうちの1つが前記基準チャンバである、請求項23に記載の方法。
  29. 前記基準チャンバが前記多チャンバ処理プラットフォームの外部にある、請求項23に記載の方法。
  30. 前記現在のチャンバの前記1つまたは複数のパラメータが、前記現在のチャンバのハードウェア構成要素の特性を含む、請求項23に記載の方法。
  31. 前記現在のチャンバの前記1つまたは複数のパラメータが、プロセス方策のステップを規制する前記現在のチャンバの調整可能ノブ設定を含む、請求項23に記載の方法。
  32. ウエハ上のデバイスの生産中にチャンバ間変動を制御するための、コンピュータで実施される方法であって、
    多チャンバプラットフォームの複数のチャンバ内で実施されるべきプロセスの物理的現象を表す、基準チャンバの空間モデルを取得するステップと、
    前記多チャンバプラットフォームの別々のチャンバから1つまたは複数のウエハを周期的にサンプリングするステップと、
    前記サンプリングされた1つまたは複数のウエハから高精度計測学ツールによって取得された実際および仮想の計測学データを受け取る機械学習エンジンによって実行される多制約最適化に基づいて、前記基準チャンバの前記空間モデルを較正するステップと、
    前記較正された基準チャンバ空間モデルに基づいて、現在のチャンバの1つまたは複数のパラメータに対する調整を、前記現在のチャンバの動作が前記基準チャンバの動作と実質的に対等になるように推奨し、それによって、前記多チャンバ処理プラットフォーム内の前記プロセスのチャンバ間変動を適応的に制御するステップとを含む、コンピュータで実施される方法。
  33. 前記現在のチャンバの前記1つまたは複数のパラメータが、前記現在のチャンバのハードウェア構成要素の特性を含む、請求項32に記載の方法。
  34. 前記現在のチャンバの前記1つまたは複数のパラメータが、プロセス方策のステップを規制する前記現在のチャンバの調整可能ノブ設定を含む、請求項32に記載の方法。
  35. 前記基準チャンバの前記空間モデルが、前記多チャンバ処理プラットフォーム内の各チャンバの共通モデルである、請求項32に記載の方法。
  36. 前記多チャンバ処理プラットフォーム内の各チャンバが前記基準チャンバの、対応するチャンバ固有空間モデルを有する、請求項32に記載の方法。
  37. 前記高精度計測学ツールが、SEM、TEM、またはこれらの組み合わせのうちの1つまたは複数を含む、請求項32に記載の方法。
  38. 前記機械学習エンジンがさらに、デバイスパラメトリックおよび歩留まり試験のデータを受け取る、請求項32に記載の方法。
  39. 前記サンプリングがホットスポットを特定することに基づく、請求項32に記載の方法。
JP2021519635A 2018-10-09 2019-10-07 先進の半導体プロセス最適化および生産中の適応制御 Active JP7177923B6 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022180846A JP7275370B2 (ja) 2018-10-09 2022-11-11 先進の半導体プロセス最適化および生産中の適応制御

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US16/155,773 US10657214B2 (en) 2018-10-09 2018-10-09 Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US16/155,779 2018-10-09
US16/155,779 US10930531B2 (en) 2018-10-09 2018-10-09 Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US16/155,773 2018-10-09
US16/214,550 2018-12-10
US16/214,550 US10705514B2 (en) 2018-10-09 2018-12-10 Adaptive chamber matching in advanced semiconductor process control
PCT/US2019/055031 WO2020076719A1 (en) 2018-10-09 2019-10-07 Advanced semiconductor process optimization and adaptive control during manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022180846A Division JP7275370B2 (ja) 2018-10-09 2022-11-11 先進の半導体プロセス最適化および生産中の適応制御

Publications (3)

Publication Number Publication Date
JP2022504561A JP2022504561A (ja) 2022-01-13
JP7177923B2 JP7177923B2 (ja) 2022-11-24
JP7177923B6 true JP7177923B6 (ja) 2022-12-16

Family

ID=70051960

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2021519635A Active JP7177923B6 (ja) 2018-10-09 2019-10-07 先進の半導体プロセス最適化および生産中の適応制御
JP2022180846A Active JP7275370B2 (ja) 2018-10-09 2022-11-11 先進の半導体プロセス最適化および生産中の適応制御
JP2023076192A Active JP7375246B2 (ja) 2018-10-09 2023-05-02 先進の半導体プロセス最適化および生産中の適応制御
JP2023183218A Pending JP2023181311A (ja) 2018-10-09 2023-10-25 先進の半導体プロセス最適化および生産中の適応制御

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2022180846A Active JP7275370B2 (ja) 2018-10-09 2022-11-11 先進の半導体プロセス最適化および生産中の適応制御
JP2023076192A Active JP7375246B2 (ja) 2018-10-09 2023-05-02 先進の半導体プロセス最適化および生産中の適応制御
JP2023183218A Pending JP2023181311A (ja) 2018-10-09 2023-10-25 先進の半導体プロセス最適化および生産中の適応制御

Country Status (6)

Country Link
US (2) US10705514B2 (ja)
EP (2) EP4276891A2 (ja)
JP (4) JP7177923B6 (ja)
KR (2) KR20230141930A (ja)
CN (2) CN112805635B (ja)
WO (1) WO2020076719A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
JP2023523156A (ja) * 2020-04-06 2023-06-02 ノヴァ リミテッド スペクトルに基づく測定およびプロセス制御のための機械学習および深層学習の方法
US11901204B2 (en) 2020-05-22 2024-02-13 Applied Materials, Inc. Predictive wafer scheduling for multi-chamber semiconductor equipment
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US20220066411A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Detecting and correcting substrate process drift using machine learning
JP2022045616A (ja) * 2020-09-09 2022-03-22 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN116745895A (zh) * 2020-12-21 2023-09-12 朗姆研究公司 用于半导体制造设备的处理控制的自适应模型训练
US20220210525A1 (en) * 2020-12-24 2022-06-30 Applied Materials Israel Ltd. Prediction of electrical properties of a semiconductor specimen
US11532525B2 (en) 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US20220284342A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Systems and methods for process chamber health monitoring and diagnostics using virtual model
KR20240016345A (ko) * 2021-06-01 2024-02-06 램 리써치 코포레이션 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
US20220392809A1 (en) * 2021-06-03 2022-12-08 Kla Corporation Adaptive modeling misregistration measurement system and method
US11860591B2 (en) * 2021-09-13 2024-01-02 Applied Materials, Inc. Process recipe creation and matching using feature models
US20230078146A1 (en) * 2021-09-15 2023-03-16 Applied Materials, Inc. Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
CN113539909A (zh) * 2021-09-15 2021-10-22 深圳市信润富联数字科技有限公司 一种故障检测方法、装置、终端设备及存储介质
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
JP2023120961A (ja) * 2022-02-18 2023-08-30 株式会社Screenホールディングス 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム
US20230295799A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)
WO2023220680A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Virtual semiconductor fab environment
US20230367288A1 (en) * 2022-05-16 2023-11-16 Applied Materials, Inc. Physically-informed multi-system hardware operating windows
US20240062097A1 (en) * 2022-08-22 2024-02-22 Applied Materials, Inc. Equipment parameter management at a manufacturing system using machine learning
US20240086597A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Generation and utilization of virtual features for process modeling
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007528985A (ja) 2003-06-27 2007-10-18 ティンバー テクノロジーズ,インコーポレイティド 機械学習システムを用いた半導体ウェハ上に形成された構造の光学測定
JP2008511986A (ja) 2004-08-27 2008-04-17 東京エレクトロン株式会社 仮想モジュールを用いた半導体処理方法
US20140031968A1 (en) 2012-07-25 2014-01-30 International Business Machines Corporation Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing
US20140107828A1 (en) 2012-10-15 2014-04-17 International Business Machines Corporation Method and System for Wafer Quality Predictive Modeling based on Multi-Source Information with Heterogeneous Relatedness
JP2014513415A (ja) 2011-03-28 2014-05-29 東京エレクトロン株式会社 適合性レシピ選択
WO2017117568A1 (en) 2015-12-31 2017-07-06 Kla-Tencor Corporation Accelerated training of a machine learning based model for semiconductor applications
JP2018537853A (ja) 2015-11-09 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハのポイントバイポイント分析及びデータの提示

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6408219B2 (en) * 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
JP4008899B2 (ja) 2003-09-08 2007-11-14 株式会社東芝 半導体装置の製造システムおよび半導体装置の製造方法
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080076046A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US8352062B2 (en) 2009-03-11 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for gate profile control
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US8452439B2 (en) 2011-03-15 2013-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Device performance parmeter tuning method and system
KR20140011064A (ko) 2012-07-17 2014-01-28 서울과학기술대학교 산학협력단 이상치 탐지 기법을 이용한 가상 계측 결과의 신뢰도 추정 방법
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US20140303912A1 (en) 2013-04-07 2014-10-09 Kla-Tencor Corporation System and method for the automatic determination of critical parametric electrical test parameters for inline yield monitoring
LT2821780T (lt) * 2013-07-02 2018-08-10 Ancosys Gmbh Elektrocheminio nusodinimo ir elektrocheminio ėsdinimo analizė vietoje atspaudavimo būdu
CN104900549A (zh) * 2014-03-04 2015-09-09 上海华虹宏力半导体制造有限公司 一种监控晶圆加工机台生产效率的方法
CN107004060B (zh) * 2014-11-25 2022-02-18 Pdf决策公司 用于半导体制造工艺的经改进工艺控制技术
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
KR101801023B1 (ko) 2016-09-02 2017-12-20 주식회사 프라임솔루션 반도체 공정의 가상계측을 이용한 사전공정제어 방법
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10254641B2 (en) * 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US10618137B2 (en) 2017-03-24 2020-04-14 National Cheng Kung University Automated constructing method of cloud manufacturing service and cloud manufacturing system
US10546085B2 (en) 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007528985A (ja) 2003-06-27 2007-10-18 ティンバー テクノロジーズ,インコーポレイティド 機械学習システムを用いた半導体ウェハ上に形成された構造の光学測定
JP2008511986A (ja) 2004-08-27 2008-04-17 東京エレクトロン株式会社 仮想モジュールを用いた半導体処理方法
JP2014513415A (ja) 2011-03-28 2014-05-29 東京エレクトロン株式会社 適合性レシピ選択
US20140031968A1 (en) 2012-07-25 2014-01-30 International Business Machines Corporation Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing
US20140107828A1 (en) 2012-10-15 2014-04-17 International Business Machines Corporation Method and System for Wafer Quality Predictive Modeling based on Multi-Source Information with Heterogeneous Relatedness
JP2018537853A (ja) 2015-11-09 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハのポイントバイポイント分析及びデータの提示
WO2017117568A1 (en) 2015-12-31 2017-07-06 Kla-Tencor Corporation Accelerated training of a machine learning based model for semiconductor applications

Also Published As

Publication number Publication date
JP7375246B2 (ja) 2023-11-07
TW202038030A (zh) 2020-10-16
US10705514B2 (en) 2020-07-07
JP7275370B2 (ja) 2023-05-17
EP4276891A2 (en) 2023-11-15
EP3864470A1 (en) 2021-08-18
CN116415691B (zh) 2024-04-02
WO2020076719A1 (en) 2020-04-16
CN116415691A (zh) 2023-07-11
CN112805635B (zh) 2023-04-04
JP2022504561A (ja) 2022-01-13
JP2023181311A (ja) 2023-12-21
US10955832B2 (en) 2021-03-23
US20200110390A1 (en) 2020-04-09
KR20230141930A (ko) 2023-10-10
JP7177923B2 (ja) 2022-11-24
KR20210055105A (ko) 2021-05-14
EP3864470B1 (en) 2023-10-04
EP3864470A4 (en) 2022-07-13
US20200333774A1 (en) 2020-10-22
JP2023103309A (ja) 2023-07-26
JP2023015270A (ja) 2023-01-31
KR102583830B1 (ko) 2023-10-04
CN112805635A (zh) 2021-05-14

Similar Documents

Publication Publication Date Title
JP7275370B2 (ja) 先進の半導体プロセス最適化および生産中の適応制御
US10930531B2 (en) Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10929586B2 (en) Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
JP7137943B2 (ja) 探索装置、探索方法及びプラズマ処理装置
KR20060116192A (ko) 반도체 제조 프로세스를 제어하기 위한 제 1 원리들의시뮬레이션을 사용하기 위한 시스템 및 방법
US11586794B2 (en) Semiconductor processing tools with improved performance by use of hybrid learning models
KR102401565B1 (ko) 웨이퍼 포인트별 분석 및 데이터 프리젠테이션
TW202227838A (zh) 半導體試樣的電屬性的預測
TWI839396B (zh) 高階半導體製程優化及製造期間適應性控制
JP2020025116A (ja) 探索装置および探索方法
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭
TW202409764A (zh) 用於基板處理設備的多維感測器資料的整體分析
CN117321522A (zh) 使用特征模型的工艺配方创建和匹配
CN118020083A (zh) 使用缺陷模型估计缺陷风险并优化处理配方
CN117056682A (zh) 用于基板处理设备的多维传感器数据的整体分析

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221013

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221111

R150 Certificate of patent or registration of utility model

Ref document number: 7177923

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150