CN116415691B - 用于构建器件的维度的空间分布的预测模型的方法和系统 - Google Patents

用于构建器件的维度的空间分布的预测模型的方法和系统 Download PDF

Info

Publication number
CN116415691B
CN116415691B CN202310347326.0A CN202310347326A CN116415691B CN 116415691 B CN116415691 B CN 116415691B CN 202310347326 A CN202310347326 A CN 202310347326A CN 116415691 B CN116415691 B CN 116415691B
Authority
CN
China
Prior art keywords
dimensions
interest
wafer
chamber
metrology data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202310347326.0A
Other languages
English (en)
Other versions
CN116415691A (zh
Inventor
S·巴纳
D·坎特维尔
W·比沙拉
L·英格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/155,779 external-priority patent/US10930531B2/en
Priority claimed from US16/155,773 external-priority patent/US10657214B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310347326.0A priority Critical patent/CN116415691B/zh
Publication of CN116415691A publication Critical patent/CN116415691A/zh
Application granted granted Critical
Publication of CN116415691B publication Critical patent/CN116415691B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32193Ann, neural base quality management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33034Online learning, training
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37224Inspect wafer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/42Servomotor, servo controller kind till VSS
    • G05B2219/42155Model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

构建了一种空间模型以预测处理腔室的性能。所述空间模型用于在工艺开发阶段期间更快地收敛至期望的工艺。一种用于在制造期间控制器件性能变化性的系统包括工艺平台、板上计量(OBM)工具、以及基于机器学习的工艺控制模型。所述系统接收SEM计量数据,并使用机器学习技术来定期地(例如晶片到晶片、批次到批次、腔室到腔室等等)更新工艺控制模型。对工艺控制模型的定期更新可考虑到腔室到腔室变化性。

Description

用于构建器件的维度的空间分布的预测模型的方法和系统
技术领域
本申请是申请日为2019年10月7日、申请号为201980066062.3、名称为“先进半导体工艺优化和制造期间的自适应控制”的中国专利申请(PCT申请号为PCT/US2019/055031)的分案申请。
本公开总体上涉及构建空间模型以预测半导体处理设备的性能,以及使用所述空间模型以在开发阶段期间更快地收敛至期望的工艺,并在大量制造(high-volumemanufacturing;HVM)期间获得严密的工艺控制。
背景技术
随着器件尺寸缩小,半导体晶片处理的复杂度已经增加。典型的工艺有多个不同步骤,其中一些先进工艺(诸如等离子体蚀刻)可具有二十个或甚至更多步骤。每个步骤具有与优化性能相关联的多个旋钮。因此,可用于调谐和优化给定工艺的空间理论上非常大。
工艺工程师使用他们的经验与专业知识来选择初步基准(baseline)工艺,并基于专用于实验设计(DoE)的有限数量的晶片(或被称为试件(coupon)的晶片的部分)来微调所述工艺。DoE的目的是量身订制所述工艺以在一晶片上实现期望的规格。然而,将完整晶片或晶片的部分专用于DoE数据收集耗费宝贵的资源。因此,往往所采用的工艺可能是可行的工艺,但不一定是最佳解决方案。
由不充足的产线内(in-line)精确度计量数据引入了另一个瓶颈。为了精确度计量,通常使用诸如透射电子显微镜(TEM)之类的破坏性技术。然而,由于TEM非常耗时,TEM通常不会产生足够的统计数据和跨晶片的足够覆盖范围。而且,TEM因为是破坏性技术而无法被集成到生产线中。
发明内容
为了提供对本公开的一些方面的基本理解,以下是本公开的简化概述。本概述并非本公开的广泛概观。本概述不旨在标识本公开的重要或关键元件,也不旨在描绘本公开的特定实施方式的任何范围或权利要求的任何范围。本概述的唯一目的是以简化形式呈现本公开的一些概念,作为稍后呈现的更详细说明的序言。
本公开的各方面描述用于构建空间模型以预测半导体处理设备的性能以及在工艺开发阶段期间使用空间模型以更快速地收敛至期望的工艺的方法和系统。特定地,本公开描述一种计算机实现的方法,所述方法通过处理有限数量的晶片来生成来自处理设备的传感器的虚拟计量(VM)数据和来自晶片上的器件的板上计量(OBM)数据,以基于已知初始工艺执行实体实验设计(DoE);从对器件的特征执行三维轮廓剖析(profiling)的精确度扫描电子显微镜(SEM)获得产线内计量数据;获得用于给定工艺和给定处理设备的经验工艺模型;通过使用产线内计量数据作为参考来校准经验工艺模型;组合VM计量数据、OBM计量数据和产线内计量数据以生成自定义计量数据;以及,通过由机器学习引擎将经验工艺模型精细化来构建预测模型,所述机器学习引擎接收自定义计量数据并输出针对跨晶片的一个或多个感兴趣的维度的晶片的一个或多个空间图,其中预测模型用于在无需实体地处理任何其他晶片的情况下执行空间数字DoE,并且其中空间数字DoE包含针对给定处理设备和针对跨晶片的一个或多个感兴趣的维度进行的对给定工艺的多约束优化。
本公开的进一步方面描述一种用于在晶片上的器件的制造期间控制晶片到晶片器件性能变化性的系统。所述系统包含:工艺平台,所述工艺平台包含一个或多个处理腔室、板上计量(OBM)工具以及存储基于机器学习的工艺控制模型的第一服务器。第一服务器用于接收在使用当前的记录的工艺(process of record;POR)在一个或多个处理腔室中处理当前组的晶片期间从传感器收集的虚拟计量(VM)数据,并且第一服务器还用于接收由OBM工具通过测量在晶片上正在制造的器件中的一个或多个感兴趣的维度来产生的OBM数据,其中一个或多个感兴趣的维度与器件性能相关。而且,第一服务器用于组合VM数据和OBM数据并且基于所组合的数据,使用工艺控制模型来预测一个或多个感兴趣的维度的空间分布。所述系统进一步包含产线内计量工具,所述产线内计量工具用于在通过对当前组的晶片进行采样来选择的晶片子集上测量一个或多个感兴趣的维度以产生对应于当前POR的参考产线内计量数据。另外,所述系统包含具有机器学习引擎的第二服务器,第二服务器用于从第一服务器接收基于VM和OBM的一个或多个感兴趣的维度的所预测的空间分布,并且第二服务器还用于从产线内计量工具接收参考产线内计量数据,其中机器学习引擎通过以下操作来定期地更新工艺控制模型:将一个或多个感兴趣的维度的所预测的空间分布与对应于当前POR的参考产线内计量数据进行比较;以及,针对下一组晶片推荐新配方以修改当前POR,所述新配方适配于当前组的晶片与下一组晶片之间的处理条件中的改变,以最小化晶片到晶片器件性能变化性。
本公开的又进一步方面描述一种用于在晶片上的器件的制造期间控制晶片到晶片器件性能变化性的计算机实现的方法。所述方法包含:在第一服务器处,获得用于当前晶片组的当前记录的工艺(POR),所述POR由基于机器学习的工艺控制模型推荐,基于机器学习的工艺控制模型预测在晶片上制造的器件的感兴趣的维度的空间分布,感兴趣的维度与器件的性能相关;使用对应于当前POR的旋钮设置来处理当前组的晶片;在当前组的晶片的处理期间从传感器接收虚拟计量(VM)数据;接收通过测量在晶片上正在制造的器件中的一个或多个感兴趣的维度来产生的板上计量(OBM)数据,其中一个或多个感兴趣的维度与器件性能相关;组合VM数据和OBM数据;基于所组合的数据,使用基于机器学习的工艺控制模型来预测一个或多个感兴趣的维度的空间分布;对当前组的晶片进行采样以选择要发送至产线内计量工具的晶片子集;使用产线内计量工具来测量晶片子集上的一个或多个感兴趣的维度,以产生对应于当前POR的参考计量数据;在具有机器学习引擎的第二服务器处,接收由第一服务器基于VM和OBM来预测的一个或多个感兴趣的维度的空间分布;在第二服务器处,接收产线内参考计量数据;以及,使用第二服务器中的机器学习引擎,通过以下操作来定期地更新工艺控制模型:将一个或多个感兴趣的维度的所预测的空间分布与对应于当前POR的参考产线内计量数据进行比较;以及,针对下一组晶片推荐新配方以修改当前POR,所述新配方适配于当前组的晶片与下一组晶片之间的处理条件中的改变,以最小化晶片到晶片器件性能变化性。
本公开的又进一步方面描述一种用于在晶片上的器件的制造期间控制腔室到腔室变化性的方法和相应系统。特定地,描述了一种计算机实现的方法,其中针对多腔室处理平台中的每个当前腔室,获得晶片的空间模型。空间模型是由第一机器学习引擎基于对于器件中的一个或多个感兴趣的维度的第一组计量数据来创建的。空间模型可以是全局性的、覆盖工艺的物理行为,或者空间模型可以是腔室特定的、考虑到腔室变化性。获得当前腔室的一个或多个参数。使用空间模型和当前腔室的一个或多个参数来预测跨晶片的器件中的一个或多个感兴趣的维度的空间测量结果。从参考腔室(例如,用于校准不同腔室的黄金腔室)获得跨晶片的器件中的一个或多个感兴趣的维度的参考空间测量结果。在一些实施例中,可使用黄金腔室的模型用于校准。将所预测的空间测量结果与参考空间测量结果进行比较以产生指示在当前腔室与参考腔室之间的性能变化的度量。
基于由第二机器学习引擎执行的对所述度量的多约束优化来推荐针对当前腔室的一个或多个参数中的至少一些参数的调整,使得当前腔室的性能基本上匹配参考腔室的性能。
在又另一方面中,一种用于在晶片上的器件的制造期间控制腔室到腔室变化性的计算机实现的方法,其中所述方法包含:获得参考腔室的空间模型,所述空间模型表示将在多腔室平台的多个腔室内运行的工艺的物理学特性;从多腔室平台的不同腔室定期地采样一个或多个晶片;基于由机器学习引擎执行的多约束优化来校准参考腔室的空间模型,所述机器学习引擎接收由精确度计量工具从所采样的一个或多个晶片获得的实际计量数据和虚拟计量数据;以及,基于所校准的参考腔室空间模型来推荐对当前腔室的一个或多个参数的调整,使得当前腔室的性能基本上匹配参考腔室的性能,从而自适应地控制在多腔室平台内的工艺的腔室到腔室变化性。
附图说明
从以下给出的详细描述和对本公开的各种实施方式的附图将更全面地理解本公开。
图1A是根据本公开的一些实施例的用于创建空间数字实验设计(DoE)的示例方法的流程图;
图1B是根据本公开的实施例的基于机器学习的空间模型生成器的框图;
图2和图3示出根据本公开的实施例的模型的两个基本功能:预测空间计量和推荐配方;
图4示出根据本公开的实施例的用于多步骤工艺的示例DoE空间,每个步骤具有一个或多个旋钮;
图5A示出根据本公开的实施例的感兴趣的维度的目标轮廓与所述空间模型的预测的比较;
图5B示出根据本公开的实施例的、由空间数字DoE预测的在不同工艺条件下的感兴趣的维度的绘图;
图6A示出根据本公开的实施例的当第一旋钮被改变时的工艺空间的覆盖范围;
图6B示出根据本公开的实施例的当第二旋钮被改变时的工艺空间的覆盖范围;
图6C示出根据本公开的实施例的当第三旋钮被改变时的工艺空间的覆盖范围;
图6D示出根据本公开的实施例,当第一旋钮和第二非正交旋钮同时被改变时工艺空间的覆盖范围改善;
图6E示出根据本公开的实施例,当三个非正交旋钮同时被改变时工艺空间的覆盖范围进一步改善;
图7A示出根据本公开的实施例的分别在工艺优化和工艺控制期间的DoE;
图7B示出根据本公开的实施例的各种测试和计量技术与器件性能之间的相关性;
图7C示出根据本公开的实施例充电如何能针对器件性能与产线内计量两者来校准经验空间模型,所述经验空间模型是工艺优化和控制的基础;
图7D示出根据本公开的实施例的在基于机器学习的模型的自适应版本中使用的不同输入;
图7E示出根据本公开的实施例的使用基于机器学习的经验模型的新的技术开发周期;
图8示出根据本公开的实施例的用于晶片到晶片工艺控制的模型的应用;
图9示出根据本公开的实施例的用于定期更新(包括但不限于批次到批次更新)的模型的自适应扩展;
图10示出根据本公开的一些实施例的示例工艺控制方法的流程图,所述示例工艺控制方法使用自适应模型来在大量制造期间维持更严密的工艺控制,包括腔室到腔室变化性控制(长期和短期);
图11示出根据本公开的实施例的使用引导计量的变化性控制的关键组件;
图12示出根据本公开的实施例的用于变化性控制的技术架构框图;以及
图13示出简化环境,在所述环境内可实施本公开的方法和系统。
具体实施方式
概观
本公开的各方面涉及构建空间模型以用于使用空间数字DoE来预测半导体处理设备(也称为“腔室”)的性能。空间模型也能够针对工艺空间内的任何条件集合数字地生成虚拟配方。术语“虚拟配方”意指使用晶片的空间数字模型(即,虚拟晶片)而非实体晶片或试件来生成的工艺配方。术语“虚拟晶片”不只涵盖晶片,还涵盖其他对象,诸如用于执行基于掩模的计量的掩模。空间模型使用机器学习来利用计量数据与各种旋钮之间的协同。术语“旋钮”用于指示可被控制以控制工艺的变量。旋钮有时也被称为工艺控制变量,或简称为工艺变量。所公开的方法加速了针对新的半导体处理设备和新技术(例如,5nm或更低)的工艺资格鉴定(qualification),覆盖了无法凭经验探索的显著更大的工艺空间,并识别工艺窗口及其与设备硬件、最终器件性能、和其他工艺参数的相关性。在研究与开发阶段期间,所公开的系统和方法仅使用有限数量的测试晶片来提供到目标工艺配方的更快速的收敛。在通往大量制造(HVM)的生产逐步增量(ramp)期间,所公开的系统和方法使得能够不仅在晶片之间、而且在单个批次中的晶片之间(晶片到晶片控制)、或在不同批次的晶片之间(批次到批次控制)对工艺窗口有更严密的控制。工艺控制频率与模型适配的频率可根据这是晶片到晶片(较高频率)、批次到批次(中等频率)或PM到PM(即,每次定期维护(PM)一次)而变化。
半导体处理设备的示例可包括但不限于先进的等离子体蚀刻器。作为说明,典型的等离子体蚀刻工艺可具有多于二十个步骤,并且可存在可用于通过控制可变化的各种工艺参数(也被称为工艺变量)来控制每个工艺步骤的二十个或更多个旋钮。可由相关联的旋钮控制的用于蚀刻步骤的工艺变量的示例可包括等离子体射频(RF)功率、压力、温度、用于生成等离子体的气体混合物中的气体的组成和比例等。旋钮的设置影响等离子体中自由基或离子的密度和限定工艺的其他因素。本领域技术人员将容易理解,可用于调谐和优化给定工艺的工艺空间非常大,并且实际上不可能在任何合理的工艺资格鉴定时间帧内凭经验地探索整个工艺空间,更不用说能够执行作为抽象概念的多维工艺空间优化。
此外,由于旋钮之间的交互及其对工艺性能的影响,非常难以通过一次手动扫描一个旋钮来预测同时旋钮控制的组合效果。对于在单个工艺中较大数量的步骤、或者当涉及多个工艺(每个工艺具有其相关联的步骤)时,此工作变得更加复杂。
工艺工程师认识到巨大的操作窗口无法用手动优化来覆盖。然而,为了实现可接受的性能水平,工艺工程师依赖于先前的经验来基于现有知识选择初始工艺,并且调整工艺空间内的数个旋钮(即,少于一整组可用旋钮)以尝试控制一个或多个感兴趣的特征层级维度的变化。基于知识的初始工艺可以是基准工艺,但不必是基准工艺。
本公开优点之一是通过启用基于机器学习的智能工艺优化来显著地补充和扩展工艺工程师的能力,从而允许对跨整个工艺空间的一大组旋钮的数字扫描。最初,使用来自不同来源(例如工具上计量、以及工具外计量)的计量数据来构建模型。此模型用于研究和开发阶段期间的工艺优化。稍后,模型在大量制造期间用于维持和控制严密的工艺窗口。
工具上计量可包括在管芯内的器件本身上执行的测量或者在具有与器件类似的特征的测试结构上执行的测量。根据所使用的测量技术,测试结构可包括但不限于类似于在晶片上的逻辑器件或存储器器件的结构。在器件或测试结构上执行的工具上计量统称为“板上计量”(OBM)。OBM可基于光学测量(例如,从器件或测试结构原位(in-situ)收集光学发射光谱,或使用光学目标的宏2D映射)或其他类型的测量。这些光学或其他测量可在腔室内部(原位)或腔室外部(非原位)进行,但仍在真空下,或者,在可具有多个腔室的工艺平台上的工厂界面处(不一定在真空下)进行。一个此类示例是集成计量,诸如光学临界尺寸(OCD)。术语“平台”广泛地涵盖包括多个工艺和/或计量工具的系统,这些工艺和/或计量工具全部相同或者这些工具中的一些可与其他的不同。例如,一个平台可具有执行相同工艺的多个工艺腔室,或者可具有在其中执行一个工艺(例如蚀刻)的一些腔室以及在其中执行不同工艺(例如沉积)的其他腔室。在一些其他实施例中,平台可包括不同类型的工艺腔室(例如,导体或介电蚀刻)。平台也可包括计量工具。本领域技术人员将理解,本公开的范围不受限于腔室和/或平台的配置。
另一类型的工具上计量被称为“虚拟计量”(VM),这包括从在腔室中或腔室外部、但在相同平台内的各种传感器收集的数据。VM可包括对各种工艺变量(诸如压力、温度、RF功率、电流、电压、流量控制位置等等)的时间迹线。
工具外计量可包括产线内计量(例如电子束检验和计量)。“产线内计量”广泛地涵盖可在处理腔室外部执行,但不必将晶片带离生产线的测量。产线内计量的示例是扫描电子显微镜(SEM),扫描电子显微镜(SEM)的先进版本可提供高精确度和广泛的形式。先进的SEM除了二次发射检测器之外还可包括背散射电子(BSE)传感器,以及包括测量各种倾斜角和各种着陆能量(范围从数百电子伏特到数万电子伏特)的电子发射的能力。SEM具有以非破坏性方式创建广大的计量数据数据库的能力。自定义有电子束模拟、数据收集、影像表征和特征提取以及统计分析的基于SEM的产线内计量可称为“自定义计量”。先进的SEM工具可用作高精准度、非破坏性三维特征层级轮廓剖析(这是自定义计量的核心)的基础。
工具外计量可也包括可从先前在类似的一组器件上执行的任何额外的非产线内计量或产线外(off-line)计量(诸如TEM)取得的数据。这些工具上计量和工具外计量在专用于DoE的有限数量的晶片上执行。模型使用机器学习算法来组合全部数据并提取感兴趣的维度的计量与控制工艺的各种旋钮之间的有意义的关系。如下面将进一步讨论的,机器学习还可用于将电测试数据(诸如用于参数良率估计的数据)与各种旋钮相关联。
一旦测试并验证了基于机器学习的空间模型,模型就被用于开发用于实际生产晶片的优化工艺。在此阶段,可能不需要专用实体DoE晶片。相反地,模型被用于执行空间数字DoE,从而涵盖跨整个工艺空间变化的整组旋钮。
构建和建立空间模型
本公开的核心是使用机器学习技术来构建经验空间模型,以预测跨完整晶片或晶片的一部分的一个或多个感兴趣的维度的变化性。术语“空间”是指跨晶片(例如在晶片的中心处、在晶片的边缘处等)的多个站点(site)。典型的站点数量可从数十个到数千个变化。感兴趣的维度可以是晶片上的实体特征的几何维度,例如线宽、结构的高度、侧壁角度、顶部临界尺寸(TCD)、底部临界尺寸(BCD)或任何其他特征层级三维轮廓信息。然而,本公开的范围不仅限于几何维度的空间分布。空间工艺测量的非限制性示例包括跨晶片的某些物质的掺杂浓度分布、跨晶片的孔隙度等等。计量也可以是用于测量空间工艺变化的强大工具。
图1A是根据本公开一些实施例的用于实现空间模型的创建和使用的示例方法10的流程图。方法10可由处理逻辑执行,处理逻辑可包括硬件(例如,处理装置、电路系统、专用逻辑、可编程逻辑、微代码、器件的硬件、集成电路等等)、软件(例如在处理装置上运行或执行的指令)、或其组合。尽管以特定顺序或次序示出,但除非另有说明,否则方法10或下面使用所示流程图描述的其他方法中的过程的顺序可被修改。因此,所示实施例应当仅被理解为示例,并且所示过程可以以不同次序执行,并且一些过程可并行执行。另外,在各种实施例中可省略一个或多个过程。因此,不是在每个实施例中都需要全部的过程。其他过程流程是可能的。
返回参考图1A,在框20处,对工艺进行轮廓剖析。工艺轮廓剖析涉及理解配方结构以实现在晶片上制造的器件的某些维度和轮廓。有限数量的DoE晶片或试件(第一组DoE晶片)经受围绕给定基准配方的实际DoE工艺。实际DoE晶片或试件的数量可根据用于配方旋钮数量而变化。
可基于工艺工程师的经验来完成初步DoE筛选。为了说明初步DoE筛选,考虑具有多于10个步骤且每个步骤有多于20个旋钮的示例等离子体蚀刻工艺。此过程可生成数亿个配方组合。可使用初步DoE筛选和工艺知识来减少组合的数量。通过做初步DoE筛选,跨配方空间识别出少于一整组旋钮。简言之,在框20处,在查看来自实际晶片或试件的数据时识别出关键旋钮,即,对感兴趣的维度具有最大影响的旋钮。
在被称为实体DoE设计的框40处,所使用的实际晶片数量可被优化。根据实施例中的一个实施例,可使用确定性筛选或其他统计技术(例如表面响应或其他)来识别不同旋钮之间的一阶相依性(dependency)和交互和/或识别哪些旋钮是正交的。简言之,在框40处,DoE被设计成覆盖框20中识别出的关键旋钮交互,并且探索围绕基准配方的工艺窗口。例如,对于15个识别出的关键旋钮而言,DoE被设计为具有50个左右的实际晶片。然而,即使在减少通过确定性筛选或其他技术实现的工艺步骤和旋钮之后,仍留存有要覆盖的巨大的工艺空间,此是本公开的空间数字DoE模型旨在解决的问题。
在框60处,构建工艺模型。基于下列构建模块来构建模型:1)机器学习引擎,所述机器学习引擎处理来自实际DoE晶片的有限集合的工具上和工具外自定义计量数据70;2)基于对工艺设备(例如腔室)设计和设备内的工艺的物理学特性和化学特性(例如反应器内等离子体的属性和行为)的基本理解的输入;3)基于设备硬件规格和允许的操作范围(包括工艺配方创建规则)的输入;以及4)量化模型预测中的置信水平的惩罚函数。
没有来自工艺设备、工艺本身、和惩罚函数的输入约束的情况下,机器学习引擎能生成大量的数学上可行的解决方案,这些解决方案可能不与特定半导体处理设备兼容。因此,对于工艺模型提供经量身订制的解决方案、而非仅仅压缩(crunching)从计量可得的大量数据而言,对工艺的物理学特性和化学特性、设备设计以及处理经验的深度知识是关键的。
在工艺模型的训练阶段期间,使用围绕基准配方的有限数量的配方来处理实体DoE晶片(例如20-100个晶片)的子集。在一个示例中,选择了至少三个配方。所选择的配方中的一个配方可以与所识别的基准配方完全相同。随后使用如以上讨论的不同工具上和工具外计量数据来表征经处理的晶片。工艺模型的目标包括:揭露旋钮的工艺敏感度,找出对旋钮相关性的计量,以及最终生成空间模型。空间模型可以是每个站点的,即,晶片上每个位置的,或者是聚合晶片上所有位置的结果的最终空间模型。图1A的步骤60可由图1B中的系统100实施。
接下来在框80处,使用空间模型以在工艺边界内创建空间数字DoE空间。如下讨论的,模型可在不使用实体晶片的情况下生成虚拟配方。模型执行多约束优化以产生符合期望的维度规格的配方空间。
图1B示出输出最终空间模型112的系统100。给系统100的输入包括来自使用围绕基准配方的配方的实体DoE晶片的表征数据。表征数据包括工具上计量数据101和工具外数据102,工具上计量数据101包括VM原始数据103a和OBM原始数据103b,工具外数据102包括产线内计量数据104a和非产线内计量数据104b。
表征数据接着被馈送至机器学习引擎108。数据由在机器学习引擎108之前的附加的数据过滤和特征提取模块106过滤。模块106是从数据集中提取有意义的特征并得出推论以优化机器学习引擎性能的关键模块。模块106的输出是来自当前使用的DoE晶片的多输入计量数据107a(从工具上和工具外计量数据得出)。模块106的输出也可具有从先前可信赖的测量结果中获得的一些参考测量结果107b。这些参考测量结果(有时被称为“黄金轮廓”)可不在空间模型构建和工艺优化阶段期间使用,但往往在后续运行时工艺控制期间使用。
机器学习引擎108使用的机器学习方法可以基于神经网络、深度学习或用于回归分析的任何其他已知技术(例如,用于回归的线性、偏最小二乘、高斯、多项式、卷积神经网络、回归树等)。除了计量数据之外,机器学习引擎108也接收关于各种配方和旋钮的信息111,以及关于工艺和设备的信息113。机器学习引擎108接着针对晶片上的每个测量结果生成中间空间模型109。每个测量结果可具有关于一个或多个感兴趣的维度的数据。模型的性能由评估模块110来评估。使用惩罚函数或成本函数105(诸如均方根误差(rMSE)或任何其他适当的度量)来优化模型的性能。成本函数有时被称为“目标函数”,成本函数被设计成允许对一个或多个感兴趣的维度进行优化。成本函数可以是针对晶片上的每个位置,或者仅一个成本函数针对整个晶片。成本函数也可以是针对每个DoE条件。优化例程(包括但不限于群优化或群变体)被设计成最小化非凸多极小值超表面。可将误差惩罚或正则项添加到成本函数以在高维度非凸多极小值超表面中找到更高概率的解决方案。一旦获得了成本函数的期望的值,可使用来自另一组实体DoE晶片的计量数据来进一步验证空间模型。测试和验证晶片的数量可在十几或二十几的范围中,但可以变化。根据如何选择成本函数,测试和验证工艺可在空间上针对进行过计量的跨晶片的每个数据点重复。替代地,空间模型可被优化以实现跨晶片的平均尺寸均匀性。最终空间模型112可组合来自晶片上进行过计量的全部数据点的结果。
一旦建立了空间模型,就可以针对跨晶片的感兴趣的维度的平均值以及跨晶片的所述值的范围中的一者或两者来确定旋钮的工艺敏感度。此类敏感度结果为正在开发新工艺的工艺工程师提供了深刻的见识,帮助他们理解不同旋钮之间的交互如何影响性能。此外,此类敏感度结果允许将每个旋钮自身的贡献以及旋钮的各种组合的总和效果隔离。基准工艺的多次(典型地为三次或更多次)重复被包括在DoE中以捕捉工艺变化性和计量变化性。
有了从计量数据获得的控制旋钮的敏感度的知识,对于使用实际晶片就仅有有限需要。此阶段被称为“数字”DoE阶段,其中工艺优化主要发生在虚拟域中。注意,数字DoE的结果是晶片的空间模型,因此数字DoE也被称为空间数字DoE。可执行极大数量的空间数字DoE(例如几万次或更多次)以得到统计上可行的分布。一旦通过空间数字DoE建立了工艺空间,就可以识别出稳定的处理条件而不需要运行真实晶片。工艺模型也能在“反向空间”模式中工作,即,工艺模型采用跨晶片的可信赖的参考维度作为输入,并且几乎立即建议将在期望的工艺窗口内给出可预测的性能的虚拟配方。并行地或串行地以空间模式和反向空间模式进行优化是可能的。而且,即使所述模型被用于执行空间数字DoE,也可实际处理有限数量的实体DoE晶片以测试由模型预测的结果的功效。
图2和图3示出述基于机器学习的模型的两个基本能力。当计量数据202用于生成(多个)最终空间模型112时,基于机器学习的模型可基于各种工艺配方和控制旋钮信息211来预测感兴趣的空间维度215。另一方面,当参考空间测量结果(有时被称为“黄金轮廓”)302被用作输入时,当工艺/设备信息313被馈送至反向空间模型312时,反向空间模型312可针对给定工艺和给定设备(选自工艺和设备的数据库)推荐配方315。可在模型训练和校准阶段期间还有运行时晶片到晶片变化性控制阶段期间使用这两个能力中的一者或两者。空间测量结果预测在校准过程期间更有用,而配方预测在晶片到晶片控制阶段期间更有用(例如,维护和/或优化用于HVM阶段的记录的工艺(POR)),如以下在说明书中进一步讨论的。
空间模型允许工艺工程师在工艺性能上限定多个约束(即,工艺规格),诸如在跨晶片的每个维度的期望的空间轮廓、期望的均匀度范围、跨晶片的期望的平均值等等。这被称为多输入多输出(MIMO)工艺优化。
图4示出根据本公开的实施例的基于典型的工艺配方的示例性DoE范围。DoE在一系列不同工艺步骤期间改变多个工艺变量。在围绕基准配方的带区(band)内调谐变量。例如,变量1在围绕基准值A的上限值A+与下限值A-之间变化。
图5A示出跨晶片的某个感兴趣的维度的绘图。实心圆表示感兴趣的维度的目标轮廓,而空心圆表示由本公开的空间模型预测的轮廓。
图5B示出如由空间数字DoE预测的在工艺空间内在不同工艺条件处的感兴趣的维度D1的绘图。绘图上的每个点表示工艺条件。绘图的X轴是跨晶片的感兴趣的维度D1的平均值,而绘图的Y轴是标准偏差。图5B上的每个点指示旋钮设置的组合。位于中央的密度指示许多旋钮设置组合落在相同工艺空间中并且映射至某个旋钮空间,所述旋钮空间可以是连续的。此更严密的工艺控制窗口特别有助于HVM期间的晶片到晶片控制,如以下进一步详述的。
图6A示出当单个旋钮(第一旋钮)被改变(即,单个工艺变量被改变)时工艺空间的覆盖范围。图6B示出当不同旋钮(第二旋钮)被改变(即,不同工艺变量被改变)时工艺空间的覆盖范围。图6C示出当又另一旋钮被改变时,即,不同于第一旋钮和第二旋钮的第三旋钮被改变时的工艺空间的覆盖范围。这是在当两个旋钮同时被改变时、尤其是当所述两个旋钮是非正交的时(大部分时间是这种情况)无法预测两个旋钮对彼此的影响的情况下进行工艺空间探索的常规作法。
图6D示出当两个非正交工艺变量(例如上文讨论的第一旋钮和第二旋钮)被同时改变时由本公开的空间数字DoE模型预测的工艺空间覆盖范围。图6E示出当三个非正交工艺变量(例如上文讨论的第一旋钮、第二旋钮和第三旋钮)被同时改变时由本公开的空间数字DoE模型预测的工艺空间覆盖范围。如果工艺变化被限制在所覆盖的工艺空间的较暗的中间部分内,则可以实现更严密的维度控制,其中较亮到较暗的阴影表示较低到较高的密度,如在图5B、图6D和图6E中的所附密度条所示。
图7A示出在工艺开发阶段期间的DoE的两个阶段。DoE的第一阶段(DoE阶段1,也被称为工艺优化DoE)的目标是优化工艺和建立机器学习控制框架。DoE工艺的示例可包括但不限于用于器件结构中的锥形底部轮廓的蚀刻工艺,以匹配用于晶片验收测试(WAT)的记录的工艺(POR)。DoE的第一阶段也可帮助识别哪些工艺步骤对特定旋钮(例如RF功率、温度、气体等等)变化或其他工艺参数变化敏感。
DoE的第二阶段(DoE阶段2,也被称为工艺控制DoE)的目标是先进的基于机器学习的晶片到晶片变化性控制,如下所述。DoE阶段2旨在建立主导性配方控制参数与各种类型的计量(例如VM、OBM、基于SEM的产线内计量等等)之间的关系。最终目标是使用来自工艺优化DoE和工艺控制DoE的组合信息以用于使用机器学习技术的晶片到晶片和晶片内工艺控制。
用于更严密的工艺控制的自适应建模
以上讨论的空间模型生成跨晶片的空间轮廓。为了紧凑的器件规格(例如5nm技术及以上),特征层级3D空间轮廓剖析的准确度需求变得非常有挑战性。在大量制造期间需要更紧密地控制从一个晶片到另一个晶片工艺,以便符合尺寸准确度需求。在多个腔室分布在一个处理设备内或跨制造平台的多个处理设备内的情况中,腔室匹配对于最小化由于硬件变化而导致的晶片之间的工艺变化是重要的,所述硬件变化导致与器件性能变化相关的器件尺寸变化。
目前,工艺控制中的主要焦点是批次到批次控制而非批次内的晶片到晶片控制。本公开的发明人认识到由于腔室条件漂移,腔室壁改变以及可消耗部件随时间的退化而引起的时间(temporal)变化,即使是在单个批次内,也可能在晶片之间引入器件性能变化。在多腔室制造平台内,工艺控制生态系统需要基于大数据分析的工艺控制模型以用于先进的腔室匹配,以最小化晶片到晶片的器件性能变化性。
目前对腔室的监测是使用虚拟计量、板上计量、产线内计量、或需要特殊的电测试设定的器件参数和良率测试中的任一者来完成。每种技术具有其优缺点。例如,虚拟计量(VM)在时间上最接近器件处理周期时间,因为数据是从腔室内的传感器实时可得。板上计量(OBM)也在时间上十分接近处理周期。然而,VM和OBM数据具有有限的与器件性能的直接相关性。因此,如果仅将VM和OBM数据用作给器件性能预测模型的输入,则模型的准确度可能受到折损。
针对器件性能的最终测试是在工艺步骤(或若干工艺步骤,诸如光刻、沉积、蚀刻、清洁等等)结束时通过电测试获得的。电测试数据(诸如阈值电压、泄漏电流等等)与晶片上的特征层级尺寸高度相关。然而,此测试数据仅在完成一个工艺步骤(或若干工艺步骤)之后可用,这取决于电测试是在的器件处理的哪个阶段执行的,即电测试是产线前端(front-end-of-line,FEOL)测试、产线中端(middle-end-of-line,MEOL)测试、还是产线后端(back-end-of-line,BEOL)测试。本公开的DoE可被自定义成FEOL DoE、MEOL DoE或BEOLDoE。用于获得电测试数据的时间帧可以是从前到后的几周或几个月。
本公开认识到,与等待在工艺流程结束时的电测试结果相比,更接近真实工艺周期时间执行的精确度产线内计量可用于更新模型以使模型良好地与真实的器件性能相关。产线内计量(诸如电子束检验和计量)能在数据准确度(即,计量数据与器件性能的相关性如何)与对周期时间的接近度之间提供良好的折衷。例如,与仅从VM和OBM获得的相关性相比,提供特征层级3D轮廓信息的精确度SEM计量(有时被称为自定义计量)与器件性能之间具有更好的相关性。虽然对于任何当前工艺步骤都可取得OBM和VM数据,但是可在工艺步骤之间获得SEM计量数据。此外,可在工艺周期中的周期时间上更靠近地收集产线内计量数据(尽管对周期时间的接近度低于VM和OBM),而不必等待在每个工艺周期结束时获得的不频繁的电测试数据(器件参数和良率数据)。图7B总结了在测量类型与器件性能之间的上述变化的相关性。
尽管有以上优点,但是产线内计量目前在晶片批次之间进行,而非在单个批次内的晶片之间进行。本公开描述如何将由产线内计量工具获得的大规模3D轮廓剖析计量数据(自定义计量)用于适配本文描述的基于机器学习的工艺模型以用于更佳的晶片到晶片控制。
图7C示出如何将能够针对器件性能和产线内计量(例如SEM)数据两者来校准作为工艺优化和控制的基础的经验空间模型。一旦可获得来自电测试的器件参数和良率数据(框710),就针对器件性能对模型进行校准,并且通过使用参数和良率数据来将由SEM测量的3D轮廓维度与器件性能相关(框708)。针对器件性能的校准可设定成每次定期维护一次或任何其他适当的周期性。感兴趣的3D轮廓维度也与腔室控制旋钮相关(框706)。使用在每个晶片或每个批次的感兴趣的维度上获得的SEM成像和计量数据来对模型进行校准,进而针对器件性能对模型进行校准。因此,基于OBM和VM数据的工艺控制旋钮的相关性在框704中被进一步精细化以改善与器件性能的整体相关性。最终,模型有助于在相关步骤中调整腔室的控制旋钮以控制感兴趣的维度(框702)。
图7D示出用于创建多输入多输出自适应经验工艺控制模型760(也被称作“自适应模型”)的各种信息。“多输入”指的是有关感兴趣的各种维度的计量数据,而“多输出”指的是由由模型预测的各种空间轮廓。为了实施自适应特征,实际的DoE晶片由VM数据(示出成框750)、OBM数据(示出成框752)和产线内计量数据(示出成框754)来表征。诸如TEM数据、可从客户或其他来源取得的数据、来自电测试的器件参数和良率数据之类的其他数据(示出成框756)也可被馈送到模型。此外,腔室信息和工艺信息(一起示出成框758)用于创建自适应模型。工艺信息758的示例可以是可用于特定腔室的基于等离子体的工艺模型。腔室信息和工艺信息可以变化,并且可检取自包含关于各种腔室和各种工艺的信息的数据库。如上所述,由于产线内计量数据与器件性能具有更好的相关性,因此在校准自适应模型中,产线内计量数据可被给予比VM和/或OBM数据更高的优先度。如果可从类似器件取得器件参数数据(电测试数据),则也使用所述数据。自适应模型将DoE中识别出的关键旋钮与计量输出之间建立关联。自适应模型一旦被建立和校准,就能在至少某个时间段内用于使用VM和OBM作为模型的输入来进行器件性能变化性的晶片到晶片控制而无需收集产线内计量数据。
图7E示出使用基于机器学习的经验模型的新技术开发周期。所述周期可沿着时间尺度划分成三个阶段:工艺开发阶段、逐步增量阶段和大量制造(HVM)阶段。工艺开发阶段可被细分成三个阶段:初始工艺开发阶段(P1)、计量设定和工艺调谐阶段(P2)、以及敏感度DoE阶段(P3)。逐步增量阶段包含自适应控制阶段(P4),而HVM阶段包含监测和工艺控制阶段(P5)。
每个阶段与数个目标和功能相关联。例如,除其他外,P1与初始工艺配方筛选以及定义关键计量测量相关联。除其他外,P2与建立初步配方基准和开发计量模型(诸如OBM和SEM)和优化计量精确度与准确度相关联。除其他外,P3与识别关键工艺控制旋钮和基于经验模型来优化基准配方相关联。P4与开发用于工艺控制的经验模型的自适应版本以及基于针对器件性能数据的校准来精细化计量模型相关联。此阶段使用预测性计量。P5主要与偏移监测和闭环工艺控制(例如,晶片内、晶片到晶片、批次到批次、腔室到腔室的变化性控制)相关联。
图8示出用于批次内的晶片到晶片器件性能变化性控制的自适应模型的使用的框图。自适应模型使用从机器学习引擎818获得的最终空间模型838,使用基于实际和数字DoE的计量数据来训练机器学习引擎818。来自当前晶片(Wn-1)的VM(框828)和OBM(框830)原始数据被馈送至数据过滤和特征提取模块836。在每个批次,数个晶片被采样以去往产线内计量工具,并且基于从产线内计量收集的数据(框832),自适应地调整模型838。可定期地(例如每次定期维护周期或其他预设的时间段)基于可用的电测试结果来调整轮廓剖析需求条件。在框840处,针对配方生成空间测量结果,所述空间测量结果可以是用于当前晶片的记录的工艺。使用框842中的反向空间模型来将预测的空间测量结果840与一些空间黄金测量结果(框832)和/或统计参考(框834)进行比较。反向空间模型的输出是针对同一批次内的下一个晶片(Wn)推荐的经调整的配方(框846)。
图9示出如何在每个批次之后自适应地调整晶片到晶片控制模型。特定地,图9示出表示用于模型更新的自适应工艺的框图。在框902和框904中,分别从当前的晶片批次(Ln-1)的一个或多个样本收集VM原始数据和OBM原始数据。在框906中通过在当前批次中采样数个晶片来针对当前批次收集产线内计量数据。所有收集的数据经过数据过滤和特征提取模块910。空间模型912创建空间预测的测量结果914,空间预测的测量结果914被馈送至机器学习引擎918。机器学习引擎918将预测的测量结果与由产线内或其他工具外计量提供的参考测量结果916进行比较。工艺配方920以及工艺和设备信息922被提供给机器学习引擎。在框924中创建的更新的空间模型将用于控制下一批次(Ln)。类似于图8的框图中所示的工艺的工艺被用于推荐针对下一批次(Ln)的配方。
图8中所示的机器学习引擎818和图9中所示的机器学习引擎918可以是图1B的系统100中示出的相同处理器,或者可以是不同控制系统的一部分。类似地,数据过滤和特征提取模块810和910可以是系统100的一部分或是不同控制系统的一部分。
除了改善晶片到晶片(和/或批次到批次)器件性能变化性控制,将产线内计量数据集成至自适应模型允许工艺工程师理解潜在故障点。VM和OBM用于定性地提供感兴趣的维度的空间图,随后将空间图与黄金参考进行比较以识别出潜在故障点。所选的晶片随后被带至产线内计量工具(诸如用于自定义计量的电子束)并且所识别的潜在故障站点所在的感兴趣的区域被详细扫描,以识别故障的根本原因。
图10是根据本公开一些实施例的示例方法1000的流程图,方法1000用于实现在单个批次中和批次间的晶片之间的互补工艺控制。方法1000的目标是在HVM期间维护并严密地控制工艺。工艺控制可包括考虑到长期和短期中的腔室到腔室变化性。方法1000可由处理逻辑来执行,所述处理逻辑可包括硬件(例如处理装置、电路系统、专用逻辑、可编程逻辑、微代码、器件的硬件、集成电路等等)、软件(例如,在处理装置上运行或执行的指令)或其组合。虽然以特定顺序或次序示出,但除非另有说明,否则方法1000或下面使用所示流程图说明的其他方法中的过程的次序可被修改。因此,所示实施例应仅被理解为示例,并且所示过程可以以不同次序执行,并且一些过程可并行地执行。另外,各种实施例中可省略一个或多个过程。因此,不是在每个实施例中都需要全部过程。其他的过程流程是可能的。
方法1000可具有两个互补流程,即,针对自适应模型的模型构建和更新流程1005,以及批次内运行到运行(run-to-run)工艺控制流程1010。术语“运行到运行”用于表示在批次内从一个晶片到下一个晶片。可在运行之间考虑腔室到腔室变化性。模型构建和更新流程1005开始于框1020,其中围绕在工艺优化期间(即,使用本公开的空间数字DoE模型的开发和逐步增量阶段)识别出的记录的工艺(POR)配方来设计DoE。在此框中,用于模型校准的实际DoE晶片的数量可被优化。针对POR配方探索工艺变化性。而且,识别将用于工艺控制的关键旋钮,并且将DoE工艺空间设计成至少覆盖所述关键旋钮。接下来,在框1030中构建工艺控制模型,所述工艺控制模型经由VM和OBM捕获工艺变化性,并且使用机器学习引擎来建议可用于批次内的下一组晶片的工艺变化性校正。在框1040中,通过产线内计量数据来更新工艺控制模型。可执行工艺模型的批次到批次校准。另外,如果在运行到运行工艺控制流程1010(如下所述)内识别出“热点”或故障点,则可在框1040中执行根本原因分析(RCA)。
运行到运行工艺控制流程1010开始于框1060,在框1060处基于VM和OBM数据来预测晶片上计量(即,感兴趣的维度的空间图)。可将预测与黄金参考和/或统计参考进行比较。在框1070中,来自框1030的建议被接收,并且针对下一次晶片运行计算经校正的配方。可将配方校正推荐给先进工艺控制(APC)主机。
在框1080处,定义采样方案以用于在框1040处调整自适应模型。选择少数晶片以用于基于VM和OBM数据的模型调整。可对这些少数晶片进行排名,并且可选择一个或多个排名最高的晶片作为用于发送至产线内计量的相关晶片。例如,可将前3-5名的晶片发送至产线内计量,但所述数量可以变化。可通过电子束检验或其他方法从被发送至产线内计量的晶片中识别出热点(HS)(或故障点)。所识别的热点可用于RCA以理解晶片上的任何潜在故障点,如上文所讨论。本领域技术人员将理解,框1040可基于从VM、OBM和产线内计量数据获得的组合见解而定期地循环回到框1030。
图11示出根据本公开的实施例的使用导引的计量来将模型精细化的变化性控制的关键组件。模型的输出是指示基于经验工艺模型与机器学习的失败概率的预测的空间图。第一框1102决定在何处计量,即,在晶片上要测量的确切位置),包括在设计文件(例如掩模设计GDS文件)上的热点(或故障点)。框1104决定哪些维度与器件性能相关。框1106中,将来自由先进电子束技术在特征层级处的大量的管芯中测量结果的成像数据馈送至空间模型中。框1108是计量框,在框1108处通过组合传统算法和机器学习算法来评估感兴趣的维度上的敏感度。框1110是决策框,在框1110处识别某个工艺是否有问题。如果识别出问题,则所述问题被去卷积(de-convolved)成其组成部分,例如哪些旋钮设定尤其需要被调整以修正问题。最后,框1112是控制框,在框1112处基于机器学习模型来决定要调谐哪些旋钮以及每个旋钮要调谐多少。总结来说,导引的计量链接了图11中描述的功能框以检测热点(或故障点)并将工艺精细化以避免热点或减轻故障。
系统环境
图12示出用于简化说明而示出单个腔室的技术架构框图,但是本领域技术人员将理解,多个腔室可以是单个平台的一部分。工艺平台1220具有工艺建模迷你服务器1208。工艺模型捕获在跨晶片的一个或多个感兴趣的维度中创建空间变化的腔室内工艺的物理学特性。模型可以是腔室特定的,或者是可用于多个腔室的共同模型。共同模型有时被称为“黄金模型”,因为共同模型被用作参考以校准一个或多个腔室。迷你服务器1208接收来自腔室1204中的传感器的传感器数据1205(即,VM数据)以及来自OBM工具1202的原始数据1203(例如发射频谱)。基于VM和OBM,工艺建模迷你服务器1208将多旋钮推荐1209(如使用空间模型计算的)发送至主计算机1210中的晶片厂先进工艺控制器(APC)。推荐可包括要应用至工艺控制旋钮或任何其他腔室参数的偏移(例如,调整源功率、压力等等)。晶片厂APC1210也接收来自诸如TEM、电测试工具等等的其他测试工具的数据1207。电测试数据可包括器件参数和良率数据。晶片厂APC 1210也接收来自SEM 1212的产线内精确度计量数据,SEM1212产生多维度自定义计量数据1214。基于机器学习的模型构建设定服务器1216接收自定义计量数据1214、TEM及其他器件参数和良率数据1207、原始OBM数据1203及传感器数据1205,以自适应地调整工艺模型。机器学习引擎可驻留在迷你服务器1208、晶片厂APC 1210和设定服务器1216中的一者或多者中。工艺建模迷你服务器1208和基于机器学习的模型构建设定服务器1216经由链接1217彼此耦接,使得由工艺建模迷你服务器1208生成的空间模型可适配成用于使用来自SEM 1212的大量的产线内计量数据来进行晶片到晶片或批次到批次变化控制。另外,可通过基于参考腔室模型校准每个腔室来控制腔室到腔室变化,所述参考腔室模型捕获腔室内部的工艺的物理学特性。可基于机器学习来自适应地校准参考腔室模型本身,使得用改进的参考模型来校准的每个腔室能匹配从参考腔室预期的晶片上性能。自适应校准是基于对来自不同腔室的晶片的定期采样,使得腔室到腔室变化性基本上被补偿。图10中提供了基于热点的采样的示例。
图13示出计算机系统1300的示例机器,在计算机系统1300内可执行用于使所述机器执行本文讨论的方法中一个或多个方法的一组指令。在替代实现中,所述机器可连接(例如联网)至LAN、内部网络、外部网络、和/或因特网中的其他机器。所述机器可以以客户端-服务器网络环境中的服务器或客户端机器的身份操作,或在对等(或分布式)网络环境中作为对等机器操作,或在云运算基础设施或环境中作为服务器或客户端机器操作。
所述机器可以是个人计算机(PC)、平板PC、机顶盒(STB)、网络电器、服务器、网络路由器、交换机或网桥、或能够执行指定要将由所述机器采取的动作的一组指令(顺序的或以其他方式)的任何机器。进一步,尽管示出了单个机器,但术语“机器”应被认为包括单独地或联合地执行一组(或多组)指令以执行本文讨论的方法中的任何一个或多个方法的机器的任何集合。
示例计算机系统1300包括处理装置1302、主存储器1304(例如只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)诸如同步DRAM(SDRAM)等等)、静态存储器1306(例如闪存、静态随机存取存储器(SRAM)等等)、以及数据存储装置1316,这些组件经由总线1308来彼此通信。
处理装置1302表示一个或多个通用处理装置,诸如微处理器、中央处理单元等。更特定地,处理装置可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、或实现其他指令集的处理器、或实现指令集的组合的处理器。处理装置1302也可是一个或多个专用处理装置,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。处理装置1302被配置成执行指令以用于执行本文讨论的操作和步骤。
计算机系统1300可进一步包括网络接口装置1322以在网络1318上通信。计算机系统1300还可包括视频显示单元1310(例如液晶显示器(LCD)或阴极射线管(CRT))、字母数字输入设备1312(例如键盘)、光标控制装置1314(例如鼠标或触摸板)、信号发生装置1320(例如扬声器)、图形处理单元(未示出)、视频处理单元(未示出)、以及音频处理单元(未示出)。
数据存储装置1316可包括机器可读存储介质1324(也称为计算机可读介质),在机器可读存储介质1324上存储有体现本文所述的方法或功能中任何一个或多个方法或功能的一组或多组指令或软件。指令也可在由计算机系统1300执行期间完全地或至少部分地驻留在主存储器1304内和/或处理装置1302内,主存储器1304和处理装置1302也构成机器可读存储介质。
在一个实现中,指令包括用于实现对应于高度差确定的功能的指令。虽然机器可读存储介质624在示例实现中被示出为单个介质,但是术语“机器可读存储介质”应被认为包括存储一组或多组指令的单个介质或多个介质(例如集中式或分布式数据库,和/或相关联的高速缓存和服务器)。术语“机器可读存储介质”也应被认为包括能够存储或编码一组指令以供由机器执行的任何介质,所述指令使计算机执行本公开的方法中的任何一个或多个方法。因此,术语“机器可读存储介质”应被认为包括但不限于固态存储器、光学介质和磁性介质。
前面详细说明的一些部分已经以对于在计算机存储器内的数据位的操作的算法和符号表示的方式呈现。这些算法说明和表示是由本领域技术人员用于最有效地将他们工作的实质传达给本领域其他技术人员的方式。在本文中,并且一般而言,算法被设想为导致期望的结果的自洽操作序列。所述操作是需要对物理量进行物理操控的操作。通常,尽管不是必须的,这些量采用能够被存储、组合、比较、或以其他方式操纵的电信号或磁性信号的形式。主要出于常见用途的原因,已经证明有时将这些信号指称为位、值、元素、符号、字母、项、数字等是方便的。
然而,应当铭记,所有这些术语和类似术语都与适当的物理量相关联,并且仅仅是应用至这些量的方便标记。除非明确说明,否则如从以上讨论中显而易见的,应理解,在整份说明书中,使用诸如“识别”或“确定”或“执行(executing)”或“执行(performing)”或“收集”或“创建”或“发送”等的术语的讨论指的是计算机系统或类似的电子计算装置的动作和进程,这些动作和进程操控表示为计算机系统的寄存器和存储器内的物理(电子)量的数据并将所述数据转换成类似地表示为计算机系统存储器或寄存器或其他此类信息存储装置内的物理量的其他数据。
本公开还涉及用于这些本文的操作的设备。此设备可被特别地构造用于所需目的,或者所述设备可包含通用计算机,所述通用计算机由存储在所述计算机内的计算机程序选择性地激活或重新配置。此类计算机程序可存储在计算机可读存储介质中,诸如但不限于包括软盘、光盘、CD-ROM和磁光盘的任何类型的盘、只读存储器(ROM)、随机存取存储器(RAM)、EPROM、EEPROM、磁卡或光学卡、或适合用于存储电子指令的任何类型的介质,每种介质耦合至计算机系统总线。
本文中呈现的算法和显示并非固有地与任何特定计算机或其他设备相关。各种通用系统可与根据本文的教导的程序一起使用,或者可证明构造更专用的设备来执行所述方法是方便的。用于各种这些系统的结构将出现在以下描述中。另外,本公开不参考任何特定编程语言来描述。应理解,可使用各种编程语言来实现本文所述的本公开的教导。
本公开可作为计算机程序产品或软件来提供,所述计算机程序产品或软件可包括机器可读介质,在机器可读介质上存储有指令,所述指令可用于对计算机系统(或其他电子装置)进行编程以执行根据本公开的工艺。机器可读介质包括用于以机器(例如计算机)可读的形式来存储信息的任何机制。例如,机器可读(例如计算机可读)介质包括机器(例如计算机)可读存储介质,诸如只读存储器(“ROM”)、随机存取存储器(“RAM”)、磁盘存储介质、光学存储介质、闪存装置等等。
在前述说明书中,已参考本公开的特定示例实施方式描述本公开的实施方式。将显而易见的是,在不脱离所附权利要求中阐述的本公开的实施方式的更广泛精神和范围的情况下,可对本公开的实施方式做出各种修改。因此,说明书和附图应被认为是说明性的而非限制性的。

Claims (20)

1.一种计算机实现的方法,所述方法用于构建正在晶片上制造的器件的一个或多个感兴趣的维度的空间分布的预测模型,所述方法包括:
通过在工艺空间内改变处理腔室的关键旋钮来处理最优数量的晶片,其中所述关键旋钮是指对所述一个或多个感兴趣的维度具有最大影响的变量;
在所述最优数量的晶片的处理期间,收集与所述一个或多个感兴趣的维度相关的腔室计量数据;
通过对晶片的样品集执行电子束检验和计量来收集与所述一个或多个感兴趣的维度相关的产线内计量数据;
通过机器学习引擎来组合所述腔室计量数据和所述产线内计量数据以生成与所述一个或多个感兴趣的维度相关的自定义计量数据;
通过所述机器学习引擎来确定所述自定义计量数据与所述工艺空间内的所识别的关键旋钮设置的相关性;
获得用于在所述处理腔室中运行的特定工艺的经验工艺模型;
由所述机器学习引擎通过使用所确定的所述自定义计量数据与所识别的关键旋钮设置的相关性来将所述经验工艺模型精细化以构建预测模型,所述预测模型在与所述工艺相关联的各种旋钮在所述工艺空间内虚拟地变化时预测跨晶片的所述一个或多个感兴趣的维度的空间分布,其中所述旋钮是指能被控制以控制所述工艺的变量。
2.如权利要求1所述的方法,其中收集所述腔室计量数据进一步包括:
在所述最优数量的晶片的处理期间收集包括腔室传感器的时间迹线的虚拟计量数据。
3.如权利要求1所述的方法,其中收集所述腔室计量数据进一步包括:
在所述最优数量的晶片的处理期间,从对所述一个或多个感兴趣的维度的直接测量收集板上计量数据。
4.如权利要求1所述的方法,其中精细化所述经验工艺模型进一步包括:
当所述一个或多个关键旋钮中的至少一些关键旋钮在所述工艺空间内同时变化时,通过所述机器学习引擎识别一个或多个关键旋钮设置的交互。
5.如权利要求1所述的方法,进一步包括:
在无需实体地处理任何其他晶片的情况下执行空间数字实验设计,其中所述空间数字实验设计包括针对所述处理腔室和针对跨所述晶片的所述一个或多个感兴趣的维度进行的对所述工艺的多约束优化。
6.如权利要求5所述的方法,进一步包括:
由所述机器学习引擎,通过反转预测跨晶片的所述一个或多个感兴趣的维度的空间分布的所述预测模型来预测所述空间数字实验设计的一个或多个虚拟配方。
7.如权利要求1所述的方法,进一步包括:
通过使用提供正在被处理的所述器件的特征层级三维轮廓信息的更新的产线内计量数据来定期地校准所述预测模型,其中所述轮廓信息包括所述一个或多个感兴趣的维度。
8.如权利要求1所述的方法,其中由所述机器学习引擎使用的机器学习方法是基于以下各项中的一项或多项:线性回归分析、偏最小二乘回归分析、高斯回归分析、多项式回归分析、用于回归的卷积神经网络、以及回归树。
9.一种非瞬态计算机可读介质,所述非瞬态计算机可读介质包括指令,所述指令在由机器学习引擎的处理器执行时使所述处理器执行用于构建正在晶片上制造的器件的一个或多个感兴趣的维度的空间分布的预测模型的操作,所述操作包括:
通过在工艺空间内改变处理腔室的关键旋钮,在最优数量的晶片的处理期间接收与所述一个或多个感兴趣的维度相关的腔室计量数据,其中所述关键旋钮是指对所述一个或多个感兴趣的维度具有最大影响的变量;
通过对晶片的样品集执行电子束检验和计量来接收与所述一个或多个感兴趣的维度相关的产线内计量数据;
组合所述腔室计量数据和所述产线内计量数据以生成与所述一个或多个感兴趣的维度相关的自定义计量数据;
确定所述自定义计量数据与所述工艺空间内的所识别的关键旋钮设置的相关性;
获得用于在所述处理腔室中运行的特定工艺的经验工艺模型;
通过使用所确定的所述自定义计量数据与所识别的关键旋钮设置的相关性来将所述经验工艺模型精细化以构建预测模型,所述预测模型在与所述工艺相关联的各种旋钮在所述工艺空间内虚拟地变化时预测跨晶片的所述一个或多个感兴趣的维度的空间分布,其中所述旋钮是指能被控制以控制所述工艺的变量。
10.如权利要求9所述的非瞬态计算机可读介质,其中接收所述腔室计量数据的操作进一步包括:
在所述最优数量的晶片的处理期间接收包括腔室传感器的时间迹线的虚拟计量数据;以及
在所述最优数量的晶片的处理期间,从对所述一个或多个感兴趣的维度的直接测量接收板上计量数据。
11.如权利要求9所述的非瞬态计算机可读介质,其中精细化所述经验工艺模型的操作进一步包括:
当所述一个或多个关键旋钮中的至少一些关键旋钮在所述工艺空间内同时变化时,通过所述机器学习引擎识别一个或多个关键旋钮设置的交互。
12.如权利要求9所述的非瞬态计算机可读介质,其中由所述处理器执行的所述操作进一步包括:
在无需实体地处理任何其他晶片的情况下执行空间数字实验设计,其中所述空间数字实验设计包括针对所述处理腔室和针对跨所述晶片的所述一个或多个感兴趣的维度进行的对所述工艺的多约束优化。
13.如权利要求12所述的非瞬态计算机可读介质,其中由所述处理器执行的所述操作进一步包括:
由所述机器学习引擎,通过反转预测跨晶片的所述一个或多个感兴趣的维度的空间分布的所述预测模型来预测所述空间数字实验设计的一个或多个虚拟配方。
14.如权利要求9所述的非瞬态计算机可读介质,其中由所述处理器执行的所述操作进一步包括:
通过使用提供正在被处理的所述器件的特征层级三维轮廓信息的更新的产线内计量数据来定期地校准所述预测模型,其中所述轮廓信息包括所述一个或多个感兴趣的维度。
15.一种用于构建正在晶片上制造的器件的一个或多个感兴趣的维度的空间分布的预测模型的系统,所述系统包括:
存储器;以及
机器学习引擎的处理器,所述处理器可操作地耦接到所述存储器,所述处理器用于执行用于构建正在晶片上制造的器件的一个或多个感兴趣的维度的空间分布的预测模型的操作,所述操作包括:
通过在工艺空间内改变处理腔室的关键旋钮,在最优数量的晶片的处理期间接收与所述一个或多个感兴趣的维度相关的腔室计量数据,其中所述关键旋钮是指对所述一个或多个感兴趣的维度具有最大影响的变量;
通过对晶片的样品集执行电子束检验和计量来接收与所述一个或多个感兴趣的维度相关的产线内计量数据;
组合所述腔室计量数据和所述产线内计量数据以生成与所述一个或多个感兴趣的维度相关的自定义计量数据;
确定所述自定义计量数据与所述工艺空间内的所识别的关键旋钮设置的相关性;
获得用于在所述处理腔室中运行的特定工艺的经验工艺模型;
通过使用所确定的所述自定义计量数据与所识别的关键旋钮设置的相关性来将所述经验工艺模型精细化以构建预测模型,所述预测模型在与所述工艺相关联的各种旋钮在所述工艺空间内虚拟地变化时预测跨晶片的所述一个或多个感兴趣的维度的空间分布,其中所述旋钮是指能被控制以控制所述工艺的变量。
16.如权利要求15所述的系统,其中接收所述腔室计量数据的操作进一步包括:
在所述最优数量的晶片的处理期间接收包括腔室传感器的时间迹线的虚拟计量数据;以及
在所述最优数量的晶片的处理期间,从对所述一个或多个感兴趣的维度的直接测量接收板上计量数据。
17.如权利要求15所述的系统,其中精细化所述经验工艺模型的操作进一步包括:
当所述一个或多个关键旋钮中的至少一些关键旋钮在所述工艺空间内同时变化时,识别一个或多个关键旋钮设置的交互。
18.如权利要求15所述的系统,其中由所述处理器执行的所述操作进一步包括:
在无需实体地处理任何其他晶片的情况下执行空间数字实验设计,其中所述空间数字实验设计包括针对所述处理腔室和针对跨所述晶片的所述一个或多个感兴趣的维度进行的对所述工艺的多约束优化。
19.如权利要求18所述的系统,其中由所述处理器执行的所述操作进一步包括:
通过反转预测跨晶片的所述一个或多个感兴趣的维度的空间分布的所述预测模型来预测所述空间数字实验设计的一个或多个虚拟配方。
20.如权利要求15所述的系统,其中由所述处理器执行的所述操作进一步包括:
通过使用提供正在被处理的所述器件的特征层级三维轮廓信息的更新的产线内计量数据来定期地校准所述预测模型,其中所述轮廓信息包括所述一个或多个感兴趣的维度。
CN202310347326.0A 2018-10-09 2019-10-07 用于构建器件的维度的空间分布的预测模型的方法和系统 Active CN116415691B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310347326.0A CN116415691B (zh) 2018-10-09 2019-10-07 用于构建器件的维度的空间分布的预测模型的方法和系统

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US16/155,779 US10930531B2 (en) 2018-10-09 2018-10-09 Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US16/155,773 2018-10-09
US16/155,779 2018-10-09
US16/155,773 US10657214B2 (en) 2018-10-09 2018-10-09 Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US16/214,550 US10705514B2 (en) 2018-10-09 2018-12-10 Adaptive chamber matching in advanced semiconductor process control
US16/214,550 2018-12-10
CN202310347326.0A CN116415691B (zh) 2018-10-09 2019-10-07 用于构建器件的维度的空间分布的预测模型的方法和系统
PCT/US2019/055031 WO2020076719A1 (en) 2018-10-09 2019-10-07 Advanced semiconductor process optimization and adaptive control during manufacturing
CN201980066062.3A CN112805635B (zh) 2018-10-09 2019-10-07 先进半导体工艺优化和制造期间的自适应控制

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201980066062.3A Division CN112805635B (zh) 2018-10-09 2019-10-07 先进半导体工艺优化和制造期间的自适应控制

Publications (2)

Publication Number Publication Date
CN116415691A CN116415691A (zh) 2023-07-11
CN116415691B true CN116415691B (zh) 2024-04-02

Family

ID=70051960

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310347326.0A Active CN116415691B (zh) 2018-10-09 2019-10-07 用于构建器件的维度的空间分布的预测模型的方法和系统
CN201980066062.3A Active CN112805635B (zh) 2018-10-09 2019-10-07 先进半导体工艺优化和制造期间的自适应控制

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201980066062.3A Active CN112805635B (zh) 2018-10-09 2019-10-07 先进半导体工艺优化和制造期间的自适应控制

Country Status (6)

Country Link
US (2) US10705514B2 (zh)
EP (2) EP4276891A2 (zh)
JP (4) JP7177923B6 (zh)
KR (2) KR20230141930A (zh)
CN (2) CN116415691B (zh)
WO (1) WO2020076719A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
KR20220083811A (ko) * 2019-10-23 2022-06-20 램 리써치 코포레이션 반도체 제작을 위한 레시피의 결정 (determination)
US11815819B2 (en) * 2020-04-06 2023-11-14 Nova Ltd. Machine and deep learning methods for spectra-based metrology and process control
US11901204B2 (en) * 2020-05-22 2024-02-13 Applied Materials, Inc. Predictive wafer scheduling for multi-chamber semiconductor equipment
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US20220066411A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Detecting and correcting substrate process drift using machine learning
JP2022045616A (ja) * 2020-09-09 2022-03-22 東京エレクトロン株式会社 基板処理方法および基板処理装置
US20240047248A1 (en) * 2020-12-21 2024-02-08 Lam Research Corporation Adaptive model training for process control of semiconductor manufacturing equipment
US20220210525A1 (en) * 2020-12-24 2022-06-30 Applied Materials Israel Ltd. Prediction of electrical properties of a semiconductor specimen
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US20220284342A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Systems and methods for process chamber health monitoring and diagnostics using virtual model
WO2022256194A1 (en) * 2021-06-01 2022-12-08 Lam Research Corporation In situ sensor and logic for process control
US20220392809A1 (en) * 2021-06-03 2022-12-08 Kla Corporation Adaptive modeling misregistration measurement system and method
US11860591B2 (en) * 2021-09-13 2024-01-02 Applied Materials, Inc. Process recipe creation and matching using feature models
CN113539909A (zh) * 2021-09-15 2021-10-22 深圳市信润富联数字科技有限公司 一种故障检测方法、装置、终端设备及存储介质
US20230078146A1 (en) * 2021-09-15 2023-03-16 Applied Materials, Inc. Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
JP2023120961A (ja) * 2022-02-18 2023-08-30 株式会社Screenホールディングス 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム
US20230295799A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)
WO2023220680A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Virtual semiconductor fab environment
US20230367288A1 (en) * 2022-05-16 2023-11-16 Applied Materials, Inc. Physically-informed multi-system hardware operating windows
US20240062097A1 (en) * 2022-08-22 2024-02-22 Applied Materials, Inc. Equipment parameter management at a manufacturing system using machine learning
US20240086597A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Generation and utilization of virtual features for process modeling
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法
KR20140011064A (ko) * 2012-07-17 2014-01-28 서울과학기술대학교 산학협력단 이상치 탐지 기법을 이용한 가상 계측 결과의 신뢰도 추정 방법
CN107004060A (zh) * 2014-11-25 2017-08-01 流动马赛克公司 用于半导体制造工艺的经改进工艺控制技术
CN108281346A (zh) * 2016-12-23 2018-07-13 朗姆研究公司 从光谱的时间序列进行特征提取以控制工艺结束点的方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6408219B2 (en) * 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
JP4008899B2 (ja) 2003-09-08 2007-11-14 株式会社東芝 半導体装置の製造システムおよび半導体装置の製造方法
US7451011B2 (en) 2004-08-27 2008-11-11 Tokyo Electron Limited Process control using physical modules and virtual modules
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080076046A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US8352062B2 (en) 2009-03-11 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for gate profile control
US8452439B2 (en) 2011-03-15 2013-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Device performance parmeter tuning method and system
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US9240360B2 (en) * 2012-07-25 2016-01-19 International Business Machines Corporation Run-to-run control utilizing virtual metrology in semiconductor manufacturing
US9176183B2 (en) 2012-10-15 2015-11-03 GlobalFoundries, Inc. Method and system for wafer quality predictive modeling based on multi-source information with heterogeneous relatedness
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US20140303912A1 (en) 2013-04-07 2014-10-09 Kla-Tencor Corporation System and method for the automatic determination of critical parametric electrical test parameters for inline yield monitoring
PT2821780T (pt) * 2013-07-02 2018-10-22 Ancosys Gmbh Identificação no próprio local para deposição eletroquímica e/ou gravura eletroquímica
CN104900549A (zh) * 2014-03-04 2015-09-09 上海华虹宏力半导体制造有限公司 一种监控晶圆加工机台生产效率的方法
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
EP3398123A4 (en) 2015-12-31 2019-08-28 KLA - Tencor Corporation ACCELERATED TRAINING OF A MODEL BASED ON AUTOMATIC LEARNING FOR SEMICONDUCTOR APPLICATIONS
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
KR101801023B1 (ko) 2016-09-02 2017-12-20 주식회사 프라임솔루션 반도체 공정의 가상계측을 이용한 사전공정제어 방법
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10254641B2 (en) * 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
CN108628661B (zh) 2017-03-24 2021-11-26 郑芳田 云制造服务的自动建置方法、云制造系统
US10546085B2 (en) 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法
KR20140011064A (ko) * 2012-07-17 2014-01-28 서울과학기술대학교 산학협력단 이상치 탐지 기법을 이용한 가상 계측 결과의 신뢰도 추정 방법
CN107004060A (zh) * 2014-11-25 2017-08-01 流动马赛克公司 用于半导体制造工艺的经改进工艺控制技术
CN108281346A (zh) * 2016-12-23 2018-07-13 朗姆研究公司 从光谱的时间序列进行特征提取以控制工艺结束点的方法

Also Published As

Publication number Publication date
KR20210055105A (ko) 2021-05-14
JP2023181311A (ja) 2023-12-21
US10955832B2 (en) 2021-03-23
EP3864470A1 (en) 2021-08-18
KR20230141930A (ko) 2023-10-10
EP3864470B1 (en) 2023-10-04
CN116415691A (zh) 2023-07-11
JP7177923B2 (ja) 2022-11-24
JP7177923B6 (ja) 2022-12-16
JP2023015270A (ja) 2023-01-31
US10705514B2 (en) 2020-07-07
CN112805635A (zh) 2021-05-14
JP7375246B2 (ja) 2023-11-07
JP2022504561A (ja) 2022-01-13
JP7275370B2 (ja) 2023-05-17
WO2020076719A1 (en) 2020-04-16
CN112805635B (zh) 2023-04-04
US20200333774A1 (en) 2020-10-22
US20200110390A1 (en) 2020-04-09
EP3864470A4 (en) 2022-07-13
TW202038030A (zh) 2020-10-16
EP4276891A2 (en) 2023-11-15
KR102583830B1 (ko) 2023-10-04
JP2023103309A (ja) 2023-07-26

Similar Documents

Publication Publication Date Title
CN116415691B (zh) 用于构建器件的维度的空间分布的预测模型的方法和系统
US10930531B2 (en) Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10929586B2 (en) Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
KR101094620B1 (ko) 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체
JP7137943B2 (ja) 探索装置、探索方法及びプラズマ処理装置
US11586794B2 (en) Semiconductor processing tools with improved performance by use of hybrid learning models
KR20060116192A (ko) 반도체 제조 프로세스를 제어하기 위한 제 1 원리들의시뮬레이션을 사용하기 위한 시스템 및 방법
KR20060116193A (ko) 반도체 프로세싱 도구에 의하여 수행된 프로세스를분석하기 위하여 제 1 원리들의 시뮬레이션을 사용하기위한 시스템 및 방법
TWI839396B (zh) 高階半導體製程優化及製造期間適應性控制
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭
CN117321522A (zh) 使用特征模型的工艺配方创建和匹配
TW202409764A (zh) 用於基板處理設備的多維感測器資料的整體分析
CN117056682A (zh) 用于基板处理设备的多维传感器数据的整体分析
CN118020083A (zh) 使用缺陷模型估计缺陷风险并优化处理配方

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant