JP2023120961A - 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム - Google Patents

基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム Download PDF

Info

Publication number
JP2023120961A
JP2023120961A JP2022024128A JP2022024128A JP2023120961A JP 2023120961 A JP2023120961 A JP 2023120961A JP 2022024128 A JP2022024128 A JP 2022024128A JP 2022024128 A JP2022024128 A JP 2022024128A JP 2023120961 A JP2023120961 A JP 2023120961A
Authority
JP
Japan
Prior art keywords
processing
substrate
condition
setting
processing conditions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022024128A
Other languages
English (en)
Inventor
世 根來
Tsugu Negoro
健介 篠原
Kensuke Shinohara
真裕 ▲徳▼山
Masahiro Tokuyama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Priority to JP2022024128A priority Critical patent/JP2023120961A/ja
Priority to TW111144553A priority patent/TWI842215B/zh
Priority to CN202310046730.4A priority patent/CN116631895A/zh
Priority to KR1020230014692A priority patent/KR20230124481A/ko
Priority to EP23155377.7A priority patent/EP4231107A1/en
Priority to US18/166,327 priority patent/US20230268208A1/en
Publication of JP2023120961A publication Critical patent/JP2023120961A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32187Correlation between controlling parameters for influence on quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32188Teaching relation between controlling parameters and quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32193Ann, neural base quality management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32368Quality control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Weting (AREA)

Abstract

【課題】量産に適した処理条件を設定することが可能な基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システムを提供する。【解決手段】基板処理条件の設定方法は、学習用処理条件、及び、学習用処理条件で基板Wを処理した際の処理結果に基づいて機械学習された学習済モデルMに対して、複数の処理条件を入力して、複数の推定処理結果を取得する工程(ステップS24)と、複数の推定処理結果に基づく画像を表示部105に表示させる工程(ステップS26)と、表示部105に表示された画像に基づいて、複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板Wを処理する際の実行処理条件として設定する工程(ステップS27及びステップS28)とを含む。【選択図】図16

Description

本発明は、基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システムに関する。
ウエハ本体、または、表面に被膜が形成されたウエハを処理対象とし、液処理によって処理対象の膜厚調整を行う基板処理装置が知られている。このような基板処理装置の一種として、ウエハ表面にエッチング用の処理液を供給するノズルを備えた枚葉型の基板処理装置がある(例えば、特許文献1参照)。特許文献1の基板処理システムでは、基板処理条件と品質に関する実績データとを含むデータセットに基づく機械学習により学習済モデルを生成し、学習済モデルに基づいて、基板処理の推奨処理条件を導出する。
国際公開WO2020/105517号
しかしながら、特許文献1に記載の基板処理装置のように学習済モデルを用いて最適な処理条件を導出する方法では、例えば、所定のエッチングプロファイルを得る上で許される処理条件の範囲(プロセスウインドウともいう)が狭い場合、量産する際に安定して基板を処理できない場合がある。また、特許文献1に記載の方法では、例えば、所定のエッチングプロファイルが得られる処理条件では、処理液の使用量が大幅に増加する場合がある。
つまり、特許文献1に記載の方法では、量産に適さない処理条件が学習済モデルによって導出される場合がある。
本発明は上記課題に鑑みてなされたものであり、その目的は、量産に適した処理条件を設定することが可能な基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システムを提供することにある。
本発明の一局面による基板処理条件の設定方法は、学習用処理条件、及び、前記学習用処理条件で基板を処理した際の処理結果に基づいて機械学習された学習済モデルに対して、複数の処理条件を入力して、複数の推定処理結果を取得する工程と、前記複数の推定処理結果に基づく画像を表示部に表示させる工程と、前記表示部に表示された前記画像に基づいて、前記複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板を処理する際の実行処理条件として設定する工程とを含む。
本発明の一態様において、基板処理条件の設定方法は、前記取得する工程に先立って、所定の処理条件を含む入力条件範囲を設定する工程をさらに含んでもよい。前記取得する工程において、前記学習済モデルに対して、前記入力条件範囲に含まれる複数の処理条件を入力して、前記複数の推定処理結果を取得してもよい。
本発明の一態様において、前記処理条件の各々は、基板に供給する処理液の濃度を示す濃度条件と、前記基板に供給する前記処理液の温度を示す温度条件と、前記基板に供給する前記処理液の供給量を示す供給量条件と、前記基板の回転数を示す回転数条件と、前記基板に前記処理液を供給するノズルのスキャン速度を示す速度条件とを少なくとも含んでもよい。
本発明の一態様において、基板処理条件の設定方法は、前記実行処理条件として設定する工程に先立って、前記表示部に表示された前記画像に基づいて、ユーザによって前記1つの処理条件を選択する工程をさらに含んでもよい。前記実行処理条件として設定する工程において、選択された前記1つの処理条件を前記実行処理条件として設定してもよい。
本発明の一態様において、前記画像は、分布図を含んでもよい。前記処理条件の各々は、複数のパラメータを有してもよい。前記分布図は、ユーザによって指定された2種類のパラメータと、前記推定処理結果とを用いて3次元で表示されてもよい。
本発明の一態様において、前記表示部は、前記分布図の変数としての前記パラメータの種類をユーザが選択可能な選択部を表示してもよい。
本発明の一態様において、前記表示部は、前記分布図上にユーザが選択可能な複数のマークを表示してもよい。前記選択する工程において、ユーザが前記複数のマークのうち1つのマークを選択することによって、前記1つの処理条件が選択されてもよい。
本発明の一態様において、前記複数のマークは、前記分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に表示される第1マークと、前記分布図のうち、前記所定範囲外に表示され、前記第1マークとは異なる第2マークとを含んでもよい。
本発明の一局面による基板処理方法は、上記の基板処理条件の設定方法にしたがって、前記1つの処理条件を前記実行処理条件として設定する工程と、前記1つの処理条件で基板を処理する工程とを含む。
本発明の一局面による基板処理条件の設定システムは、記憶部と、表示部と、制御部とを備える。前記記憶部は、学習用処理条件、及び、前記学習用処理条件で基板を処理した際の処理結果に基づいて機械学習された学習済モデルを記憶する。前記制御部は、前記学習済モデルに対して複数の処理条件を入力して、複数の推定処理結果を取得する。前記制御部は、前記複数の推定処理結果に基づく画像を前記表示部に表示させる。前記制御部は、前記複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板を処理する際の実行処理条件として設定する。
本発明の一態様において、前記制御部は、前記学習済モデルに対して、所定の処理条件を含む入力条件範囲に含まれる複数の処理条件を入力して、前記複数の推定処理結果を取得してもよい。
本発明の一態様において、前記処理条件の各々は、基板に供給する処理液の濃度を示す濃度条件と、前記基板に供給する前記処理液の温度を示す温度条件と、前記基板に供給する前記処理液の供給量を示す供給量条件と、前記基板の回転数を示す回転数条件と、前記基板に前記処理液を供給するノズルのスキャン速度を示す速度条件とを少なくとも含んでもよい。
本発明の一態様において、基板処理条件の設定システムは、ユーザによる操作を受け付ける操作部をさらに備えてもよい。前記制御部は、前記操作部を用いて前記ユーザにより選択された前記1つの処理条件を、前記実行処理条件として設定してもよい。
本発明の一態様において、前記画像は、分布図を含んでもよい。前記複数の処理条件の各々は、複数のパラメータを有してもよい。前記制御部は、ユーザによって指定された2種類のパラメータと、前記推定処理結果とを用いて前記分布図を3次元で表示させてもよい。
本発明の一態様において、前記表示部は、前記分布図の変数としての前記パラメータの種類をユーザが選択可能な選択部を表示してもよい。
本発明の一態様において、前記表示部は、前記分布図上にユーザが選択可能な複数のマークを表示してもよい。前記制御部は、前記操作部を用いて前記ユーザにより前記複数のマークから1つのマークが選択されることによって、前記1つのマークに対応する前記1つの処理条件を前記実行処理条件として設定してもよい。
本発明の一態様において、前記複数のマークは、前記分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に表示される第1マークと、前記分布図のうち、前記所定範囲外に表示され、前記第1マークとは異なる第2マークとを含んでもよい。
本発明の一局面による基板処理システムは、上記の基板処理条件の設定システムと、前記1つの処理条件で基板を処理する処理ユニットとを備えてもよい。
本発明によれば、量産に適した処理条件を設定することが可能な基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システムを提供できる。
本発明の第1実施形態の基板処理システムの全体構成を示す図である。 本発明の第1実施形態の基板処理システムの全体構成を示す模式図である。 本発明の第1実施形態の基板処理装置の模式図である。 本発明の第1実施形態の処理ユニットの模式図である。 目標の処理量を説明するための図である。 本発明の第1実施形態のスキャン処理を示す平面図である。 本発明の第1実施形態のスキャン速度情報を示す図である。 本発明の第1実施形態の厚み測定処理を示す平面図である。 本発明の第1実施形態の制御装置のブロック図である。 本発明の第1実施形態のサーバのブロック図である。 本発明の第1実施形態の学習装置のブロック図である。 本発明の第1実施形態の学習用データセットを示す図である。 本発明の第1実施形態の表示部が表示する画像の一例を示す図である。 本発明の第1実施形態の基板処理システムを用いた基板処理方法を示すフローチャートである。 本発明の第1実施形態の処理前の対象物の厚みを測定する方法を示すフローチャートである。 本発明の第1実施形態の基板処理条件を設定する方法を示すフローチャートである。 本発明の第1実施形態の表示部が表示する画像の一例を示す図である。 本発明の第1実施形態の表示部105が表示する画像の一例を示す図である。 本発明の第1実施形態の基板を処理する方法を示すフローチャートである。 本発明の第2実施形態の表示部105が表示する画像の一例を示す図である。
以下、図面を参照して本発明の実施形態を説明する。但し、本発明は以下の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々の態様において実施することが可能である。なお、説明が重複する箇所については、適宜説明を省略する場合がある。また、図中、同一又は相当部分については同一の参照符号を付して説明を繰り返さない。
(第1実施形態)
図1~図19を参照して、本発明の第1実施形態の基板処理システム1000を説明する。図1は、本実施形態の基板処理システム1000の全体構成を示す図である。図2は、本実施形態の基板処理システム1000の全体構成を示す模式図である。
図1及び図2に示すように、基板処理システム1000は、基板処理条件の設定システム500と、処理ユニット1とを備える。設定システム500は、第1制御部102と、第2制御部210と、記憶部220とを備える。設定システム500は、表示部105と、入力部104とをさらに備える。なお、第1制御部102及び第2制御部210は、本発明の「制御部」の一例である。また、入力部104は、本発明の「操作部」の一例である。以下、基板処理システム1000について具体的に説明する。
本実施形態では、基板処理システム1000は、基板処理装置100と、サーバ200とを備える。基板処理装置100は、処理ユニット1と、制御装置101とを備える。制御装置101及びサーバ200は、基板処理条件の設定システム500を構成する。
次に、図3及び図4を参照して、基板処理装置100を説明する。図3は、本実施形態の基板処理装置100の模式図である。詳しくは、図3は、基板処理装置100の模式的な平面図である。基板処理装置100は、基板Wを一枚ずつ処理する枚葉式の装置である。本実施形態において、基板Wは半導体ウエハである。基板Wは略円板状である。
図3に示すように、基板処理装置100は、複数の処理ユニット1と、流体キャビネット100Aと、複数の流体ボックス100Bと、複数のロードポートLPと、インデクサーロボットIRと、センターロボットCRと、制御装置101とを備える。
ロードポートLPの各々は、複数枚の基板Wを積層して収容する。インデクサーロボットIRは、ロードポートLPとセンターロボットCRとの間で基板Wを搬送する。センターロボットCRは、インデクサーロボットIRと処理ユニット1との間で基板Wを搬送する。処理ユニット1の各々は、処理液を基板Wに供給して、基板Wに処理を実行する。流体キャビネット100Aは、処理液を収容する。
複数の処理ユニット1は、平面視においてセンターロボットCRを取り囲むように配置された複数のタワーTW(図3では4つのタワーTW)を形成している。各タワーTWは、上下に積層された複数の処理ユニット1(図3では3つの処理ユニット1)を含む。流体ボックス100Bは、それぞれ、複数のタワーTWに対応している。流体キャビネット100A内の処理液は、いずれかの流体ボックス100Bを介して、流体ボックス100Bに対応するタワーTWに含まれる全ての処理ユニット1に供給される。
制御装置101は、基板処理装置100の各部の動作を制御する。例えば、制御装置101は、ロードポートLP、インデクサーロボットIR、及びセンターロボットCRを制御する。
続いて図4を参照して、本実施形態の処理ユニット1を説明する。図4は、本実施形態の処理ユニット1の模式図である。詳しくは、図4は、処理ユニット1の模式的な断面図である。
図4に示すように、処理ユニット1は、基板Wを構成する対象物を処理液によって処理する。以下、処理液による処理の対象である対象物を「対象物TG」と記載する。対象物TGは、例えば、基板本体(例えば、シリコンからなる基板本体)、又は、基板本体の表面に形成された物質である。基板本体の表面に形成された物質は、例えば、基板本体と同じ材料の物質(例えば、シリコンからなる層)、又は、基板本体と異なる材料の物質(例えば、シリコン酸化膜、シリコン窒化膜、又はレジスト)である。「物質」は膜を構成していてもよい。
本実施形態において、処理液はエッチング液を含み、処理ユニット1はエッチング処理を実行する。対象物TGは、エッチング液によって処理される(エッチングされる)。エッチング液は、薬液である。エッチング液は、例えば、フッ硝酸(フッ酸(HF)と硝酸(HNO3)との混合液)、フッ酸、バッファードフッ酸(BHF)、フッ化アンモニウム、HFEG(フッ酸とエチレングリコールとの混合液)、燐酸(H3PO4)、SC1(アンモニアと過酸化水素水の混合液)、SC2(塩酸と過酸化水素水の混合液)、SPM(硫酸と過酸化水素水の混合液)、又は、アンモニア、などである。
処理ユニット1は、チャンバー2と、スピンチャック3と、スピンモータ部5と、ノズル移動機構6と、測定部8と、プローブ移動機構9と、複数のガード10(図4では2つのガード10)と、第1ノズル41と、第2ノズル71とを備える。また、基板処理装置100は、エッチング液供給部4と、リンス液供給部7とを備える。エッチング液供給部4は、第1供給配管42を有し、リンス液供給部7は、第2供給配管72を有する。なお、第1ノズル41は、本発明の「ノズル」の一例である。
チャンバー2は略箱形状を有する。チャンバー2は、基板W、スピンチャック3、スピンモータ部5、ノズル移動機構6、複数のガード10、測定部8、プローブ移動機構9、第1ノズル41、第2ノズル71、第1供給配管42の一部、及び、第2供給配管72の一部を収容する。
スピンチャック3は、基板Wを水平に保持する。具体的には、スピンチャック3は、複数のチャック部材32と、スピンベース33とを有する。複数のチャック部材32は、基板Wの周縁に沿ってスピンベース33に設けられる。複数のチャック部材32は基板Wを水平な姿勢で保持する。スピンベース33は、略円板状であり、水平な姿勢で複数のチャック部材32を支持する。
スピンモータ部5は、第1回転軸線AX1を中心として基板Wとスピンチャック3とを一体に回転させる。第1回転軸線AX1は、上下方向に延びる。本実施形態では、第1回転軸線AX1は、略鉛直方向に延びる。詳しくは、スピンモータ部5は、第1回転軸線AX1を中心としてスピンベース33を回転させる。従って、スピンベース33は、第1回転軸線AX1を中心として回転する。その結果、スピンベース33に設けられた複数のチャック部材32に保持された基板Wが、第1回転軸線AX1を中心として回転する。
具体的には、スピンモータ部5は、モータ本体51と、シャフト53と、エンコーダ55とを有する。シャフト53はスピンベース33に結合される。モータ本体51は、シャフト53を回転させる。その結果、スピンベース33が回転する。
エンコーダ55は、基板Wの回転速度を計測する。エンコーダ55は、基板Wの回転速度を示す信号を生成する。詳しくは、エンコーダ55は、モータ本体51の回転速度を示す回転速度信号を生成する。
第1ノズル41は、基板Wにエッチング液を供給する。詳しくは、第1ノズル41は、回転中の基板Wに向けてエッチング液を吐出する。エッチング液供給部4は、第1ノズル41にエッチング液を供給する。詳しくは、第1ノズル41は、第1供給配管42の一端に接続している。エッチング液は、第1供給配管42を介して第1ノズル41に供給される。第1供給配管42は、エッチング液が流通する管状部材である。
ノズル移動機構6は、第1ノズル41を移動させる。本実施形態において、ノズル移動機構6は、略水平方向に第1ノズル41を移動させる。詳しくは、ノズル移動機構6は、略鉛直方向に沿った第2回転軸線AX2を中心として第1ノズル41を旋回させる。第1ノズル41は、移動しながら(旋回しながら)、基板Wに向けてエッチング液を吐出する。第1ノズル41は、スキャンノズルと称されることがある。
具体的には、ノズル移動機構6は、ノズルアーム61と、第1回転軸63と、第1駆動部65とを有する。ノズルアーム61は略水平方向に沿って延びる。ノズルアーム61の先端部に第1ノズル41が配置される。ノズルアーム61は第1回転軸63に結合される。第1回転軸63は、略鉛直方向に沿って延びる。第1駆動部65は、第2回転軸線AX2を中心として第1回転軸63を回転させて、第1回転軸63を中心にノズルアーム61を略水平面に沿って回転させる。その結果、第1ノズル41が略水平面に沿って移動する。詳しくは、第1ノズル41は、第2回転軸線AX2を中心として第1回転軸63の周りを旋回する。第1駆動部65は、例えば、ステッピングモータを含む。
第2ノズル71は、基板Wにリンス液を供給する。詳しくは、第2ノズル71は、回転中の基板Wに向けてリンス液を吐出する。リンス液供給部7は、第2ノズル71にリンス液を供給する。詳しくは、リンス液は、第2供給配管72を介して第2ノズル71に供給される。第2供給配管72は、リンス液が流通する管状部材である。リンス液は、例えば、脱イオン水、炭酸水、電解イオン水、水素水、オゾン水、又は、希釈濃度(例えば、10ppm~100ppm程度)の塩酸水である。第2ノズル71は、静止した状態でリンス液を吐出する。第2ノズル71は、固定ノズルと称されることがある。なお、第2ノズル71はスキャンノズルであってもよい。
ガード10の各々は、略筒形状を有する。複数のガード10は、基板Wから排出されたエッチング液及びリンス液を受け止める。
測定部8は、基板Wの表面に関する表面情報を取得する。表面情報は、例えば、基板Wの厚みの分布を示す情報を含む。また、表面情報は、例えば、基板Wの表面形状(プロファイル)を示す情報を含む。なお、基板Wの厚みの分布の情報と基板Wの表面の形状の情報との一方に基づいて、他方を得ることが可能である。つまり、基板Wの厚みの分布の情報を取得することと、基板Wの表面の形状の情報を取得することとは、実質的に同じである。
本実施形態では、測定部8は、対象物TGの厚みを非接触方式で測定して、対象物TGの厚みを示す厚み検出信号を生成する。厚み検出信号は、制御装置101に入力される。
測定部8は、例えば、分光干渉法によって対象物TGの厚みを測定する。具体的には、測定部8は、光学プローブ81と、信号線83と、測定器85とを含む。光学プローブ81は、レンズを有する。信号線83は、光学プローブ81と測定器85とを接続する。信号線83は、例えば光ファイバーを含む。測定器85は、光源と受光素子とを有する。測定器85の光源が出射した光は、信号線83及び光学プローブ81を介して、対象物TGに出射される。対象物TGによって反射された光は、光学プローブ81及び信号線83を介して、測定器85の受光素子で受光される。測定器85は、受光素子が受光した光を解析して、対象物TGの厚みを算出する。測定器85は、算出した対象物TGの厚みを示す厚み検出信号を生成する。なお、測定部8の測定方法は、分光干渉法に限らず、対象物TGの厚みを測定できれば他の測定方法を用いてもよい。
プローブ移動機構9は、略水平方向に光学プローブ81を移動させる。詳しくは、プローブ移動機構9は、略鉛直方向に沿った第3回転軸線AX3を中心として光学プローブ81を旋回させる。光学プローブ81は、移動しながら(旋回しながら)、基板Wに向けて光を出射する。従って、厚み検出信号は、対象物TGの厚みの分布を示す。
具体的には、プローブ移動機構9は、プローブアーム91と、第2回転軸93と、第2駆動部95とを有する。プローブアーム91は略水平方向に沿って延びる。プローブアーム91の先端部に光学プローブ81が配置される。プローブアーム91は第2回転軸93に結合される。第2回転軸93は、略鉛直方向に沿って延びる。第2駆動部95は、第3回転軸線AX3を中心として第2回転軸93を回転させて、第2回転軸93を中心にプローブアーム91を略水平面に沿って回転させる。その結果、光学プローブ81が略水平面に沿って移動する。詳しくは、光学プローブ81は、第3回転軸線AX3を中心として第2回転軸93の周りを旋回する。第2駆動部95は、例えば、ステッピングモータを含む。
制御装置101は、半導体製品の製造時に、測定部8(測定器85)から入力された厚み検出信号に基づいて目標の処理量(目標のエッチング量)を算出してもよい。
図5は、目標の処理量を説明するための図である。図5において、Paは、測定部8を用いて検出される処理前の対象物TGの厚みの分布を示す。Pbは、処理後の対象物TGの目標の厚みの分布を示す。領域Pcは、処理前の対象物TGの厚みの分布Paと、処理後の対象物TGの目標の厚みの分布Pbとの差分を示す。差分(領域Pc)は、目標の処理量である。つまり、第1制御部102は、測定部8を用いて実測した厚みの分布Paと、目標の厚みの分布Pbとの差分を算出することによって、目標の処理量を算出することができる。
また、制御装置101には、エンコーダ55から回転速度信号が入力される。なお、処理時における基板Wの回転速度は、例えば一定である。詳しくは、制御装置101は、図9を参照して説明するように、基板処理装置100の各部を制御するためのレシピ131を記憶しており、レシピ131は、例えばモータ本体51の回転速度の設定値を示す。制御装置101は、レシピ131を参照して、処理ユニット1が実行する処理を制御する。
エッチング液供給部4は、エッチング液の温度を調整可能に構成されている。例えば、エッチング液供給部4は、温度計及びヒータを有してもよい。また、エッチング液供給部4は、エッチング液の供給量を調整可能に構成されている。
続いて図6を参照して、第1ノズル41による基板Wのスキャン処理を説明する。図6は、本実施形態のスキャン処理を示す平面図である。図6に示すように、スキャン処理とは、平面視において、対象物TGの表面に対する処理液(エッチング液)の着液位置が円弧状の軌跡TJ1を形成するように第1ノズル41が移動しながら、処理液を対象物TGに吐出する処理のことである。軌跡TJ1は、基板Wの中心部CTを通る。中心部CTは、基板Wのうち第1回転軸線AX1が通る部分を示す。スキャン処理は、基板Wの回転中に実行される。
本実施形態では、第1ノズル41は、第1位置X1から第9位置X9まで移動しながら、回転中の基板Wに向けて処理液(エッチング液)を吐出する。第1位置X1から第9位置X9に含まれる各位置X1~X9は、軌跡TJ1に含まれる。第1位置X1から第9位置X9までの区間は、第1ノズル41が移動する移動区間を示す。
第1位置X1から第9位置X9のうち、第1位置X1は、処理液(エッチング液)の吐出開始位置を示し、第9位置X9は、処理液(エッチング液)の吐出停止位置を示す。第1位置X1における第1ノズル41の移動速度は0mm/sであり、第9位置X9における第1ノズル41の移動速度は0mm/sである。従って、第1位置X1は、スキャン処理の開始位置であり、第9位置X9は、スキャン処理の終了位置である。また、第1位置X1は、第1ノズル41の移動開始位置であり、第9位置X9は、第1ノズル41の移動終了位置である。なお、以下の説明において、スキャン処理時における第1ノズル41の移動速度を「スキャン速度」と記載する場合がある。
第1ノズル41は、スキャン処理中に、第1位置X1と第9位置X9との間の各中間位置(第2位置X2から第8位置X8までの各位置X2~X8)を通過する。
続いて図7を参照して、スキャン速度情報について説明する。スキャン速度情報は、スキャン処理時における第1ノズル41の移動速度の設定値(スキャン速度の設定値)を示す。図7は、本実施形態のスキャン速度情報を示す図である。詳しくは、図7は、図6を参照して説明した第1ノズル41の移動区間に含まれる各位置X1~X9と、スキャン速度の設定値との関係を示す。
図7において、上の欄は、第1ノズル41の移動区間に含まれる各位置X1~X9を示し、下の欄は、スキャン速度の設定値を示す。第1ノズル41の移動区間に含まれる各位置X1~X9は、基板Wの半径位置で規定される。詳しくは、上の欄は、第1ノズル41の移動区間の開始位置(第1ノズル41の移動開始位置)、第1ノズル41の移動区間の終了位置(第1ノズル41の移動終了位置)、及び、第1ノズル41の移動区間の開始位置と終了位置との間の複数の中間位置(第1ノズル41が通過する複数の位置)を示す。
図7に示すように、スキャン速度情報は、第1ノズル41の移動区間に含まれる各位置X1~X9ごとに、スキャン速度の設定値を示す。以下、第1ノズル41の移動区間に含まれる各位置X1~X9を、「速度設定位置」と記載する場合がある。本実施形態では、スキャン速度情報は、9箇所の速度設定位置を示す。なお、スキャン速度情報は、数十箇所以上(例えば、20箇所以上)の速度設定位置を示してもよい。
具体的には、各速度設定位置は、図6を参照して説明した第1位置X1から第9位置X9までの各位置X1~X9に対応する。なお、図6を参照して説明したように、第1ノズル41の移動区間の開始位置(第1位置X1)において設定されるスキャン速度は、0[mm/s]であり、第1ノズル41の移動区間の終了位置(第9位置X9)において設定されるスキャン速度は、0[mm/s]である。なお、第1ノズル41の移動区間の一端(第1位置X1)および他端(第9位置X9)に到達すると、スキャン処理により第1ノズル41は折り返し移動してもよい。
図3及び図4を参照して説明した制御装置101は、スキャン速度情報に基づいて、ノズル移動機構6(第1駆動部65)を制御する。その結果、第1ノズル41は、各速度設定位置でのスキャン速度が、スキャン速度情報で規定されているスキャン速度となるように、図6を参照して説明した軌跡TJ1に沿って移動する。
続いて図8を参照して、測定部8による厚み測定処理を説明する。図8は、本実施形態の厚み測定処理を示す平面図である。図8に示すように、厚み測定処理とは、平面視において、対象物TGに対する厚みの測定位置が円弧状の軌跡TJ2を形成するように光学プローブ81が移動しながら、対象物TGの厚みを測定する処理のことである。軌跡TJ2は、基板Wのエッジ部EGと基板Wの中心部CTとを通る。エッジ部EGは、基板Wの周縁部を示す。厚み測定処理は、基板Wの回転中に実行される。
具体的には、光学プローブ81は、平面視において、基板Wの中心部CTとエッジ部EGとの間を移動しながら、対象物TGに向けて光を出射する。この結果、軌跡TJ2に含まれる各測定位置において、対象物TGの厚みが測定される。各測定位置は、基板Wの各半径位置に対応している。従って、厚み測定処理により、基板Wの径方向RDにおける対象物TGの厚みの分布が測定される。なお、対象物TGの表面形状(プロファイル)は、対象物TGの厚みの分布を示す形状と一致する。
次に、図9を参照して、制御装置101を説明する。図9は、本実施形態の制御装置101のブロック図である。図9に示すように、制御装置101は、第1制御部102と、記憶部103と、入力部104と、表示部105とを有する。
第1制御部102は、プロセッサーを有する。第1制御部102は、例えば、CPU(Central Processing Unit)、又は、MPU(Micro Processing Unit)を有する。あるいは、第1制御部102は、汎用演算機又は専用演算機を有してもよい。第1制御部102は、NPU(Neural Network Processing Unit)をさらに有してもよい。
記憶部103は、データ及びコンピュータプログラムを記憶する。記憶部103は、主記憶装置を有する。主記憶装置は、例えば、半導体メモリである。記憶部103は、補助記憶装置をさらに有してもよい。補助記憶装置は、例えば、半導体メモリ及び/又はハードディスクドライブである。記憶部103はリムーバブルメディアを有してもよい。第1制御部102は、記憶部103が記憶しているデータ及びコンピュータプログラムに基づいて、基板処理装置100の各部の動作を制御する。
具体的には、記憶部103は、レシピ131と、制御プログラム132とを記憶する。レシピ131は、基板Wの処理内容及び処理手順を規定する。また、レシピ131は、処理条件及び各種の設定値を示す。
第1制御部102は、レシピ131及び制御プログラム132に基づいて、基板処理装置100の各部の動作を制御する。本実施形態では、第1制御部102は、後述する複数の推定処理結果に基づく画像を表示部105に表示させる。また、第1制御部102は、複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板Wを処理する際の実行処理条件として設定する。
入力部104は、作業者からの入力を受け付けて、入力結果を示す情報を第1制御部102に出力する。例えば、入力部104は、後述する複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を選択する入力を受け付ける。また、例えば、入力部104は、後述する所定の処理条件を含む入力条件範囲の入力を受け付ける。また、例えば、入力部104は、後述する分布図の変数として、パラメータの種類の入力を受け付ける。なお、推定処理結果、入力条件範囲、及び、分布図については、後述する。また、入力部104は、例えば、タッチパネル及びポインティングデバイスを含む。タッチパネルは、例えば、表示部105の表示面に配置される。入力部104と表示部105とは、例えば、グラフィカルユーザーインターフェースを構成する。
表示部105は各種情報を表示する。本実施形態において、表示部105は、例えば、各種の設定画面(入力画面)を表示する。また、表示部105は、例えば、分布図を表示する。また、表示部105は、例えば、分布図の変数として、パラメータの種類をユーザが選択可能な選択部を表示する。また、表示部105は、例えば、分布図上に(ユーザが)選択可能な複数のマークを表示する。マークは、第1マーク、及び、第1マークとは異なる第2マークを含む。また、表示部105は、分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に、複数の第1マークを表示する。また、表示部105は、分布図のうち、所定範囲外に、複数の第2マークを表示する。つまり、複数のマークは、分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に表示される第1マークと、分布図のうち、所定領域外に表示され、第1マークとは異なる第2マークとを含む。なお、ユーザが選択可能な選択部及びマークについては、後述する。また、表示部105は、例えば、液晶ディスプレイ又は有機EL(electroluminescence)ディスプレイを有する。
次に、図10を参照して、サーバ200を説明する。図10は、本実施形態のサーバ200のブロック図である。図10に示すように、サーバ200は、第2制御部210と、記憶部220とを有する。なお、サーバ200は、制御装置101と同様、入力部と表示部とをさらに有してもよい。
第2制御部210は、プロセッサーを有する。第2制御部210は、例えば、CPU、又は、MPUを有する。あるいは、第2制御部210は、汎用演算機又は専用演算機を有してもよい。第2制御部210は、NPUをさらに有してもよい。
記憶部220は、データ及びコンピュータプログラムを記憶する。記憶部220は、主記憶装置を有する。主記憶装置は、例えば、半導体メモリである。記憶部220は、補助記憶装置をさらに有してもよい。補助記憶装置は、例えば、半導体メモリ及び/又はハードディスクドライブである。記憶部220はリムーバブルメディアを有してもよい。第2制御部210は、記憶部220が記憶しているデータ及びコンピュータプログラムに基づいて、サーバ200の各部の動作を制御する。さらに、第2制御部210は、記憶部220が記憶しているデータ及びコンピュータプログラムに基づいて、各種演算処理を実行する。
具体的には、記憶部220は、複数の学習済モデルMと、制御プログラム231とを記憶する。学習済モデルMは、対象物TGの種類と処理液(エッチング液)の種類との組み合わせ毎に設けられている。学習済モデルMは、後述する学習装置900(図2参照)で生成された後、サーバ200に送信されて格納される。
第2制御部210は、制御プログラム231に基づいて、演算処理を実行し、推定処理結果を制御装置101に出力する。具体的には、記憶部220は、基板Wの処理条件を示す処理条件情報232を記憶する。第2制御部210は、学習済モデルMに対して、複数の処理条件を入力して、複数の推定処理結果を取得する。学習済モデルMは、入力データである処理条件に基づいて推定処理結果を出力する。記憶部220は、推定処理結果を示す推定処理結果情報233を記憶する。第2制御部210は、推定処理結果を制御装置101に出力する。
処理条件の各々は、例えば、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件を少なくとも含む。言い換えると、処理条件は、複数のパラメータを有する。複数のパラメータは、例えば、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件を少なくとも含む。つまり、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件は、パラメータの種類を示す。濃度条件は、基板Wに供給する処理液(エッチング液)の濃度を示す。温度条件は、基板Wに供給する処理液の温度を示す。供給量条件は、第1ノズル41が各位置において基板Wに供給する処理液の供給量を示す。回転数条件は、基板Wの回転数を示す。速度条件は、第1ノズル41の位置と、各位置における速度とを示す。また、処理条件の各々は、基板Wの下面に対する処理液(例えば、純水)の吐出の有無を含んでもよい。
このように、処理条件の各々は、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件を少なくとも含む。従って、パラメータの種類の多い処理条件を用いて推定処理結果を取得できるので、精度の高い推定処理結果を取得できる。
推定処理結果は、所定の処理条件で基板Wを処理液(エッチング液)で処理した際に得られると推定される処理結果である。推定処理結果は、例えば、処理量プロファイルのように、処理条件から直接的に推定される結果であってもよいし、処理量プロファイルと目標の処理量プロファイルとの差のように、処理条件と目標値とから推定される結果であってもよい。
推定処理結果は、例えば、処理量の均一性、又は、処理量プロファイルの一致度を含む。処理量の均一性とは、基板Wの面内における処理量の均一性である。例えば、基板Wの径方向の位置にかかわらず処理量が一定である場合、処理量の均一性が高いと言える。また、処理量プロファイルの一致度とは、目標の処理量プロファイルと、学習済モデルMにより得られる処理量プロファイルとの間の、基板Wの径方向の各位置における処理量の一致度であり、例えば、処理量の差の平均値に基づいて算出される。
記憶部220は、学習済モデルMを用いて取得された複数の推定処理結果を記憶する。記憶部220は、推定処理結果を、対応する処理条件に関連付けて記憶する。
次に、図11及び図12を参照して、学習装置900(図2参照)を説明する。図11は、本実施形態の学習装置900のブロック図である。学習装置900は、機械学習を実行する。機械学習は、例えば、教師あり学習、教師なし学習、半教師あり学習、強化学習、及び深層学習のうちのいずれかである。図11に示すように、学習装置900は、制御部910と、記憶部920と、入力部930と、表示部940とを有する。
制御部910は、プロセッサーを有する。制御部910は、例えば、CPU、又は、MPUを有する。あるいは、制御部910は、汎用演算機又は専用演算機を有してもよい。制御部910は、NPUをさらに有してもよい。
記憶部920は、データ及びコンピュータプログラムを記憶する。記憶部920は、主記憶装置を有する。主記憶装置は、例えば、半導体メモリである。記憶部920は、補助記憶装置をさらに有してもよい。補助記憶装置は、例えば、半導体メモリ及び/又はハードディスクドライブである。記憶部920はリムーバブルメディアを有してもよい。制御部910は、記憶部920が記憶しているデータ及びコンピュータプログラムに基づいて、学習装置900の各部の動作を制御する。さらに、制御部910は、記憶部920が記憶しているデータ及びコンピュータプログラムに基づいて、機械学習を実行する。
具体的には、記憶部920は、学習用データセット931と、制御プログラム932と、学習用プログラム933と、学習済モデルMとを記憶する。
図12は、本実施形態の学習用データセット931を示す図である。図12に示すように、学習用データセット931は、複数の学習用処理条件を示す情報♯A1~♯Anと、学習用処理条件で基板Wを実際に処理した際の複数の処理結果を示す情報DA1~DAnとを含む。なお、「n」は、正の整数である。複数の学習用処理条件と複数の処理結果とは関連付けられている。学習用データセット931は、対象物TGの種類と処理液(エッチング液)の種類との組み合わせ毎に設けられる。例えば、対象物TGの種類と処理液の種類との組み合わせが10通りある場合、学習用データセット931は10個ある。
なお、学習用処理条件で基板Wを処理した際の複数の処理結果は、基板Wを実際に処理した際の処理結果に加え、データ拡張により水増した処理結果や、シミュレーションに基づく処理結果を含んでもよい。
学習用処理条件は、基板Wを処理するための条件である。本実施形態では、学習用処理条件の各々は、例えば、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件を少なくとも含む。言い換えると、学習用処理条件は、複数のパラメータを有する。複数のパラメータは、例えば、濃度条件、温度条件、供給量条件、回転数条件、及び速度条件を少なくとも含む。濃度条件、温度条件、供給量条件、回転数条件、及び速度条件は、パラメータの種類を示す。濃度条件は、基板Wに供給する処理液の濃度を示す。温度条件は、基板Wに供給する処理液の温度を示す。供給量条件は、第1ノズル41が各位置において基板Wに供給する処理液の供給量を示す。回転数条件は、基板Wの回転数を示す。速度条件は、第1ノズル41の位置と、各位置における速度とを示す。また、学習用処理条件の各々は、基板Wの下面に対する処理液の吐出の有無を含んでもよい。なお、基板Wの下面に対する処理液(例えば、純水)の吐出の有無は、基板Wの温度に影響を及ぼす。
図11に示すように、制御部910は、学習用プログラム933を用いて、学習用データセット931に基づいて機械学習された学習済モデルMを生成する。1つの学習済モデルMは、1つの学習用データセット931を用いて生成される。学習用プログラム933は、学習用データセット931の中から一定の規則を見出し、その規則を表現するモデル(学習済モデルM)を生成するアルゴリズムを実行するためのプログラムである。
学習済モデルMは、通常、高次元の関数を用いて構成される。本実施形態では、制御部910は、学習済モデルMを低次元化処理してもよい。つまり、本実施形態の学習済モデルMは、低次元の関数を用いて構成されてもよい。このように構成すれば、学習済モデルMを用いて複数の推定処理結果を取得する際の演算時間を短縮できる。例えば、何十時間かかる演算を数秒に抑えることが可能である。
制御部910は、生成した学習済モデルMを記憶部920に記憶させる。また、制御部910は、学習済モデルMを、設定システム500(ここでは、サーバ200)に送信する。
入力部930は、作業者からの入力を受け付ける。例えば、入力部930は、機械学習の実行指示の入力を受け付ける。入力部930は、例えば、タッチパネル及びポインティングデバイスを含む。タッチパネルは、例えば、表示部940の表示面に配置される。入力部930と表示部940とは、例えば、グラフィカルユーザーインターフェースを構成する。
表示部940は各種情報を表示する。本実施形態において、表示部940は、例えば、各種のエラー画面、及び各種の設定画面(入力画面)を表示する。表示部940は、例えば、液晶ディスプレイ又は有機ELディスプレイを有する。
次に、図13を参照して、制御装置101の表示部105が表示する画像について説明する。図13は、本実施形態の表示部105が表示する画像の一例を示す図である。ここでは、複数の推定処理結果に基づく画像について詳細に説明する。
図13に示すように、制御装置101の表示部105は、複数の推定処理結果に基づく画像を表示する。画像は、サーバ200から送信された複数の推定処理結果と、複数の推定処理結果に対応する処理条件とを含む。つまり、複数の推定処理結果は、複数の処理条件に関連付けされた状態で画像として表示される。
本実施形態では、表示部105に表示される画像は、分布図を含む。分布図は、1種類以上のパラメータと、推定処理結果とを用いて表示される。言い換えると、分布図は、1種類以上のパラメータと推定処理結果とを変数として表示される。なお、表示部105は、分布図ではなく、例えばグラフ又は表を表示してもよい。
また、本実施形態では、分布図は、2種類のパラメータと、推定処理結果とを用いて3次元で表示される。なお、後述するように、分布図の変数としてのパラメータは、ユーザによって選択される。また、分布図は、1種類のパラメータと、推定処理結果とを用いて表示されてもよいし、3種類以上のパラメータと、推定処理結果とを用いて表示されてもよい。
また、本実施形態では、表示部105に表示される画像は、応答曲面Cを含む。応答曲面Cは、分布図(図13の黒円マーク及び黒四角マークを参照)の各位置の値に基づいて求められる。応答曲面Cは、分布図の各位置の間を補間することによって生成されてもよいし、分布図の各位置に基づいて生成される近似関数から生成されてもよい。なお、本実施形態では、表示部105は、分布図及び応答曲面Cの両方を表示するが、分布図及び応答曲面Cの一方のみを表示してもよい。
引き続き図13を参照して、表示部105に表示される分布図について詳細に説明する。分布図は、例えば、2種類のパラメータとしての「回転数」及び「供給量」と、推定処理結果としての「処理量の均一性」とを用いて表示される。なお、図13の分布図では、「処理量の均一性」が向上するにしたがってz軸方向の値が小さくなる。
分布図の変数としての「回転数」「供給量」及び「処理量の均一性」は、ユーザによって設定される。ユーザが分布図の変数を選択し直すと、表示部105には、新たに選択された変数に基づく分布図及び応答曲面Cが表示される。2種類のパラメータの組合せは、特に限定されるものではないが、例えば、「回転数」及び「供給量」の組合せ、「供給量」及び「処理液の濃度」の組合せ、「供給量」及び「基板Wの下面に対する処理液の吐出の有無」の組合せ、「回転数」及び「処理液の温度」の組合せ、「回転数」及び「基板Wの下面に対する処理液の吐出の有無」の組合せ、「基板Wの下面に対する処理液の吐出の有無」及び「処理液の温度」の組合せ等が挙げられる。
また、図13では、例えば、推定処理結果が所定の閾値以上の領域のみ、分布図及び応答曲面Cが表示されている。なお、分布図及び応答曲面Cの表示領域は、適宜設定される。分布図及び応答曲面Cは、例えば、推定処理結果が所定の閾値未満の領域のみ、又は、所定の範囲(第1の閾値以上、第2の閾値未満)のみ、表示されてもよい。また、分布図及び応答曲面Cは、推定処理結果の値にかかわらず全ての領域について表示されてもよい。
また、例えば、分布図の変数として、「回転数」「供給量」及び「処理量の均一性」が設定される場合、処理条件としてのパラメータのうち「回転数」及び「供給量」以外のパラメータ(例えば、「処理液の濃度」「処理液の温度」及び「基板Wの下面に対する処理液の吐出の有無」)の組合せの数だけ、推定処理結果(以下、組合せの数の推定処理結果と記載することがある)が得られる。つまり、ある値の「回転数」及びある値の「供給量」の1つの組合せに対して、推定処理結果の値は、上記組合せの数だけ得られる。このため、本実施形態では、第1制御部102は、例えば、組合せの数の推定処理結果の平均値を算出することによって、ある値の「回転数」及びある値の「供給量」の1つの組合せに対して1つの推定処理結果を取得する。なお、「回転数」及び「供給量」の1つの組合せに対して1つの推定処理結果を取得する方法は、上記に限定されるものではない。例えば、組合せの数の推定処理結果のうち、目標の処理結果に近い所定数の推定処理結果を抽出し、その所定数の推定処理結果の平均値を、上記1つの推定処理結果として取得してもよい。また、例えば、組合せの数の推定処理結果のうち、目標の処理結果を含む所定範囲の推定処理結果を抽出し、その所定範囲の推定処理結果の平均値を、上記1つの推定処理結果として取得してもよい。なお、本実施形態では、組合せの数の推定処理結果の少なくとも一部を平均することにより1つの推定処理結果を取得し、その取得した結果を表示する例について示したが、本発明はこれに限らない。例えば、組合せの数の推定処理結果の一部又は全部を表示してもよい。
また、分布図及び応答曲面Cは、推定処理結果に対するパラメータの影響度を表す。具体的には、例えば、図13の点A1と点A3とを参照すると、点A1周辺では、パラメータの数値を変化させた場合の処理量の均一性の変化量が比較的少なく、点A3周辺では、パラメータ(特に回転数)の数値を変化させた場合の処理量の均一性の変化量が比較的多くなっている。つまり、点A1に対応する処理条件で基板Wを処理した場合、「回転数」及び「供給量」が多少ばらついたとしても、「処理量の均一性」が確保されることが読み取れる。なお、処理量の均一性の変化量は、応答曲面Cの傾きから読み取ることができる。また、以下で説明するマークに関連付けて、そのマークの位置における応答曲面Cの傾きが表示されてもよい。また、マークをユーザが選択することによって、そのマークの位置における応答曲面Cの傾きが表示されてもよい。なお、本実施形態では、図13のように分布図及び応答曲面Cを表示した上で、応答曲面Cの傾きを算出しているが、この限りではない。例えば、所定の処理条件を含む入力条件範囲に含まれる複数の処理条件を入力し、複数の推定処理結果を取得したのち、所定の点における傾きを算出してもよい。
また、表示部105は、分布図上にユーザが選択可能な複数のマークを表示する。言い換えると、分布図は、ユーザが選択可能なマークを含む。マークは、第1マークと、第1マークとは異なる第2マークとを含む。図13では、第1マークは、黒円で示し、第2マークは、黒四角で示す。また、第1マークは、点A1~点A3に対応し、第2マークは、点B1~点B5に対応する。
表示部105は、分布図のうち、目標の処理結果に対応する位置を含む所定範囲R内に、複数の第1マーク(点A1~点A3)を表示する。表示部105は、分布図のうち、所定範囲R外に、第2マーク(点B1~点B5)を表示する。目標の処理結果は、例えば、処理量の平均値であってもよいし、処理量の均一性であってもよいし、処理量プロファイルの一致度であってもよい。本実施形態では、所定範囲Rは、図13の分布図のうちの下側の領域である。つまり、第1マーク(点A1~点A3)は、第2マーク(点B1~点B5)に比べて、目標の処理結果に近い処理結果が得られる処理条件であることを示している。なお、図13では、第1マークを3個、第2マークを5個表示しているが、第1マーク及び第2マークの数は、特に限定されない。例えば、第1マークを10個程度、第2マーク20個程度表示してもよい。例えば、目標の処理結果に近い上位数個の処理結果に対応する処理条件を第1マークとして表示してもよい。
上記のように、表示部105は、分布図のうち、目標の処理結果に対応する位置を含む所定範囲R内に、複数の第1マーク(点A1~点A3)を表示する。表示部105は、分布図のうち、所定範囲R外に、第2マーク(点B1~点B5)を表示する。従って、ユーザは、目標の処理結果に近い処理結果が得られる処理条件を容易に選択できる。
次に、図14~図19を参照して、基板処理システム1000を用いた基板処理方法について説明する。図14は、本実施形態の基板処理システム1000を用いた基板処理方法を示すフローチャートである。
図14に示すように、基板処理システム1000を用いた基板処理方法は、ステップS2及びステップS3を少なくとも含む。本実施形態では、基板処理方法は、ステップS1~ステップS3を含む。
ステップS1は、処理前の対象物TGの厚みを測定する工程を含む。ステップS2は、基板処理条件を設定する工程を含む。ステップS3は、基板Wを処理する工程を含む。なお、ステップS1~ステップS3における基板Wに対する処理は、エッチング処理である。以下、ステップS1~ステップS3について詳細に説明する。
まず、図15を参照して、処理前の対象物TGの厚みを測定する工程(ステップS1)について説明する。図15は、本実施形態の処理前の対象物TGの厚みを測定する方法を示すフローチャートである。処理前の対象物TGの厚みを測定する工程は、ステップS11及びステップS12を含む。
図15に示す処理は、作業者が入力部104を操作することにより開始する。このとき、複数のロードポートLPのうちの少なくとも1つに、複数枚の基板Wが収容されている。
第1制御部102は、基板Wがチャンバー2内に搬入されるように、インデクサーロボットIRと、センターロボットCRとを制御する。第1制御部102は、チャンバー2内に搬入された基板Wを、スピンチャック3に保持させる(ステップS11)。
第1制御部102は、基板Wがスピンチャック3に保持されると、測定部8に、基板Wに含まれる対象物TGの厚みの分布を測定させる(ステップS12)。ここで測定される対象物TGの厚みの分布は、処理前の対象物TGの厚みの分布を示す。
ステップS11及びステップS12で取得した対象物TGの厚みの分布と、基板Wの目標の表面プロファイルとに基づいて、目標の処理プロファイルを得ることが可能となる。
次に、図16~図18を参照して、基板処理条件を設定する工程(ステップS2)について説明する。図16は、本実施形態の基板処理条件を設定する方法を示すフローチャートである。基板処理条件を設定する工程は、ステップS24、S26及びS28を含む。本実施形態では、基板処理条件を設定する工程は、ステップS21~S28を含む。
図16に示すように、ステップS21において、ユーザは、入力部104を操作することにより、対象物TGの種類及び処理液(エッチング液)の種類を選択する。これにより、第1制御部102によって、対象物TGの種類及び処理液の種類が設定される。
図17は、本実施形態の表示部105が表示する画像の一例を示す図である。具体的には、ステップS21では、図17に示すように、表示部105には、例えば、対象物TGの種類の選択するためのプルダウンメニューi1と、処理液の種類を選択するためのプルダウンメニューi2とが表示される。ユーザは、入力部104を操作することにより、プルダウンメニューi1及びプルダウンメニューi2から対象物TGの種類及び処理液の種類を選択する。なお、ユーザは、プルダウンメニューi1及びプルダウンメニューi2を用いず、キーボード等を用いて対象物TGの種類及び処理液の種類を入力してもよい。また、ユーザが基板Wのロット番号等を入力することにより、第1制御部102が、基板Wのロット番号等に基づいて対象物TGの種類及び処理液の種類を設定してもよい。
図16に示すステップS22において、例えば、ユーザは、入力部104を操作することにより、入力条件範囲を設定する。入力条件範囲は、後のステップS24において、学習済モデル23に入力する処理条件の範囲である。
入力条件範囲は、所定の処理条件を含む。所定の処理条件は、例えば、ユーザにより選択された対象物TGの種類及び処理液の種類で、過去に処理したときの処理条件であってもよい。また、所定の処理条件は、例えば、ユーザが過去の経験に基づいて決めた処理条件であってもよい。
また、入力条件範囲は、処理条件の複数のパラメータの各々に対して設定される。各パラメータの条件範囲は、例えば、所定の処理条件の値を中心として所定範囲で設定されてもよい。また、入力条件範囲は、ユーザが入力することにより第1制御部102が設定してもよいし、第1制御部102が所定の処理条件に基づいて自動的に設定してもよい。
図18は、本実施形態の表示部105が表示する画像の一例を示す図である。具体的には、ステップS22では、図18に示すように、表示部105には、例えば、各パラメータの入力条件範囲の下限値と上限値とを入力するための入力欄i3が表示される。例えば、ユーザは、入力部104を用いて入力欄i3に数値を入力する。
ステップS23において、対象物TGの種類情報、処理液の種類情報、及び、入力条件範囲情報は、制御装置101からサーバ200に送信される。対象物TGの種類情報は、対象物TGの種類を示す情報である。処理液の種類情報は、処理液の種類を示す情報である。入力条件範囲情報は、入力条件範囲を示す情報である。
ステップS24において、第2制御部210は、学習済モデル23に対して、複数の処理条件を入力して、複数の推定処理結果を取得する。学習済モデル23に対して入力される複数の処理条件は、入力条件範囲に含まれる処理条件である。
具体的には、ステップS24において、第2制御部210は、対象物TGの種類情報及び処理液の種類情報に基づいて、複数の学習済モデル23から1つの学習済モデル23を選択する。つまり、第2制御部210は、ステップS21において選択された対象物TGの種類及び処理液の種類に対応する1つの学習済モデル23を選択する。
そして、第2制御部210は、学習済モデル23に対して、入力条件範囲に含まれる処理条件を入力し、推定処理結果を取得する。このとき、第2制御部210は、例えばループ演算により、複数の推定処理結果を取得する。具体的には、各パラメータには、数個から数十個の入力すべき値が含まれる。入力すべき値は、各パラメータにおける入力条件範囲の下限値から上限値までの値である。処理液の温度パラメータであれば、例えば、数度、5度、又は、10度ごとに設定される。これにより、入力条件範囲に含まれる各パラメータの入力すべき値の組合せの数は、例えば、数百個から数千個になる。そして、組合せの数と同じ数の処理条件が、学習済モデル23に入力され、同数の推定処理結果が取得される。取得された複数の推定処理結果は、記憶部220に記憶される。
ステップS25において、複数の推定処理結果情報は、サーバ200から制御装置101に送信される。複数の推定処理結果情報は、対応する処理条件情報に関連付けて、サーバ200から制御装置101に送信される。
ステップS26において、第1制御部102は、複数の推定処理結果に基づく画像を表示部105に表示させる。具体的には、図18に示すように、表示部105には、分布図の変数として「処理量の均一性」を選択するためのボタンi4と、分布図の変数として「処理量プロファイルの一致度」を選択するためのボタンi5とが表示される。ユーザは、ボタンi4又はボタンi5をクリックすることによって、「処理量の均一性」又は「処理量プロファイルの一致度」を選択する。
また、表示部105には、分布図の変数としてのパラメータの種類を選択するためのプルダウンメニューi6及びプルダウンメニューi7が表示される。ユーザは、プルダウンメニューi6及びi7を用いて、分布図の変数としてのパラメータの種類を選択する。なお、プルダウンメニューi6及びi7は、本発明の「選択部」の一例である。
そして、第1制御部102は、ユーザにより選択された変数の種類を用いて、3次元の分布図(図13参照)を表示部105に表示させる。
なお、ユーザは、分布図の表示の後に、分布図の変数を変更してもよい。これにより、ユーザは、変数の異なる複数の分布図を確認できる。
また、表示部105は、分布図上にユーザが選択可能なマーク(第1マーク及び第2マーク)を表示する。
ステップS27において、ユーザは、入力部104を用いて、複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を選択する。具体的には、ユーザは、表示部105に表示された分布図上の第1マーク(点A1~点A3)の1つを選択する。つまり、ユーザは、第1マーク(点A1~点A3)に対応する処理条件の1つを、実行処理条件として選択する。例えば、図13の第1マーク(点A1)を選択すれば、処理液の供給量を抑えることが可能であるとともに、回転数がばらついた場合であっても処理量の均一性を確保することが可能である。
ステップS28において、第1制御部102は、ユーザによって選択された1つのマークに対応する1つの処理条件を実行処理条件として設定する。
このようにして、基板処理条件が設定される。
なお、本実施形態では、ユーザが、入力部104を操作することにより、入力条件範囲を設定することにより入力条件範囲を定めていたが、この限りでない。例えば、図18のボタンi4又はボタンi5をクリックし、分布図の変数が選択されることにより、ベイズ最適化などの最適化アルゴリズムより入力条件範囲が設定されてもよい。これにより、入力条件範囲を定める煩わしさがなくなるため、ユーザビリティ性が向上する。
以上、図16~図18を参照して基板処理条件を設定する方法について説明した。本実施形態では、上記のように、第2制御部210は、学習済モデルMに対して複数の処理条件を入力して、複数の推定処理結果を取得する(ステップS24)。そして、第1制御部102は、複数の推定処理結果に基づく画像を表示部105に表示させる(ステップS26)。また、第1制御部102は、複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板Wを処理する際の実行処理条件として設定する(ステップS28)。従って、ユーザは、処理条件のパラメータを変えることによって、推定処理結果がどのように変化するかを容易に把握できる。よって、量産に適した処理条件を実行処理条件として設定することができる。具体的には、例えば、プロセスウインドウの広い処理条件で基板Wを処理することができるため、安定して基板Wを処理することができる。また、例えば、基板Wを処理する際の処理液(エッチング液)の使用量を抑えることができる。
また、上記のように、第2制御部210は、学習済モデルMに対して、入力条件範囲に含まれる複数の処理条件を入力して、複数の推定処理結果を取得する(ステップS24)。従って、入力条件範囲以外の処理条件も学習済モデルMに入力する場合に比べて、学習済モデルMに入力する処理条件の数を大幅に低減することが可能である。よって、複数の推定処理結果を取得する際の第2制御部210の演算時間が長くなることを大幅に抑制することが可能である。特に、処理条件のパラメータの種類が多い場合には、処理条件の数が指数関数的に増加するため、入力する処理条件の範囲を設定することは、特に有効である。
また、上記のように、ユーザは、入力部104を用いて、表示部105に表示された画像に基づいて1つの処理条件を選択する(ステップS27)。そして、第1制御部102は、選択された1つの処理条件を実行処理条件として設定する(ステップS28)。従って、例えば、プロセスウインドウの広い処理条件、又は、処理液の使用量を抑えることが可能な処理条件などの、所望の処理条件によって基板Wを処理できる。
また、上記のように、第1制御部102は、ユーザによって指定された2種類のパラメータと、推定処理結果とを用いて分布図を3次元で表示させる。従って、例えば、2次元の分布図を表示する場合に比べて、各パラメータが推定処理結果に与える影響の程度(例えば、処理量の均一性の変化量)を視覚的に把握しやすくなる。
また、上記のように、表示部105は、分布図の変数としてのパラメータの種類をユーザが選択可能な選択部(ここでは、プルダウンメニューi6及びi7)を表示する。従って、分布図の変数としてのパラメータを容易に選択できるので、量産に適した処理条件を容易に把握できる。
また、上記のように、表示部105は、分布図上にユーザが選択可能なマークを表示する。そして、入力部104を用いてユーザにより複数のマークから1つのマークが選択される(ステップS27)。その後、第1制御部102は、ユーザによって選択された1つのマークに対応する1つの処理条件を実行処理条件として設定する(ステップS28)。従って、ユーザは、分布図を視覚的に確認しながら分布図上のある位置のマークを選択することによって、選択した位置に対応する処理条件を実行処理条件として選択できる。よって、ユーザによる確認作業及び選択作業を容易化できる。
次に、図19を参照して、基板Wを処理する工程(ステップS3)について説明する。図19は、本実施形態の基板Wを処理する方法を示すフローチャートである。基板Wを処理する工程は、ステップS31~ステップS33を含む。
図19に示すように、ステップS31において、第1制御部102は、スピンモータ部5を用いて、スピンチャック3が保持する基板Wを回転させる。その後、第1制御部102は、第1ノズル41から基板Wに向けて処理液(エッチング液)が供給されるように、ノズル移動機構6及びエッチング液供給部4を制御する。この結果、基板Wがエッチングされる。
ステップS32において、第1制御部102は、リンス液供給部7を制御して、基板Wにリンス液を供給することにより、基板Wから処理液(エッチング液)を除去する。具体的には、処理液がリンス液によって基板Wの外方に押し流され、基板Wの周囲に排出される。この結果、基板W上の処理液の液膜が、リンス液の液膜に置換される。
ステップS33において、第1制御部102は、スピンモータ部5を制御して、基板Wを乾燥させる。
以上のようにして、基板Wに対する処理が終了する。
(第2実施形態)
図20を参照して、本発明の第2実施形態の基板処理システム1000を説明する。図20は、本発明の第2実施形態の表示部105が表示する画像の一例を示す図である。第2実施形態では、第1実施形態とは異なり、分布図を2次元で表示する例について説明する。
図20に示すように、分布図は、2次元で表示される。分布図は、例えば、変数として「処理量」及び「処理量の均一性」を用いて表示される。なお、分布図の変数は、特に限定されるものではなく、第1実施形態と同様、処理条件のパラメータを用いてもよい。
本実施形態では、表示部105は、分布図の次元を2次元と3次元とに切り替えるためのボタンi8を表示する。ユーザは、入力部104を用いてボタンi8をクリックすることによって、表示部105に2次元の分布図を表示したり、3次元の分布図を表示したりすることができる。
また、表示部105は、分布図上にユーザが選択可能な複数のマークを表示する。本実施形態では、マークは、3つの第1マーク(点A4~点A6)を含む。例えば、ユーザは、処理量の均一性を重視する場合、点A4に対応する処理条件を選択する。また、例えば、ユーザは、目標の処理量(例えば3.05nm)を重視する場合、点A5に対応する処理条件を選択する。
本実施形態では、処理条件のパラメータを用いることなく、例えば図20に示したように、推定処理結果に基づく変数(ここでは、「処理量」及び「処理量の均一性」)を用いて分布図を表示することによって、ユーザが目標の処理結果を重視する場合に、処理条件を選択しやすくなる。
第2実施形態のその他の構成、基板処理方法、及び、その他の効果は、第1実施形態と同様である。
以上、本発明の実施形態について図面を参照しながら説明した。但し、本発明は、上記の実施形態に限られるものではなく、その要旨を逸脱しない範囲で種々の態様において実施することが可能である。また、上記の実施形態に開示される複数の構成要素は適宜改変可能である。例えば、ある実施形態に示される全構成要素のうちのある構成要素を別の実施形態の構成要素に追加してもよく、又は、ある実施形態に示される全構成要素のうちのいくつかの構成要素を実施形態から削除してもよい。
図面は、発明の理解を容易にするために、それぞれの構成要素を主体に模式的に示しており、図示された各構成要素の厚さ、長さ、個数、間隔等は、図面作成の都合上から実際とは異なる場合もある。また、上記の実施形態で示す各構成要素の構成は一例であって、特に限定されるものではなく、本発明の効果から実質的に逸脱しない範囲で種々の変更が可能であることは言うまでもない。
例えば、上記の第1実施形態及び第2実施形態では、基板Wは半導体ウエハであったが、基板Wは、半導体ウエハに限定されない。例えば、基板Wは、液晶表示装置用基板、電界放出ディスプレイ(Field Emission Display:FED)用基板、光ディスク用基板、磁気ディスク用基板、光磁気ディスク用基板、フォトマスク用基板、セラミック基板、又は、太陽電池用基板であり得る。
また、上記の第1実施形態及び第2実施形態では、第1制御部102と第2制御部210とが別々の装置に配置される例について説明したが、本発明はこれに限らず、同じ装置に配置されてもよい。例えば、第1制御部102及び第2制御部210は、制御装置101に配置されてもよい。また、第1制御部102及び第2制御部210は、1つの制御部によって構成されてもよい。
また、上記の第1実施形態及び第2実施形態では、ユーザが対象物TGの種類及び処理液の種類を選択し(ステップS21)、ユーザが入力条件範囲を設定した(ステップS22)後に、第2制御部210が、学習済モデルMに対して、複数の処理条件を入力して、複数の推定処理結果を取得する(ステップS24)例について示したが、本発明はこれに限らない。例えば、予め、第2制御部210が、学習済モデルMに対して、複数の処理条件を入力して、複数の推定処理結果を取得しておいてもよい。そして、予め取得しておいた複数の推定処理結果と、ユーザが選択した対象物TGの種類及び処理液の種類とに基づいて、画像を表示してもよい。つまり、ユーザが対象物TGの種類及び処理液の種類を選択したときには、既に学習済モデルMを用いた演算が完了していてもよい。このように構成すれば、ユーザに対して、より早く画像を表示することができるため、基板処理にかかる時間を短縮できる。なお、第2制御部210が予め複数の推定処理結果を取得しておく場合、学習済モデルMに対して、より多くの処理条件を入力して推定処理結果を取得しておくことが好ましい。また、学習済モデルMに対して、例えば考え得る全ての処理条件を入力して推定処理結果を取得しておくことがより好ましい。
また、上記の第1実施形態及び第2実施形態では、学習済モデルMを用いて取得した複数の推定処理結果をサーバ200から制御装置101に送信する例について示したが、本発明はこれに限らない。例えば、ユーザが入力部104を用いて入力した情報(選択したパラメータに関する情報など)を、その都度、制御装置101からサーバ200に送信し、分布図を表示するための分布図情報をサーバ200で生成し、生成した分布図情報をサーバ200から制御装置101に送信してもよい。
また、上記の第1実施形態及び第2実施形態では、学習装置900をサーバ200及び制御装置101とは別に設ける例について示したが、本発明はこれに限らない。例えば、学習装置900をサーバ200又は制御装置101が兼ねてもよい。
また、上記の第1実施形態及び第2実施形態では、制御装置101が基板処理装置100を構成する例について示したが、本発明はこれに限らない。例えば、制御装置101は、基板処理装置100とは別に設けられてもよい。そして、制御装置101から実行処理条件に関する情報を基板処理装置100に送信してもよい。
また、上記の第1実施形態及び第2実施形態では、入力条件範囲に含まれる所定の処理条件が、例えば、過去に処理したときの処理条件、又は、ユーザが過去の経験から決めた処理条件であってもよい例について示したが、本発明はこれに限らない。例えば、目標の処理量プロファイルが入力されると所定の処理条件を出力する学習済モデルを、学習用データセット931を用いた機械学習によって生成し、その学習済モデルを用いて所定の処理条件を取得してもよい。
また、上記の第1実施形態では、3次元の分布図の変数として、処理条件の2種類のパラメータを用いる例について示したが、本発明はこれに限らない。3次元の分布図の変数として、第2実施形態と同様、推定処理結果に基づく変数を2つ以上用いて表示してもよい。
また、上記の第2実施形態では、2次元の分布図を表示したり、3次元の分布図を表示したりすることができる例について示したが、本発明はこれに限らない。例えば、表示部105は、2次元の分布図、及び、3次元の分布図の一方のみを表示してもよい。
また、上記の第1実施形態及び第2実施形態では、表示部105に表示された複数の第1マークの中から、ユーザが1つの第1マークを選択する例について示したが、本発明はこれに限らない。例えば、表示部105が複数の第1マークのうちの1つの第1マークを実行処理条件の候補として表示し、ユーザが承認してもよい。この場合も、ユーザが1つの処理条件を選択することになることは言うまでもない。
また、上記の第1実施形態及び第2実施形態では、基板処理装置100が実行する処理はエッチング処理であったが、基板処理装置100が実行する処理はエッチング処理に限定されない。例えば、処理は、成膜処理であってもよい。
本発明は、基板を処理する分野に有用である。
1 :処理ユニット
41 :第1ノズル(ノズル)
102 :第1制御部(制御部)
104 :入力部(操作部)
105 :表示部
210 :第2制御部(制御部)
220 :記憶部
500 :基板処理条件の設定システム
1000 :基板処理システム
M :学習済モデル
R :所定範囲
W :基板
i6、i7 :プルダウンメニュー(選択部)

Claims (18)

  1. 学習用処理条件、及び、前記学習用処理条件で基板を処理した際の処理結果に基づいて機械学習された学習済モデルに対して、複数の処理条件を入力して、複数の推定処理結果を取得する工程と、
    前記複数の推定処理結果に基づく画像を表示部に表示させる工程と、
    前記表示部に表示された前記画像に基づいて、前記複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板を処理する際の実行処理条件として設定する工程と
    を含む、基板処理条件の設定方法。
  2. 前記取得する工程に先立って、所定の処理条件を含む入力条件範囲を設定する工程をさらに含み、
    前記取得する工程において、前記学習済モデルに対して、前記入力条件範囲に含まれる複数の処理条件を入力して、前記複数の推定処理結果を取得する、請求項1に記載の基板処理条件の設定方法。
  3. 前記処理条件の各々は、基板に供給する処理液の濃度を示す濃度条件と、前記基板に供給する前記処理液の温度を示す温度条件と、前記基板に供給する前記処理液の供給量を示す供給量条件と、前記基板の回転数を示す回転数条件と、前記基板に前記処理液を供給するノズルのスキャン速度を示す速度条件とを少なくとも含む、請求項2に記載の基板処理条件の設定方法。
  4. 前記実行処理条件として設定する工程に先立って、
    前記表示部に表示された前記画像に基づいて、ユーザによって前記1つの処理条件を選択する工程
    をさらに含み、
    前記実行処理条件として設定する工程において、選択された前記1つの処理条件を前記実行処理条件として設定する、請求項1から請求項3のいずれか1項に記載の基板処理条件の設定方法。
  5. 前記画像は、分布図を含み、
    前記処理条件の各々は、複数のパラメータを有し、
    前記分布図は、ユーザによって指定された2種類のパラメータと、前記推定処理結果とを用いて3次元で表示される、請求項4に記載の基板処理条件の設定方法。
  6. 前記表示部は、前記分布図の変数としての前記パラメータの種類をユーザが選択可能な選択部を表示する、請求項5に記載の基板処理条件の設定方法。
  7. 前記表示部は、前記分布図上にユーザが選択可能な複数のマークを表示し、
    前記選択する工程において、ユーザが前記複数のマークのうち1つのマークを選択することによって、前記1つの処理条件が選択される、請求項5又は請求項6に記載の基板処理条件の設定方法。
  8. 前記複数のマークは、
    前記分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に表示される第1マークと、
    前記分布図のうち、前記所定範囲外に表示され、前記第1マークとは異なる第2マークと
    を含む、請求項7に記載の基板処理条件の設定方法。
  9. 請求項1から請求項8のいずれか1項に記載の基板処理条件の設定方法にしたがって、前記1つの処理条件を前記実行処理条件として設定する工程と、
    前記1つの処理条件で基板を処理する工程と
    を含む、基板処理方法。
  10. 学習用処理条件、及び、前記学習用処理条件で基板を処理した際の処理結果に基づいて機械学習された学習済モデルを記憶する記憶部と、
    表示部と、
    制御部と
    を備え、
    前記制御部は、
    前記学習済モデルに対して複数の処理条件を入力して、複数の推定処理結果を取得し、
    前記複数の推定処理結果に基づく画像を前記表示部に表示させ、
    前記複数の推定処理結果のうち1つの推定処理結果に対応する1つの処理条件を、基板を処理する際の実行処理条件として設定する、基板処理条件の設定システム。
  11. 前記制御部は、前記学習済モデルに対して、所定の処理条件を含む入力条件範囲に含まれる複数の処理条件を入力して、前記複数の推定処理結果を取得する、請求項10に記載の基板処理条件の設定システム。
  12. 前記処理条件の各々は、基板に供給する処理液の濃度を示す濃度条件と、前記基板に供給する前記処理液の温度を示す温度条件と、前記基板に供給する前記処理液の供給量を示す供給量条件と、前記基板の回転数を示す回転数条件と、前記基板に前記処理液を供給するノズルのスキャン速度を示す速度条件とを少なくとも含む、請求項11に記載の基板処理条件の設定システム。
  13. ユーザによる操作を受け付ける操作部をさらに備え、
    前記制御部は、前記操作部を用いて前記ユーザにより選択された前記1つの処理条件を、前記実行処理条件として設定する、請求項10から請求項12のいずれか1項に記載の基板処理条件の設定システム。
  14. 前記画像は、分布図を含み、
    前記処理条件の各々は、複数のパラメータを有し、
    前記制御部は、ユーザによって指定された2種類のパラメータと、前記推定処理結果とを用いて前記分布図を3次元で表示させる、請求項13に記載の基板処理条件の設定システム。
  15. 前記表示部は、前記分布図の変数としての前記パラメータの種類をユーザが選択可能な選択部を表示する、請求項14に記載の基板処理条件の設定システム。
  16. 前記表示部は、前記分布図上にユーザが選択可能な複数のマークを表示し、
    前記制御部は、前記操作部を用いて前記ユーザにより前記複数のマークから1つのマークが選択されることによって、前記1つのマークに対応する前記1つの処理条件を前記実行処理条件として設定する、請求項14又は請求項15に記載の基板処理条件の設定システム。
  17. 前記複数のマークは、
    前記分布図のうち、目標の処理結果に対応する位置を含む所定範囲内に表示される第1マークと、
    前記分布図のうち、前記所定範囲外に表示され、前記第1マークとは異なる第2マークと
    を含む、請求項16に記載の基板処理条件の設定システム。
  18. 請求項10から請求項17のいずれか1項に記載の基板処理条件の設定システムと、
    前記1つの処理条件で基板を処理する処理ユニットと
    を備える、基板処理システム。
JP2022024128A 2022-02-18 2022-02-18 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム Pending JP2023120961A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2022024128A JP2023120961A (ja) 2022-02-18 2022-02-18 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム
TW111144553A TWI842215B (zh) 2022-02-18 2022-11-22 基板處理條件之設定方法、基板處理方法、基板處理條件之設定系統及基板處理系統
CN202310046730.4A CN116631895A (zh) 2022-02-18 2023-01-13 衬底处理条件的设定方法、衬底处理方法、衬底处理条件的设定系统、及衬底处理系统
KR1020230014692A KR20230124481A (ko) 2022-02-18 2023-02-03 기판 처리 조건의 설정 방법, 기판 처리 방법, 기판 처리 조건의 설정 시스템, 및, 기판 처리 시스템
EP23155377.7A EP4231107A1 (en) 2022-02-18 2023-02-07 Substrate processing condition setting method, substrate processing method, substrate processing condition setting system, and substrate processing system
US18/166,327 US20230268208A1 (en) 2022-02-18 2023-02-08 Substrate processing condition setting method, substrate processing method, substrate processing condition setting system, and substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022024128A JP2023120961A (ja) 2022-02-18 2022-02-18 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム

Publications (1)

Publication Number Publication Date
JP2023120961A true JP2023120961A (ja) 2023-08-30

Family

ID=85198942

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022024128A Pending JP2023120961A (ja) 2022-02-18 2022-02-18 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム

Country Status (5)

Country Link
US (1) US20230268208A1 (ja)
EP (1) EP4231107A1 (ja)
JP (1) JP2023120961A (ja)
KR (1) KR20230124481A (ja)
CN (1) CN116631895A (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7184547B2 (ja) * 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
TWI830812B (zh) 2018-11-21 2024-02-01 日商東京威力科創股份有限公司 基板處理之條件設定支援方法、基板處理系統、記錄媒體及學習模型
US20230049157A1 (en) * 2020-01-27 2023-02-16 Lam Research Corporation Performance predictors for semiconductor-manufacturing processes
US20230124106A1 (en) * 2020-04-02 2023-04-20 Asml Netherlands B.V. Method and apparatus for predicting a process metric associated with a process

Also Published As

Publication number Publication date
US20230268208A1 (en) 2023-08-24
KR20230124481A (ko) 2023-08-25
CN116631895A (zh) 2023-08-22
TW202335046A (zh) 2023-09-01
EP4231107A1 (en) 2023-08-23

Similar Documents

Publication Publication Date Title
TWI766506B (zh) 基板處理裝置、基板處理方法、基板處理系統以及學習用資料的生成方法
WO2022220126A1 (ja) 基板処理装置、基板処理システム、及びデータ処理方法
JP7460411B2 (ja) 基板処理装置及び基板処理方法
US20230161301A1 (en) Substrate processing apparatus, substrate processing method, training data generation method, training method, training device, trained model creation method, and trained model
JP2023120961A (ja) 基板処理条件の設定方法、基板処理方法、基板処理条件の設定システム、及び、基板処理システム
TWI842215B (zh) 基板處理條件之設定方法、基板處理方法、基板處理條件之設定系統及基板處理系統
JP7166966B2 (ja) 処理条件選択方法、基板処理方法、基板製品製造方法、処理条件選択装置、コンピュータープログラム、および、記憶媒体
TWI772009B (zh) 基板處理裝置、基板處理方法、學習用資料的生成方法、學習方法、學習裝置、學習完畢模型的生成方法以及學習完畢模型
TW202414527A (zh) 基板處理條件之設定方法及基板處理方法
KR102421919B1 (ko) 기판 처리 장치, 기판 처리 방법, 기판 처리 시스템, 및 학습용 데이터의 생성 방법
JP7409956B2 (ja) 基板処理装置、及び基板処理方法
JP2021174959A (ja) 基板処理装置、基板処理方法、学習用データの生成方法、学習方法、学習装置、学習済モデルの生成方法、および、学習済モデル
JP2023019657A (ja) 基板処理方法及び基板処理装置
US20240103462A1 (en) Support device, support method, substrate processing system, and storage medium
WO2023042796A1 (ja) 基板処理装置および基板処理方法
TWI838864B (zh) 基板處理裝置及基板處理方法
WO2023042774A1 (ja) 基板処理装置および基板処理方法