TW202409764A - 用於基板處理設備的多維感測器資料的整體分析 - Google Patents

用於基板處理設備的多維感測器資料的整體分析 Download PDF

Info

Publication number
TW202409764A
TW202409764A TW112116923A TW112116923A TW202409764A TW 202409764 A TW202409764 A TW 202409764A TW 112116923 A TW112116923 A TW 112116923A TW 112116923 A TW112116923 A TW 112116923A TW 202409764 A TW202409764 A TW 202409764A
Authority
TW
Taiwan
Prior art keywords
data
model
processing
machine learning
evolution
Prior art date
Application number
TW112116923A
Other languages
English (en)
Inventor
超 劉
煜棟 郝
世芳 李
安德烈亞斯 史庫茲
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202409764A publication Critical patent/TW202409764A/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/406Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by monitoring or safety
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/23Clustering techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31455Monitor process status
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33034Online learning, training
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Software Systems (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Quality & Reliability (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Human Computer Interaction (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種方法,包括由處理裝置接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。該方法進一步包括向模型提供第一資料。該方法進一步包括從模型接收第二資料。第二資料包括在處理操作期間處理參數的演變的指示。該方法進一步包括促使鑒於第二資料執行校正動作。

Description

用於基板處理設備的多維感測器資料的整體分析
本說明書係關於與基板處理相關聯的多維感測器資料的分析。更具體地,本說明書係關於多維感測器資料的整體時間分析,以產生貫穿處理操作的持續時間的基板處理參數的演變的指示。
在許多類型的處理系統中使用腔室。腔室的示例包括蝕刻腔室、沉積腔室、退火腔室和類似者。典型地,將諸如半導體晶圓的基板放置在腔室內的基板支撐件上,並且設定和維持腔室中的條件以處理基板。詳細理解處理條件、條件對基板的影響和這些參數隨時間的演變使得能夠嚴格地控制產品特性。
以下是本案內容的簡化概述,以便提供對本案內容的一些態樣的基本理解。此發明內容不是本案內容的詳盡綜述。此發明內容既不旨在辨識本案內容的關鍵或重要元素,也不旨在描述本案內容的特定實施方式的任何範圍或請求項的任何範圍。此發明內容的唯一目的是以簡化形式呈現本案內容的一些概念,作為稍後呈現的更詳細描述的序言。
在本案內容的一個態樣中,一種方法包括由處理裝置接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。該方法進一步包括向模型提供第一資料。該方法進一步包括從模型接收第二資料。第二資料包括在處理操作期間處理參數的演變的指示。該方法進一步包括促使鑒於第二資料執行校正動作。
在本案內容的另一態樣中,一種系統包括記憶體和耦合到記憶體的處理裝置。處理裝置被配置為接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。處理裝置進一步被配置為向模型提供第一資料。處理裝置進一步被配置為從模型接收第二資料。第二資料包括處理操作期間處理參數的演變的指示。該方法進一步包括促使鑒於第二資料執行校正動作。
在本案的另一態樣中,一種非暫時性機器可讀儲存媒體儲存指令,這些指令當被執行時引起處理裝置執行操作。這些操作包括接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。這些操作進一步包括向模型提供第一資料。這些操作進一步包括從模型接收第二資料。第二資料包括處理操作期間處理參數的演變的指示。這些操作進一步包括鑒於第二資料引起執行校正動作。
本文描述的是涉及與在處理腔室中執行的一或多個處理操作相關聯的多維時間相關感測器資料處理的技術。可以整體地處理多維時間相關感測器資料(例如,資料點包括至少時間資訊、指示第二獨立變數的資訊、和值),以便使得能夠理解處理操作期間處理參數的演變。
製造設備(例如,處理腔室)用於生產基板,諸如半導體晶圓。這些基板的特性由處理基板的條件決定。隨著基板處理的進行,腔室中的條件及/或基板對那些條件的回應可能演變。例如,處理操作可以包括基板蝕刻操作,例如從基板移除材料的操作。諸如蝕刻速率的處理參數可能在處理操作的持續時間內改變。對時間處理參數演變的準確理解可用於預測成品的特性、改善處理學習、改善處理配方產生和改進、改善所生產基板的一致性、最佳化基板生產等。
在一些情況下,基板被放置在用於處理的腔室內。處理腔室可包括各種感測器以報告與處理基板相關聯的條件,例如,壓力和溫度感測器可報告腔室條件,感測器可偵測自發電漿發射並且報告電漿的條件、來自基板的波的反射或散射可以報告演進的基板幾何形狀等。與處理腔室相關聯的任何這些或其他感測器可以在處理操作的持續時間內及時進行多次測量。
在一些習知系統中,從一或多個感測器同時收集的資料(或幾乎同時,例如,被分析為好像同時收集的資料)可以被一起處理以產生當時條件的指示。例如,可以分析來自多個壓力感測器的資料以決定腔室中壓力條件的快照(snapshot),可以處理基板的光學反射資料以決定基板表面幾何形狀的快照等。
在一些系統中,可以以與時間無關的方式處理資料,例如,可以從資料得出結論而不包括分析中在時間上分離的資料,可以在逐訊框的基礎上分析資料等。在一些實施方式中,可以藉由按順序級聯這些分析的排列來得出進一步的結論,例如,藉由相對於時間擬合從與時間無關資料的幾個實例得出的一些分析結果,從而得出時間結論。在一些實施方式中,與時間無關的分析及/或級聯的與時間無關的分析可能遭受高雜訊位准的影響,例如,由於正在進行的處理操作期間有限的收集時間。如果針對若干條資訊進行分析,則可能難以利用與時間無關的分析及/或級聯的與時間無關的分析,例如,單訊框資料可包括有限數量的資料點,並且從一個資料訊框得出的廣度結論可會受到資料訊框中可用資訊量的限制。
在一或多個實施方式中,當前揭露內容的方法和裝置可以解決習知方法的這些缺陷中的至少一或多個缺陷。本案內容實現一種以整體方式處理多維感測器資料的方法。可以從處理腔室接收多維感測器資料。此上下文中的多維資料指示在多於一個的獨立變數中解析的資料,其中獨立變數中的一者是時間。例如,與處理操作相關聯的光譜資料可以在波長和時間上被解析,聲學資料可以在頻率和時間上被解析,壓力和溫度資料可以在感測器編號和時間上被解析等。在一些實施方式中,向模型提供在至少兩個維度上解析的資料點。該模型可以包括基於物理學的模型、機器學習模型等。該模型可以被配置為同時處理多維資料,例如,同時沿著正交軸擬合資料的時間演變。
此揭露內容的態樣帶來了優於習知解決方案的技術優勢。在與時間無關的分析中,資料的稀疏性限制了可以藉由擬合推斷出的資訊量,例如,在單個與時間無關的分析訊框中,限制為比可用資料點更少的浮動參數。時間分析(例如,多維資料的分析,包括整體時間相關性)可以減輕這些限制:取決於感測器的取樣速率、處理操作的長度等,在多維感測器資料的時間分析中可用的資料點數量可以比單個訊框大許多倍,例如大十倍、大一百倍等。藉由這樣的分析可以理解更多的參數(例如,借助在擬合程序中包括與分離的時間相關聯的資料點的優點,更多的資訊可以可用於分析,因此藉由擬合資料可以恢復比藉由分析單個時間訊框可用的資訊更多的資訊)。
在一些系統中,多維資料可以包括以非同步取樣速率及/或非同步採樣時間點收集的資料。例如,光譜資料可以被分成數個波長測量(例如,多維感測器資料的兩個維度可以是時間和波長)。在一些系統中,可以在不同時間收集與不同波長相關聯的資料,例如,光譜儀可以在第一時間收集與第一波長相關聯的資料,在第二時間收集與第二波長相關聯的資料,等等。在一些實施方式中,光譜資料可以重複光譜測量,例如,可以多次收集與每個波長相關聯的光譜資料,例如藉由多次循環目標波長。習知的逐訊框(例如,與時間無關)分析可以將每個資料週期近似為與單個時間點相關聯。藉由以多維方式處理資料點(例如,在一些實施方式中,將每個光譜測量與唯一時間點相關聯),整體時間相關分析可以減輕與此類近似相關聯的不準確性。
在一些實施方式中,與時間無關的分析可能會受到高位准雜訊的影響。單個與時間無關的分析操作(例如,單個資料訊框)中包括的資料點的數量可能很小。可能難以在少量可用資料點內對雜訊進行補償,例如,離群值偵測可能是困難的,資料的平滑可能是困難的,等等。多維感測器資料的時間分析可以藉由一起分析更大數量的資料點來減輕對此雜訊敏感性,單獨資料點中的雜訊可能更容易處理及/或資料點更容易被分析模型拒絕。
多維感測器資料的時間整體分析可以提供用其他方式難以決定的腔室中參數的時間演變的詳細描述。例如,與時間無關的分析可以報告每個時間步長的蝕刻深度,和藉由在處理操作的持續時間期間追蹤蝕刻深度的時間演變推斷出的蝕刻速率。時間分析可以直接報告蝕刻速率和蝕刻深度二者,例如,藉由利用表徵隨著蝕刻深度增加的來自基板的光學反射資料的時間演變的基於物理學的模型。處理學習(例如,理解新設計的處理配方、為新應用更新處理配方等)的提高的精度、準確性和效率、基於行為的處理模型化的校準、與處理控制相關的額外資訊、更大的條件、參數和產品一致性、改善的腔室匹配、改善的效能評估、改善的效能調節(例如,在維護事件後的將工具返回到生產)等,都可以藉由多維感測器資料的時間分析來實現。
在本案內容的一個態樣中,一種方法包括由處理裝置接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。該方法進一步包括向模型提供第一資料。該方法進一步包括從模型接收第二資料。第二資料包括處理操作期間處理參數的演變的指示。該方法進一步包括促使鑒於第二資料執行校正動作。
在本案內容的另一態樣中,一種系統包括記憶體和耦合到記憶體的處理裝置。處理裝置被配置為接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。處理裝置進一步被配置為向模型提供第一資料。處理裝置進一步被配置為從模型接收第二資料。第二資料包括處理操作期間處理參數的演變的指示。該方法進一步包括促使鑒於第二資料執行校正動作。
在本案內容的另一態樣中,一種非暫時性機器可讀儲存媒體儲存指令,這些指令在被執行時促使處理裝置執行操作。這些操作包括接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料並且與處理操作相關聯。第一資料在至少兩個維度上被解析,其中一個維度是時間。這些操作進一步包括向模型提供第一資料。這些操作進一步包括從模型接收第二資料。第二資料包括處理操作期間處理參數的演變的指示。這些操作進一步包括促使鑒於第二資料執行校正動作。
圖1是圖示根據一些實施方式的示例性系統100(示例性系統架構)的方塊圖。系統100包括客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112和資料儲存140。預測伺服器112可以是預測系統110的一部分。預測系統110可以進一步包括伺服器機器170和180。
在一些實施方式中,製造設備124(例如,叢集工具)是基板處理系統(例如,整合處理系統)的一部分。製造設備124包括以下項中的一者或多者:控制器、外殼系統(例如,基板載體、前開式晶圓盒(FOUP)、自動教學FOUP、處理配件外殼系統、基板外殼系統、盒等)、側儲存艙(SSP)、對準器裝置(例如,對準器腔室)、工廠介面(例如,設備前端模組(EFEM))、裝載閘、傳送腔室、一或多個處理腔室、機器人臂(例如,設置在傳送腔室中,設置在前介面中等)及/或類似者。安裝到工廠介面的外殼系統、SSP和裝載閘和設置在工廠介面中的機器人臂用於在封閉系統、SSP、裝載閘和工廠介面之間傳送內容物(例如,基板、處理配件環、載體、驗證晶圓等)。對準器裝置設置在工廠介面中,以對準內容物。安裝到傳送腔室的裝載閘和處理腔室以及設置在傳送腔室中的機器人臂用於在裝載閘、處理腔室和傳送腔室之間傳送內容物(例如,基板、處理配件環、載體、驗證晶圓等)。在一些實施方式中,製造設備124包括基板處理系統的部件。在一些實施方式中,製造設備124用於生產一或多個產品(例如,基板、半導體、晶圓等)。在一些實施方式中,製造設備124用於生產要在基板處理系統中使用的一或多個部件。
感測器126可以提供與製造設備124相關聯的感測器資料142(例如,與由製造設備124生產的對應產品(諸如晶圓)相關聯)。例如,感測器資料142可以用於設備健康及/或產品健康(例如,產品品質)。製造設備124可以按照配方或在一段時間內執行處理操作及/或處理運行來生產產品。在一些實施方式中,感測器資料142可包括以下項中一者或多者的值:溫度(例如,加熱器溫度)、間距(SP)、壓力、高頻射頻(HRFF)、靜電夾持器(ESC)電壓、電流、流量(例如,一或多個氣體的流量)、功率、電壓、光學資料(例如,基板反射光譜)、聲學資料(例如,基板聲散射資料)等。感測器資料可以包括處理腔室中基板(例如,經歷處理操作的基板)的原位測量。感測器資料142可包括歷史感測器資料144和當前感測器資料146。如本文所使用的當前資料是指與正在進行的處理運行或當前處於分析調查下的處理運行相關聯的資料(例如,藉由向機器學習或基於物理的模型提供感測器資料、計量資料、製造參數等)。製造設備124可以根據製造參數150來進行配置。製造參數150可以與諸如硬體參數(例如,製造設備124的設定或部件(例如,尺寸、類型等))及/或製造設備的處理參數相關聯或指示這些參數。製造參數150可以包括歷史製造資料及/或當前製造資料。製造參數150可以指示對製造設備的輸入設定(例如,加熱器功率、氣體流量等)。可以在製造設備124執行製造處理的同時產生感測器資料142及/或製造參數150(例如,在處理產品時的設備讀數)。感測器資料142對於每個產品(例如,每個晶圓)可以是不同的。
在一些實施方式中,可以(例如,由客戶端裝置120及/或由預測伺服器112)處理感測器資料142、計量資料160及/或製造參數150。感測器資料142的處理可以包括產生特徵。在一些實施方式中,特徵是感測器資料142、計量資料160及/或製造參數150的模式(例如,斜率、寬度、高度、峰值等)或者來自感測器資料142、計量資料160及/或製造參數150的值的組合(例如,從電壓和電流匯出的功率等)。感測器資料142可以包括特徵並且預測部件114可以使用這些特徵來執行信號處理及/或獲得預測資料168,可能用於執行校正動作。預測資料168可以是與預測系統110相關聯的任何資料,例如基板、基板處理操作、製造設備124的部件等的預測效能資料。在一些實施方式中,預測資料168可以指示基板計量。在一些實施方式中,預測資料168可以指示處理條件。在一些實施方式中,預測資料168可以指示條件、基板計量、處理速率等在處理操作的持續期間的時間演變。
感測器資料142的每個實例(例如,組)可以對應於產品(例如,晶圓)、一組製造設備、由製造設備生產的基板類型、上述的組合或類似物。計量資料160和製造參數150的每個實例同樣可以對應於產品、一組製造設備、由製造設備生產的基板類型、上述的組合或類似物。資料儲存140可以進一步儲存與不同資料類型的集合相關聯的資訊,例如指示一組感測器資料、一組計量資料及/或一組製造資料都與相同產品、製造設備、基板類型等相關聯。在一些實施方式中,預測系統110可以使用機器學習產生預測資料168。在一些實施方式中,預測系統110可以使用一或多個基於物理學的模型來產生預測資料168。
客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料儲存140、伺服器機器170和伺服器機器180可以經由網路130彼此耦合。在一些實施方式中,網路130是向客戶端裝置120提供對預測伺服器112、資料儲存140及/或其他公共可用計算裝置的存取的公共網路。在一些實施方式中,網路130是向客戶端裝置120提供對製造設備124、感測器126、計量設備128、資料儲存140及/或其他私有可用計算裝置的存取的私有網路。網路130可以包括一或多個廣域網路(WAN)、區域網路(LAN)、有線網路(例如乙太網路)、無線網路(例如802.11網路或Wi-Fi網路)、蜂巢網路(例如、長期演進(LTE)網路)、路由器、集線器、交換機、伺服器電腦、雲端計算網路及/或上述的組合。
客戶端裝置120可以包括一或多個計算裝置,諸如個人電腦(PC)、膝上型電腦、行動電話、智慧型電話、平板電腦、小筆電電腦、網路連接的電視(「智慧電視」)、網路連接的媒體播放機(例如,藍光播放機)、機上盒、雲上(OTT)串流媒體裝置、運營商盒等。客戶端裝置120可以包括一或多個虛擬計算裝置,例如,基於雲端的計算裝置、雲端計算服務等。客戶端裝置120可以包括校正動作部件122。校正動作部件122可以(例如,透過藉由客戶端裝置120顯示的圖形化使用者介面(GUI))接收與製造設備124相關聯的指示的使用者輸入。使用者介面可以呈現處理參數演變的指示,可以呈現要執行的校正動作的指示等。在一些實施方式中,校正動作部件122將指示傳輸到預測系統110,接收來自預測系統110的輸出(例如預測資料168),基於輸出決定校正動作,並且促使實施校正動作。
在一些實施方式中,預測系統110可以進一步包括預測部件114。預測部件114可以獲取從模型190取回的資料以產生預測資料168。在一些實施方式中,預測部件114向客戶端裝置120提供預測資料168,並且客戶端裝置鑒於預測資料168而經由校正動作部件120引起校正動作。在一些實施方式中,校正動作部件122可以接收與基板的生產相關聯的當前感測器資料146並且將資料提供給預測系統110。
在一些實施方式中,校正動作部件122將資料(例如,與產生預測資料168的中間分析步驟相關聯的資料)儲存在資料儲存140中,並且預測伺服器112從資料儲存140取回資料。在一些實施方式中,預測伺服器112可以將(多個)經訓練的模型190的輸出(例如,預測資料168)儲存在資料儲存140中,並且客戶端裝置120可以從資料儲存140取回輸出。在一些實施方式中,校正動作部件122從預測系統110接收校正動作的指示,並且促使實施校正動作。每個客戶端裝置120可包括允許使用者進行以下項中的一者或多者的作業系統:產生、查看或編輯資料(例如,與製造設備124相關聯的指示、與製造設備124相關聯的校正動作等)。
在一些實施方式中,計量資料160對應於產品的歷史特性資料(例如,使用與歷史感測器資料和歷史製造參數相關聯的製造參數產生),並且預測資料168與(例如,在由當前感測器資料及/或當前製造參數記錄的條件下將要生產的產品或已經生產的產品)的預測的特性資料相關聯。在一些實施方式中,預測資料168是根據被記錄為當前感測器資料及/或當前製造參數的條件將要生產或已經生產的產品的預測計量資料(例如,虛擬計量資料)。在一些實施方式中,預測資料168是或包括異常(例如,異常產品、異常部件、異常製造設備、異常能量使用等)及/或異常的一或多個原因的指示。在一些實施方式中,預測資料168包括製造設備124、感測器126、計量設備128和類似物的一些部件隨時間改變或漂移的指示。在一些實施方式中,預測資料168包括製造設備124、感測器126、計量設備128或類似物的部件的使用壽命終止的指示。在一些實施方式中,預測資料168包括腔室、工具、配方、產品設計等的效能的相互比較。
執行造成有缺陷產品的製造處理在時間、能量、產品、部件、製造設備124、辨識缺陷和丟棄有缺陷產品的成本、發現和校正缺陷原因的成本等態樣可能是成本高昂的。藉由將感測器資料142(例如,當前感測器資料146)輸入到預測模型(例如,模型190)中,接收預測資料168的輸出,並且基於預測資料168執行校正動作,系統100可以具有以下技術優勢:避免生產、辨識和丟棄有缺陷產品的成本。
執行造成製造設備124的部件故障的製造處理在停機時間、產品損壞、設備損壞、快遞訂購更換部件等態樣可能是成本高昂的。藉由向預測模型(例如,模型190)輸入感測器資料142(例如,電流感測器資料146),接收預測資料168的輸出,隨時間比較資料以診斷漂移或故障部件(例如,也記錄為預測資料168),並且基於預測資料168執行校正動作(例如,預測的操作維護,諸如部件的更換、處理、清潔等,更新配方參數等),系統100可以具有避免意外部件故障、計畫外停機、生產力損失、意外設備故障、產品報廢或類似情況的這一者或多者的成本的技術優勢。隨時間監測部件(例如製造設備124、感測器126、計量設備128和類似物)的效能可以提供劣化部件的指示。隨時間監測部件的效能可以延長部件的操作壽命,例如,如果在經過標準更換間隔之後,測量結果指示部件在一段時間內(例如,直到下一次計畫的維護事件)仍可良好地執行(例如,效能高於閾值)。
製造參數對於生產產品可能不夠優化,其可具有資源(例如,能源、冷卻劑、氣體等)消耗增加、生產產品的時間量增加、部件故障增加、有缺陷產品數量增加等的成本昂貴的結果。藉由將感測器資料142輸入到經訓練的預測模型(例如,模型190)中,接收預測資料168的輸出,並且執行(例如,基於預測資料168)更新製造參數(例如,設定最優製造參數)的校正動作,系統100可以具有以下技術優勢:使用最優製造參數(例如,硬體參數、處理參數、最優設計)以避免製造參數不夠優化,成本高昂。
校正動作可與以下項中的一者或多者相關聯:計算過程控制(CPC)、統計過程控制(SPC)(例如,用於決定受控處理的對電子部件的SPC、用於預測部件的使用壽命的SPC,用於與3-sigma圖表進行比較的SPC等)、進階過程控制(APC)、基於模型的過程控制、預防性操作維護、設計最佳化、製造參數更新、製造配方更新、回饋控制、機器學習修改或類似者。
在一些實施方式中,校正動作包括向使用者提供警報(例如,如果預測資料168指示預測的異常,諸如產品、部件或製造設備124的異常,則提供停止或不執行製造處理的警報)。在一些實施方式中,執行校正動作包括促使對一或多個製造參數進行更新。在一些實施方式中,執行校正動作包括促使對一或多個校準表格及/或設備常數的更新(例如,在數個處理配方中向部件提供的設定點可以藉由某個值來調整,例如施加到加熱器的電壓對於使用加熱器的所有處理可以增加3%)。在一些實施方式中,執行校正動作包括更新處理配方(例如,調整處理參數的範圍或速率,諸如蝕刻速率,包括水平蝕刻速率、豎直蝕刻速率等;蝕刻深度;沉積速率;沉積深度等)。
製造參數可以包括硬體參數(例如,更換部件、使用某些部件、更換處理晶片、更新韌體等)及/或處理參數(例如,溫度、壓力、流量、速率、電流、電壓、氣體流量、提升速度等)。在一些實施方式中,校正動作包括引起預防性操作維護(例如,製造設備124的部件的更換、處理、清潔等)。在一些實施方式中,校正動作包括促使設計最佳化(例如,更新製造參數、製造處理、製造設備124等以獲得最佳化的產品)。在一些實施方式中,校正動作包括更新配方(例如,更新製造設備124處於閒置模式、睡眠模式、預熱模式等的時間,更新處理操作期間諸如溫度或壓力的設定點等)。
預測伺服器112、伺服器機器170和伺服器機器180可各自包括一或多個計算裝置,諸如機架式伺服器、路由器電腦、伺服器電腦、個人電腦、大型電腦、膝上型電腦、平板電腦、桌上型電腦、圖形處理單元(GPU)、加速器專用積體電路(ASIC)(例如,張量處理單元(TPU))等。預測伺服器112、伺服器機器170和伺服器機器180可以包括一或多個虛擬計算裝置,例如雲端計算裝置、雲端計算服務、遠端計算資源等。
預測伺服器112可包括預測部件114。預測部件114可用於產生預測資料168。在一些實施方式中,預測部件114可接收感測器資料142及/或製造參數150(例如,從客戶端裝置120接收,從資料儲存140中取回)並且基於所提供的資料產生輸出(例如預測輸出)、用於執行與製造設備124相關聯的校正動作的輸出等。在一些實施方式中,預測部件114可以使用一或多個模型190來決定用於基於當前資料執行校正動作的輸出。模型190可以是單一模型、集成模型或用於處理資料的模型集合。模型190可以包括一或多個基於物理學的模型、數位孿生模型、監督機器學習模型、無監督機器學習模型、半監督機器學習模型、統計模型等。
在一些實施方式中,向包括一或多個基於物理學的模型的模型化系統提供指示基板特性的資料(例如,當前感測器資料146)。模型化系統可以被配置為產生一或多個處理(例如,處理參數)的時間演變的指示,例如處理時間相關性,諸如蝕刻深度演變、蝕刻速率演變、沉積速率演變等。向模型化系統(例如,預測系統110的模型190)提供的當前感測器資料146可以是多維的,例如,在至少兩個獨立變數、兩個獨立軸等中解析。多維資料可以在時間和至少一個其他維度中解析。例如,多維資料可以包括處理中的基板的光學反射資料。資料可以包括在整個持續時間內多次採集(例如,資料解析的第二維度可以是時間)的來自若干波長(例如,解析的第一維度可以是波長)的資料。解析的可能的維度可以包括波長(例如,電磁輻射,包括光學、IR、UV、X射線等分析的波長)、頻率(例如,聲學信號的頻率)、位置(例如,感測器的位置、基板的空間解析資料的位置等)、感測器ID等。
模型化系統(例如,模型190)可以對多維資料執行分析操作(例如,擬合至物理模型、經由機器學習模型產生輸出等)。在一些實施方式中,可以在處理操作結束之後向模型化系統提供多維感測器資料(例如,當前感測器資料146),例如,可以分析指示操作的整個持續時間的感測器資料。在一些實施方式中,可以分析與處理操作的持續時間的一部分相關聯的多維感測器資料。可以對多維感測器資料進行整體分析,例如,沿多個軸的資料可以被同時擬合(這與將感測器資料作為一系列逐訊框(例如,時間無關)分析處理不同)、被拼接在一起(例如,繪製在時間軸上),以產生處理時間演變的指示。在一些實施方式中,可以執行對多維物理模型的擬合,例如,可以利用對多個可測量參數隨時間演變的物理理解來產生基於物理學的模型、產生擬合、產生用於對處理變數演變進行模型化的參數等。
多維感測器資料的整體處理(例如,一起擬合在多個維度中解析的資料)相對於其他方法提供明顯的優勢。在一些實施方式中,感測器資料可以是稀疏的,例如,光譜資料可以解析為相當少量的波長測量(例如,以便減少測量時間從而不延遲處理操作)。擬合稀疏資料限制了從分析中可提取的資訊量,例如,由於過度擬合。與包括大量資料點的資料集相比,稀疏資料也對雜訊更敏感。多維感測器資料的整體處理藉由利用在擬合/分析方案中多次收集的資料點至少減輕了這些挑戰。擬合中所包括的更多資料點增加了可提取資訊的量(例如,可以預測的產品的維度數量,可以預測的處理或處理參數的時間演變的準確性等),增加資訊提取的可靠性,增加分析對雜訊信號的抗性等。
在一些實施方式中,模型化系統(例如,模型190)可以包括基於物理學的模型。基於物理學的模型可以提供感測器資料與物理系統(例如,基板)之間的相關性。基於物理學的模型可以是時間相關模型,例如,可以描述物理系統(例如,基板)的特性隨時間的演變。例如,基於物理學的模型可以描述在處理操作的持續時間內隨著蝕刻深度增加而發生的光譜反射資料的演變。在一些實施方式中,基於物理學的模型可以接受與電磁輻射的光譜解析偵測相關聯的時間解析資料。基於物理學的模型可以藉由函數(例如,線性函數、分段函數、多項式函數、函數的組合等)表示處理行為參數(例如,蝕刻深度、蝕刻速率、沉積速率等)。處理行為參數可以表示為時間和數個擬合參數(例如,多項式係數)的函數,其由基於物理學的模型基於多維輸入感測器資料匯出。在一些實施方式中,模型化系統可以包括經訓練的機器學習模型。機器學習模型可以被配置為接受感測器資料作為輸入並且產生處理參數演變的指示作為輸出。在一些實施方式中,機器學習模型可以被配置為執行模型化系統中涉及的操作的子集。結合圖5找到用於分析多維感測器資料的模型化系統的進一步描述。
在一些實施方式中,向經訓練的機器學習模型(例如,模型190)提供指示所生產的基板的特性的資料(例如,當前感測器資料146)。訓練機器學習模型以輸出指示生產具有不同特徵的基板的校正動作的資料。在一些實施方式中,指示處理參數(例如,蝕刻深度、蝕刻速率等)演變的資料由機器學習模型輸出。在一些實施方式中,指示用於調整處理參數演變的校正動作的資料由機器學習模型輸出(例如,配方調整)。
歷史感測器資料可與當前感測器資料結合使用以偵測製造設備124的部件的漂移、改變、老化等。隨時間監測的感測器資料142可產生指示處理系統改變的資訊,例如部件漂移或故障、感測器漂移或故障、要執行的維護、執行維護後腔室的恢復等。預測部件114可以使用感測器資料142、製造參數150、計量資料160等的組合和比較來產生預測資料168。在一些實施方式中,預測資料168包括預測製造設備124、感測器126等的部件的使用壽命的資料。
在一些實施方式中,來自數個腔室的感測器資料可用於偵測腔室操作差異、執行腔室匹配程序等。可向模型化系統(例如模型190)提供由多個腔室產生的感測器資料142。模型190可產生在多個處理腔室中處理操作的持續時間內的時間處理參數演變的指示。不同腔室之間的處理參數演變差異可指示要執行的腔室匹配程序,例如,配方更新、維護、部件更換等。
在一些實施方式中,預測部件114接收資料(諸如感測器資料142、計量資料160、製造參數150等),並且可以執行預處理(諸如提取資料中的模式或將資料組合成新的複合資料。預測部件114然後可以向模型190提供資料作為輸入。模型190可以包括一或多個基於物理學的模型、數位孿生模型、機器學習模型等,並且可以接受感測器資料作為輸入。模型190可包括經訓練的機器學習模型、統計模型等,其被配置以進一步處理與基板支撐件的特性相關聯的資料。預測部件114可以從模型190接收預測資料,指示腔室效能、預測的基板特性、製造故障、部件漂移或類似者。預測部件114然後可以促使校正動作發生。校正動作可包括向客戶端裝置120發送警報。校正動作還可包括更新製造設備124的製造參數。校正動作還可包括產生預測資料168,指示腔室或儀器漂移、老化或故障、配方成功或失敗、預測的產品特性等。
資料儲存140可以是記憶體(例如,隨機存取記憶體)、驅動器(例如,硬碟、隨身碟)、資料庫系統或能夠儲存資料的另一類型的部件或裝置。資料儲存140可以包括可以跨多個計算裝置(例如,多個伺服器電腦)的多個儲存部件(例如,多個驅動器或多個資料庫)。資料儲存140可以包括遠端儲存、雲端資料儲存、基於雲端的儲存服務等。資料儲存140可以儲存感測器資料142、製造參數150、計量資料160和預測資料168。感測器資料142可以包括在製造處理持續時間內的感測器資料時間軌跡、資料與實體感測器的關聯、預處理的資料(諸如平均值和複合資料)和指示隨著時間變化(即,許多製造處理)的感測器效能的資料。感測器資料142可以包括多維資料,例如在時間和至少一個其他維度這二者中解析的資料。製造參數150和計量資料160可以含有類似的特徵,例如預處理的資料、資料與產品/操作之間的關聯等。感測器資料142、製造參數資料150和計量資料160可以含有歷史資料(例如,用於訓練在圖1中由模型190表示的各種模型的至少一部分)。計量資料160可以是所生產的基板的計量資料,以及對應於彼等產品的感測器資料、製造資料和模型資料。可以利用計量資料160來設計用於製作另外的基板的處理。預測資料168可以包括由基板支撐件的操作所產生的計量資料的預測、部件漂移、老化或故障的預測、部件使用壽命的預測、在處理操作的持續時間內處理參數演變的預測等。預測資料168還可以包括指示系統100的部件隨時間老化和發生故障的資料。
在一些實施方式中,預測系統110進一步包括伺服器機器170和伺服器機器180。伺服器機器170包括資料集產生器172,資料集產生器172能夠產生資料集(例如,一組資料輸入和一組目標輸出)以訓練、驗證及/或測試模型190。在下文關於圖2和圖4A詳細描述資料集產生器172的一些操作。在一些實施方式中,資料集產生器172可以將歷史資料(例如,歷史感測器資料、歷史計量資料等)分割為訓練集(例如,資料的百分之六十)、驗證集(例如,資料的百分之二十)和測試集(例如,資料的百分之二十)。在一些實施方式中,預測系統110(例如,經由預測部件114)產生多組特徵。例如,第一組特徵可以對應於第一群組類型的感測器資料(例如,來自第一組感測器、來自第一組感測器的值的第一組合、來自第一組感測器的值中的第一模式),該第一群組類型的感測器資料對應於資料集(例如,訓練集、驗證集和測試集)的每一者,並且第二組特徵可以對應於第二群組類型的感測器資料(例如,來自不同於第一組感測器的第二組感測器、不同於第一組合的值的第二組合、不同於第一模式的第二模式),該第二群組類型的感測器資料對應於資料集的每一者。在一些實施方式中,訓練集、驗證集及/或測試集可以用於為操作準備機器學習模型。在一些實施方式中,訓練集、驗證集及/或訓練集可用於為操作準備基於物理學的模型,例如,以解釋模型構建中的不正確假設,以考慮到未知參數(例如,在製造容差內的製造設備部件中的差異)等。
伺服器機器180包括訓練引擎182、驗證引擎184、選擇引擎185及/或測試引擎186。引擎(例如,訓練引擎182、驗證引擎184、選擇引擎185和測試引擎186)可以是指硬體(例如,電路、專用邏輯、可程式設計邏輯、微代碼、處理裝置等)、軟體(諸如在處理裝置、通用電腦系統或專用機器上運行的指令)、韌體、微代碼,或上述的組合。訓練引擎182可以能夠使用與來自資料集產生器172的訓練集相關聯的一組或多組特徵來訓練模型190。訓練引擎182可以產生多個經訓練的模型190,其中每個經訓練的模型190對應於訓練集的特徵的不同集合(例如,來自感測器的不同集合的感測器資料)。例如,第一經訓練的機器學習模型可以已經使用所有特徵(例如,X1-X5)進行了訓練,第二經訓練的機器學習模型可以已經使用特徵的第一子集(例如,X1、X2、X4)進行了訓練,並且第三經訓練的機器學習模型可以已經使用特徵的第二子集(例如,X1、X3、X4和X5)訓練,特徵的第二子集可以與特徵的第一子集部分重疊。資料集產生器172可以接收經訓練的模型(例如,190)的輸出,將該資料收集到訓練資料集、驗證資料集和測試資料集中,並且使用資料集來訓練第二模型。伺服器機器180的一些或所有操作可用於訓練各種類型的模型,包括基於物理學的模型、監督機器學習模型、無監督機器學習模型等。
驗證引擎184可以能夠使用來自資料集產生器172的驗證集的特徵的對應集合來驗證經訓練的模型190。例如,使用訓練集的特徵的第一集合所訓練的第一經訓練的模型190可以使用驗證集的特徵的第一集合進行驗證。驗證引擎184可以基於驗證集的特徵的對應集合來決定每個經訓練的模型190的準確度。驗證引擎184可以丟棄所具有的準確度不滿足閾值準確度的經訓練的模型190。在一些實施方式中,選擇引擎185可以能夠選擇所具有的準確度滿足閾值準確度的一或多個經訓練的模型190。在一些實施方式中,選擇引擎185可以能夠選擇經訓練的模型190中具有最高精度的經訓練的模型190。
測試引擎186可以能夠使用來自資料集產生器172的測試集的特徵的對應集合來測試經訓練的模型190。例如,使用訓練集的特徵的第一集合所訓練的第一經訓練的模型190可以使用測試集的特徵的第一集合進行測試。測試引擎186可以基於測試集決定所有經訓練的模型中具有最高準確度的經訓練的模型190。
模型190可以指描述感測器資料在與處理操作相關聯的持續時間內的時間演變的基於物理學的模型。基於物理學的模型可以被配置為求解描述在基板中和基板周圍的流動能量、光的反射率、與聲刺激的相互作用等的方程。基於物理學的模型可以藉由訓練來改進,例如,在處理操作期間測量基板的特性並且利用結果來改進基於物理學的模型(例如,藉由將一或多個參數擬合到實驗資料)。
模型190可以指機器學習模型,機器學習模型可以是由訓練引擎182使用包括資料輸入和對應的目標輸出(針對相應訓練輸入的正確答案)的訓練集建立的模型製品。可以找到資料集中的將資料輸入映射到目標輸出(正確答案)的模式,並且給機器學習模型190提供擷取這些模式的映射。在一些實施方式中,機器學習模型190可以預測基板的特性。在一些實施方式中,機器學習模型190可以預測製造腔室部件的故障模式。在一些實施方式中,機器學習模型190可以預測處理參數在與處理操作相關聯的持續時間內的演變。
預測部件114可以向經訓練的機器學習模型190提供輸入資料並且可以對輸入運行經訓練的機器學習模型190以獲得一或多個輸出。預測部件114可以能夠從經訓練的機器學習模型190的輸出決定(例如,提取)預測資料168,並且可以從輸出決定(例如,提取)置信資料,該置信資料指示預測資料168是與用於已生產或待生產產品的輸入資料相關聯的處理的準確預測器、或是製造設備124的部件的準確預測器的置信位准。預測部件114可以能夠基於模型190的輸出決定預測資料168,包括對成品基板特性的預測和製造設備124、感測器126或計量設備128的部件的有效使用壽命的預測。預測部件114或校正動作部件122可以使用置信資料來決定是否基於預測資料168引起與製造設備124相關聯的校正動作。
置信資料可以包括或指示置信位准。作為示例,預測資料168可以指示在給定一組製造輸入的情況下的成品晶圓的特性,包括使用以基板支撐資料154描述的基板支撐件。置信資料可以指示預測資料168是針對與至少一部分輸入資料相關聯的產品的準確預測。在一個示例中,置信位准是介於0與1之間的實數,其中0指示不相信預測資料168是針對根據輸入資料處理的產品的準確預測,而1指示絕對相信預測資料168準確地預測了根據輸入資料處理的產品的特性。回應於指示低於預定數量的實例(例如,實例的百分比、實例的頻率、實例的總數等)的閾值位准的置信位准的置信資料,預測部件116可以促使經訓練的機器學習模型190被重新訓練(例如,基於當前感測器資料146、當前製造參數150等)。
出於說明而非限制的目的,本案內容的態樣描述使用歷史資料訓練一或多個模型190並且將當前資料輸入到一或多個經訓練的模型190以決定預測資料168。在其他實施方式中,使用啟發式模型或基於規則的模型來決定預測資料(例如,不使用經訓練的機器學習模型)。預測部件114可以監測歷史資料和計量資料160。可以在啟發式模型或基於規則的模型中監測或以其他方式使用關於圖2的資料輸入210所描述的任何資訊。
在一些實施方式中,客戶端裝置120、預測伺服器112、伺服器機器170和伺服器機器180的功能可以由更少數量的機器提供。例如,在一些實施方式中,伺服器機器170和180可以整合到單個機器中,而在一些其他實施方式中,伺服器機器170、伺服器機器180和預測伺服器112可以整合到單個機器中。在一些實施方式中,客戶端裝置120和預測伺服器112可以整合到單個機器中。
大體上,如果適當的話,在一個實施方式中描述為由客戶端裝置120、預測伺服器112、伺服器機器170和伺服器機器180執行的功能也可以在其他實施方式中在預測伺服器112上執行。另外,屬於特定部件的功能可以由不同的或一起操作的多個部件來執行。例如,在一些實施方式中,預測伺服器112可以基於預測資料168決定校正動作。在另一個示例中,客戶端裝置120可以基於來自經訓練的機器學習模型或基於物理學的模型的輸出來決定預測資料168。
另外,特定部件的功能可以由不同的或一起操作的多個部件來執行。預測伺服器112、伺服器機器170或伺服器機器180中的一者或多者可以作為經由適當的應用程式設計介面(API)提供給其他系統或裝置的服務來存取。
在實施方式中,「使用者」可以表示為單個個體。然而,本案內容的其他實施方式涵蓋作為由複數個使用者及/或自動化源控制的實體的「使用者」。例如,作為一組管理員而聯合的一組個人使用者可以被視為「使用者」。
本案內容的實施方式可以應用於資料品質評估、特徵增強、模型評估、虛擬計量(VM)、預測性維護(PdM)、極限最佳化或類似者。
儘管本案內容的實施方式的討論是關於產生預測資料168以在製造設施(例如,半導體製造設施)中執行校正動作,但是實施方式也可以普遍應用於藉由利用多維感測器資料來改善資料處理,以執行整體資料擬合並且使用經擬合的資料來改善處理條件、參數、設定點、處理等。
圖2是根據一些實施方式的用於為模型(例如,圖1的模型190)建立資料集的示例資料集產生器272(例如,圖1的資料集產生器172)的方塊圖。資料集產生器272可以是圖1的伺服器機器170的一部分。在一些實施方式中,圖1的系統100包括多個模型。在這樣的情況下,每個模型可具有單獨的資料集產生器,或者多個模型可共享一個資料集產生器。圖2中圖示的是與機器學習模型相關聯的資料集產生器,該機器學習模型被配置為獲取與基板處理相關聯的多維感測器資料(例如,原位光學基板反射資料)作為輸入。機器學習模型被配置為提供指示一或多個處理參數在與執行處理操作相關聯的持續時間內的演變的資訊作為輸出。類似的資料集產生器可用於執行其他功能的機器學習模型,替換輸入和輸出資料的類型以與目標功能一致。在一些實施方式中,在模型190中所包括的機器學習模型可以是無監督模型或半監督模型,例如,將使用至少一部分未標記的訓練資料來訓練。類似於資料集產生器272的資料集產生器可用於產生用於訓練無監督模型或半監督模型的資料集,例如,藉由產生訓練輸入資料集而不產生相關聯的目標輸出資料。在一些實施方式中,將訓練的是基於物理學的模型(例如,基於所測量的資料要調整或改進基於物理學的模型)。類似於資料集產生器272的資料集產生器可用於產生用於基於物理學的模型的資料集。
參考圖2,含有資料集產生器272(例如,圖1的資料集產生器172)的系統200為機器學習模型(例如,圖1的模型190)建立資料集。資料集產生器272可以使用從與處理腔室相關聯的感測器、與基板相關聯的計量測量等作為輸出取回的資料來建立資料集。在一些實施方式中,資料集產生器272從與一或多個處理操作相關聯的多維感測器資料建立訓練輸入、驗證輸入、測試輸入等。資料集產生器272還產生用於訓練機器學習模型的目標輸出220。目標輸出包括指示一或多個處理參數貫穿與處理操作相關聯的持續時間的時間演變的資料,例如蝕刻深度、蝕刻速率、沉積速率、結構尺寸等。在一些實施方式中,機器學習模型可以用於執行不同的任務,其中對輸入和目標輸出資料進行對應的改變。向機器學習模型供應資料輸入210和目標輸出220,用於訓練、測試、驗證等。
以各種不同方式表示的訓練輸入210和目標輸出220在本案內容的範圍內。值、清單、圖像和其他資料類型的向量或矩陣都可以用作資料輸入210和目標輸出220。
在一些實施方式中,資料集產生器272產生資料集(例如,訓練集、驗證集、測試集),所產生的資料集包括一或多個資料輸入210(例如,訓練輸入、驗證輸入、測試輸入)並且可以包括對應於資料輸入210的一或多個目標輸出220。資料集還可以包括將資料輸入210映射到目標輸出220的映射資料。資料輸入210也可以稱為「特徵」、「屬性」或「資訊」。在一些實施方式中,資料集產生器272可以向圖1的訓練引擎182、驗證引擎184或測試引擎186提供資料集,其中資料集用於訓練、驗證或測試圖1的機器學習模型190。可以關於圖4A進一步描述產生訓練集的一些實施方式。
在一些實施方式中,資料集產生器272可以產生對應於多維感測器資料的第一集合242A的第一資料輸入,以訓練、驗證或測試第一機器學習模型。資料集產生器272可以產生對應於多維感測器資料的第二集合242B的第二資料輸入,以訓練、驗證或測試第二機器學習模型。
在一些實施方式中,資料集產生器272可以對資料輸入210和目標輸出220中的一者或多者執行操作。資料集產生器272可以從資料中提取模式(斜率、曲率等),可以組合資料(平均、特徵生產等),或者可以將資料分成組(例如,在多維感測器資料的子集上訓練模型)並且使用這些組來訓練單獨的模型。
用於訓練、驗證或測試機器學習模型的資料輸入210和目標輸出220可以包括特定處理腔室的資訊。資料輸入210和目標輸出220可以包括特定產品設計的資訊(例如,用於該設計的所有基板)。資料輸入210和目標輸出220可以包括用於特定類型的處理、目標基板特性、目標處理腔室佇列的資訊,或者可以以另一種方式分組在一起。
在一些實施方式中,資料集產生器272可以產生目標輸出220的集合,包括處理參數230的演變。目標輸出220可以被分為對應於輸入資料集的集合。不同集合的目標輸出220可以與資料輸入210的類似限定的集合結合使用,包括訓練不同的模型,使用不同的集合來訓練、驗證和測試等。
目標輸出220可以藉由測量一或多個目標處理參數的演變來產生(例如,使用不同於機器學習的方法)。在一些實施方式中,機器學習模型可以被配置為輸出一或多個校正動作,例如,推薦的配方更新。可以藉由將效能資料中的趨勢與適當的校正動作相關聯來產生校正動作輸出220(例如,藉由使用不同於機器學習的方法)。使用者可以指示執行特定的校正動作解決了歷史預測效能與測量的效能之間的差異,可以故意引入製造故障以產生對訓練有用的資料等。在一些實施方式中,可以在沒有目標輸出220的情況下訓練模型(例如,無監督模型或半監督模型)。未被提供目標輸出的經訓練的模型可以例如被訓練以辨識預測的效能資料和測量的效能資料之間的顯著(例如,在誤差閾值之外的)差異。
在一些實施方式中,用於訓練機器學習模型的資訊可以來自具有具體特性的製造設施的具體類型的製造設備(例如,圖1的製造設備124)並且允許經訓練的機器學習模型基於與共用製造設備124的特定組的特徵的一或多個部件相關聯的多維感測器資料的輸入來決定用於製造設備124的該特定組的結果。在一些實施方式中,用於訓練機器學習模型的資訊可以用於來自兩個或更多個製造設施的部件,並且可以允許經訓練的機器學習模型基於來自一個製造設施的輸入來決定部件的結果。
在一些實施方式中,在產生資料集並且使用資料集訓練、驗證或測試機器學習模型之後,可以進一步(例如,經由重新訓練程序)訓練、驗證或測試或調整機器學習模型。
在一些實施方式中,類似於資料集產生器272的資料集產生器可以用於訓練(例如,更新、改進等)基於物理學的模型。可以訓練基於物理學的模型以例如校正不準確的假設(例如,方程中的近似值、材料特性等)、校正未知資訊(例如,製造部件中在製造容差內的差異)等。訓練基於物理學的模型可以包括調整模型的參數以減少模型輸出與目標輸出之間的殘差,例如,藉由梯度下降法。
圖3是圖示根據一些實施方式的用於產生輸出資料(例如,圖1的預測資料168)的系統300的方塊圖。系統300可用於分析與一或多個處理操作相關聯的多維感測器資料,並且輸出處理參數貫穿處理操作的持續時間的時間演變的指示。類似於系統300的系統可用於其他模型,諸如接收多維感測器資料並且產生預測異常的指示、建議的校正動作等的機器學習模型。系統300的一些或所有操作可用於經由基於物理學的模型產生指示一或多個基板及/或處理參數的演變的資料。在這些情況下,根據需要其他資料可以用作輸入和由系統300產生作為輸出。
參考圖3,在方塊310處,系統300(例如,圖1的預測系統110的部件)執行歷史資料364(例如,歷史多維感測器資料、歷史基板特性演變資料等)的資料分割,以產生訓練集302、驗證集304和測試集306。例如,訓練集可以是資料的60%,驗證集可以是資料的20%,並且測試集可以是資料的20%。
在方塊312處,系統300使用訓練集302執行模型訓練(例如,經由圖1的訓練引擎182)。系統300可以訓練一個模型或者可以使用訓練集302的特徵的多個集合(例如,特徵的第一集合包括訓練集302的多維資料子集,特徵的第二集合包括訓練集302的多維感測器資料的不同子集,等等)訓練多個模型。例如,系統300可以訓練機器學習模型以使用訓練集中的特徵的第一集合產生第一經訓練的機器學習模型並且使用訓練集中的特徵的第二集合(例如,與用於訓練第一機器學習模型的資料不同的資料)產生第二經訓練的機器學習模型。在一些實施方式中,可以組合第一經訓練的機器學習模型和第二經訓練的機器學習模型以產生第三經訓練的機器學習模型(例如,第三經訓練的機器學習模型自身可以是比第一經訓練的機器學習模型或第二經訓練的機器學習模型更好的預測器)。在一些實施方式中,用於比較模型的特徵的集合可以重疊(例如,可以用與光學反射資料波長的第一集合相關聯的多維感測器資料訓練一個模型,並且可以用指示光學反射資料波長的第二集合的多維感測器資料訓練另一個模型,其中第二集合包括第一集合中的一或多個波長,不同的模型可以用來自基板不同位置的資料訓練,等等)。在一些實施方式中,可以產生數百個模型,包括具有各種特徵排列和模型組合的模型。
在方塊314處,系統300使用驗證集304執行模型驗證(例如,經由圖1的驗證引擎184)。系統300可以使用驗證集304的特徵的對應集合來驗證每個經訓練的模型。例如,驗證集304可以使用與在訓練集302中使用的相同的效能資料子集,但用於不同的輸入條件。在一些實施方式中,系統300A可以驗證在框312處產生的數百個模型(例如,具有各種特徵排列、模型組合等的模型)。在方塊314處,系統300可以決定一或多個經訓練的模型之每一者模型的準確度(例如,經由模型驗證),並且可以決定經訓練的模型中的一或多個模型所具有的準確度是否滿足閾值準確度。回應於決定經訓練的模型所具有的準確度都不滿足閾值準確度,流程返回方塊312,此處系統300使用訓練集的特徵的不同集合來執行模型訓練。回應於決定經訓練的模型中的一或多個模型所具有的準確度滿足閾值準確度,則流程繼續到方塊316。系統300可以丟棄所具有的準確度低於閾值準確度的經訓練的機器學習模型(例如,基於驗證集)。
在方塊316處,系統300可以執行模型選擇(例如,經由圖1的選擇引擎185)以決定滿足閾值準確度的一或多個經訓練的模型中的哪個模型具有最高的準確度(例如,基於關於方塊314的驗證的所選擇模型308)。例如,如果只訓練了一個模型,方塊316的操作可以被跳過。回應於決定滿足閾值準確度的兩個或更多個經訓練的模型具有相同準確度,流程可以返回到方塊312,此處系統300使用對應於進一步改進的特徵集合的進一步改進的訓練集來執行模型訓練,以決定具有最高準確度的經訓練的模型。
在方塊318處,系統300使用測試集306執行模型測試(例如,經由圖1的測試引擎186)以測試所選模型308。系統300可以使用測試集中的特徵的第一集合來測試第一經訓練的機器學習模型,以決定第一經訓練的機器學習模型滿足閾值準確度(例如,基於測試集306的特徵的第一集合)。回應於所選擇模型308的準確度不滿足閾值準確度(例如,所選擇模型308過度擬合訓練集302及/或驗證集304並且不適用於諸如測試集306的其他資料集),流程繼續到方塊312,此處系統300使用可能對應於特徵的不同集合的不同訓練集,或對被分為訓練集、驗證集和測試集的基板進行重新組織,來執行模型訓練(例如,重新訓練)。回應於基於測試集306決定所選擇模型308所具有的準確度滿足閾值準確度,流程繼續到方塊320。在至少方塊312中,模型可以學習多維感測器資料中的模式以做出預測,並且在方塊318中,系統300可以將模型應用於剩餘資料(例如,測試集306)上以測試預測。
在方塊320,系統300使用經訓練的模型(例如,所選擇模型308)來接收當前資料354(例如,與未包括在歷史資料364中的基板相關聯的當前多維感測器資料)並且從經訓練的模型的輸出決定(例如,提取)預測資料368(例如,圖1的預測資料168)。在一些實施方式中,預測資料368指示動作,例如,可以包括執行校正動作的建議(例如,執行與圖1的製造設備124相關聯的校正動作,向圖1的客戶端裝置120提供警報等)。
在一些實施方式中,機器學習模型的重新訓練藉由提供附加資料以進一步訓練模型而發生。可以在方塊312處提供當前資料354。也可以提供額外的時間演變資料346(例如,指示諸如蝕刻深度、蝕刻速率、沉積速率等特性貫穿處理操作的持續時間的演變的資料)。藉由併入不屬於原始訓練的輸入參數、併入在原始訓練所跨越的參數空間之外的輸入參數,這些資料可以不同於最初用於訓練模型的資料,或者這些資料可以更新以反映腔室具體知識(例如,由於製造容差範圍、老化部件等而與理想腔室的差異)。可以基於此資料重新訓練所選擇模型308。
在一些實施方式中,動作310~320中的一或多個動作可以以各種順序發生及/或與本文未呈現和描述的其他動作一起發生。在一些實施方式中,可以不執行動作310-320中的一或多個動作。例如,在一些實施方式中,可以不執行以下項中的一者或多者:方塊310的資料分割、方塊314的模型驗證、方塊316的模型選擇或方塊318的模型測試。在訓練基於物理學的模型時,例如,為了獲取多維感測器資料作為輸入並且產生一或多個處理參數的預測時間演變作為輸出,可以執行這些操作的子集。
圖4A~圖4C是根據一些實施方式的與多維感測器資料的分析相關聯的方法400A~400C的流程圖。方法400A~400C可以由處理邏輯執行,處理邏輯可以包括硬體(例如,電路、專用邏輯、可程式設計邏輯、微代碼、處理裝置等)、軟體(諸如在處理裝置、通用電腦系統或專用機器上運行的指令)、韌體、微代碼或上述的組合。在一些實施方式中,方法400A~400C可以由預測系統110部分地執行。方法400A可以由預測系統110(例如,圖1的伺服器機器170和資料集產生器172、圖2的資料集產生器272)部分地執行。根據本案內容的實施方式,預測系統110可以使用方法400A來產生資料集以進行訓練模型、驗證模型或測試模型中的至少一者。模型可以是基於物理學的數位孿生模型(例如,用於產生基板支撐件的預測效能資料)、機器學習模型(例如,用於產生晶圓的預測效能資料、用於產生指示與製造設備的部件相關聯的校正動作的資料等)、統計模型、或經訓練以接收輸入並且產生與基板支撐件表徵相關的輸出的另一模型。方法400B可由預測伺服器112(例如,預測部件114等)執行。方法400C可以由伺服器機器180(例如,訓練引擎182)執行。在一些實施方式中,非暫時性儲存媒體儲存指令,當由處理裝置(例如,預測系統110的處理裝置、伺服器機器180的處理裝置、預測伺服器112的處理裝置等)執行該指令時,該指令促使處理裝置執行方法400A~400C中的一者或多者。
為解釋的簡單,方法400A~400C被圖示和描述為一系列操作。然而,根據本案內容的操作可以以各種順序發生及/或並行地發生和與本文未呈現和描述的其他操作一起發生。此外,實施根據所揭示的標的的方法400A~400C可能不需要執行所有所有圖示的操作。另外,熟習此項技術者將理解和領會,方法400A~400C可以替代地經由狀態圖或事件而被表示為一系列相互關聯的狀態。
圖4A是根據一些實施方式的用於為用於產生預測資料(例如,圖1的預測資料168)的機器學習模型產生資料集的方法400A的流程圖。
參考圖4A,在一些實施方式中,在方塊401處,處理邏輯實現方法400A將訓練集T初始化為空集。在方塊402處,處理邏輯產生第一資料輸入(例如,第一訓練輸入、第一驗證輸入),該第一資料輸入可包括多維感測器資料、處理腔室效能資料、測量的基板效能資料、基板計量資料(例如,膜特性,諸如厚度、材料成分、光學特性,粗糙度等)等。在一些實施方式中,第一資料輸入可以包括第一類型資料的特徵的第一集合,並且第二資料輸入可以包括第二類型資料的特徵的第二集合(例如,如關於圖3描述的)。
在方塊403處,處理邏輯針對資料輸入中的一或多個(例如,第一資料輸入)產生第一目標輸出。在一些實施方式中,第一目標輸出包括一或多個處理參數貫穿處理操作的持續時間的時間演變的指示,處理參數例如是蝕刻深度、蝕刻速率、基板結構尺寸等。在一些實施方式中,第一目標輸出是基板的效能資料。在一些實施方式中,第一目標輸出包括指示校正動作的資料。在一些實施方式中,不產生目標輸出(例如,用於訓練無監督機器學習模型)。
在方塊404處,處理邏輯可選地產生指示輸入/輸出映射的映射資料。輸入/輸出映射(或映射資料)可以是指資料輸入(例如,本文描述的資料輸入中的一或多個)、針對資料輸入的目標輸出、和在(多個)資料輸入與資料輸入之間的關聯。在一些實施方式中(例如,沒有目標輸出資料的彼等實施方式)可以不執行這些操作。
在方塊405處,在一些實施方式中,處理邏輯將在方塊404處產生的映射資料添加到資料集T。在方塊406處,處理邏輯基於資料集T是否足以用於圖1的模型190的訓練、驗證及/或測試中的至少一者而分支。若是,則執行繼續到方塊407,否則,執行繼續返回方塊402處。應當注意,在一些實施方式中,資料集T的充分性可以簡單地基於在資料集中的輸入(在一些實施方式中是映射到輸出的輸入)的數量來決定,而在一些其他實施方式中,作為輸入的數量的補充或替代,可以基於一或多個其他標準(例如,資料示例的多樣性的度量、準確度等)來決定資料集T的充分性。
在方塊407處,處理邏輯提供資料集T(例如,提供至圖1的伺服器機器180),以訓練、驗證及/或測試模型190。在一些實施方式中,資料集T是訓練集並且向伺服器機器180的訓練引擎182提供資料集T以執行訓練。在一些實施方式中,資料集T是驗證集並且向伺服器機器180的驗證引擎184提供資料集T以執行驗證。在一些實施方式中,資料集T是測試集並且向伺服器機器180的測試引擎186提供資料集T以執行測試。
圖4B是根據一些實施方式的用於執行多維感測器資料的時間分析的方法400B的流程圖。在方法400B的方塊410處,處理邏輯接收第一資料。第一資料包括來自處理腔室的一或多個感測器的資料。第一資料與處理操作相關聯。第一資料是多維的,例如,在至少兩個維度上被解析。第一資料在時間上被解析,例如,多維度資料的一個維度是時間。
在一些實施方式中,第一資料包括一或多個感測器貫穿持續時間(例如,處理操作的持續時間)進行的重複測量。在一些實施方式中,感測器測量可在整個處理操作中重複多次,例如,可以以多個時間步長、時間訊框等重複。在一些實施方式中,在不同訊框處收集不同資料,例如,並非每個在獨立於時間維度的維度上表示的點都可以在每個資料收集時間處表示。例如,多維感測器資料可以包括光譜資料。在一些實施方式中,可以在第一時間步長處記錄波長測量的第一子集,可以在第二時間步長處記錄波長測量的第二子集,等等。
在一些實施方式中,第一資料包括處理腔室中基板的原位測量。如本文所使用的,原位測量指示在基板的處理期間獲取的測量,例如,在處理操作期間記錄光學反射資料。原位測量可以包括頻率相關測量,例如,光譜解析測量(光學反射、X射線測量等)、聲學測量等。
在方塊412處,處理邏輯向模型(例如,圖1的模型190)提供第一資料。在一些實施方式中,模型包括基於物理學的模型。在一些實施方式中,模型包括機器學習模型。在方塊414處,處理邏輯從模型接收第二資料。第二資料包括一或多個處理參數(例如,蝕刻速率、蝕刻深度、結構幾何形狀、沉積速率等)在處理操作期間(例如,處理操作的整個持續時間)的演變的指示。
模型可以被配置為接收多維感測器資料(例如,在時間和至少一個其他維度上解析的資料)作為輸入,並且同時地擬合資料以產生處理操作演變、基板的一或多個尺寸的演變等的指示。模型可以被配置為整體地處理輸入資料,例如,同時地處理來自處理操作的整個持續時間的資料。在一些實施方式中,模型可以被配置為產生指示校正動作的輸出資料,例如,對配方的調整、推薦的維護、推薦的部件更換等。結合圖5進一步討論模型的操作。在方塊416處,處理邏輯促使鑒於第二資料執行校正動作。促使執行校正動作可以包括更新處理配方、安排維護(例如,安排預防性維護、安排校正性維護等)、向使用者發送警報等。
圖4C是根據一些實施方式的用於結合多維感測器資料的分析來利用機器學習模型的方法400C的流程圖。在方塊420處,處理邏輯接收第一歷史資料。第一歷史資料包括來自一或多個處理腔室的一或多個感測器的資料。第一歷史資料與一或多個處理操作相關聯。第一歷史資料是多維的,例如在至少時間和一個其他維度上被解析。第一歷史資料可包括與許多基板、許多處理運行等相關聯的感測器資料。
在方塊422處,處理邏輯接收第二歷史資料。第二歷史資料包括處理操作期間處理參數的演變的指示。例如,第二歷史資料可以包括指示蝕刻深度、蝕刻速率、沉積速率、結構的幾何形狀等貫穿處理操作的持續時間的時間演變的資料。第二資料可與第一資料關聯,例如,可存在指示與相同基板、相同腔室、相同基板設計、相同處理配方或類似者相關聯的感測器資料和時間處理參數演變資料之間的聯絡的資料。
在方塊424處,處理邏輯訓練機器學習模型。訓練機器學習模型包括向機器學習模型提供訓練輸入資料。訓練機器學習模型可以包括向機器學習模型提供目標輸出資料。機器學習模型可以被配置為接收類似於訓練輸入資料(例如,類型相同、具有相同來源等)的資料並且產生類似於目標輸出資料的資料。方法400C的機器學習模型可以包括神經網路(例如,人工神經網路)、支援向量機、徑向基函數、聚類、k最近鄰演算法、隨機森林等中的一或多個。結合圖3更詳細地描述了訓練機器學習模型。
在方塊426處,處理邏輯接收第一當前資料。第一當前資料包括與在方塊420接收的第一歷史資料類型相同的資料(例如,多維感測器資料)。第一當前資料可以具有與在圖4B的方塊410處接收的第一資料共同的特徵。在方塊428處,向經訓練的機器學習模型提供第一當前資料。
在方塊430處,處理邏輯從經訓練的機器學習模型接收第二當前資料。第二當前資料包括與在方塊422處接收的第二歷史資料類型相同的資料(例如,指示一或多個處理參數的時間演變的資料)。第二當前資料可以具有與在圖4B的方塊414處接收的第二資料共同的特徵。在方塊432處,處理邏輯促使鑒於第二當前資料執行校正動作。方塊432的操作可以具有與圖4B的方塊416的操作共同的特徵。
圖5圖示根據一些實施方式的用於利用多維感測器資料502來產生預測資料520的資料分析系統500。在一些實施方式中,指示處理操作的效能的資料由處理腔室感測器526(例如,圖1的感測器126)產生。處理腔室感測器526可包括測量腔室溫度、腔室壓力、供應的電功率、電阻、光學特性、氣體流率、化學特性、聲學特性等的感測器。在一些實施方式中,處理腔室感測器526包括接收光學資料(例如,基板反射光譜)的感測器。處理腔室感測器526產生多維感測器資料502。多維感測器資料502可以在至少時間和一個其他維度上被解析。例如,由光學感測器產生的資料可以在頻率/波長和時間上被解析。作為另一個示例,壓力和溫度資料可以在時間和感測器(例如,感測器位置、感測器ID等)上被解析。在一些實施方式中,可以及時重複測量在一個維度中所有的解析資料點。例如,光譜資料可以解析數個波長處的信號,並且在處理操作的整個持續期間可以重複多次彼等波長的測量。在一些實施方式中,可以在一或多個時間步長處測量選擇的資料點。例如,聲學感測器可以及時循環測量的頻率,使得在每個時間步長處不針對每個可測量頻率測量資料點。在一些實施方式中,多維感測器資料502可以包括來自處理操作的整個持續時間的資料,例如,可以在處理操作已經結束之後向模型化系統510提供多維感測器資料502。在一些實施方式中,多維感測器資料502可以包括來自處理操作的一部分持續時間的資料。
向模型化系統510提供多維感測器資料502。模型化系統510可以被包括在圖1的預測系統110中,可以完全或部分地託管在圖1的預測伺服器112等上。模型化系統510可以被配置為同時在至少兩個維度上擬合多維感測器資料502,例如,模型化系統510可以包括被配置為擬合多個資料收集通道(例如、感測器、波長、頻率、位置等)的時間演變的部件、演算法等。同時擬合多維資料的多個維度提供優於習知方法的技術優勢。可用於擬合的資料點數量的增加(例如,由於將來自多個時間步長或時間訊框的資料擬合在一起)可以增加可擬合的參數的數量,可以增加可預測的物理維度的數量,可以增加處理演變的確定性(例如,在處理操作的持續時間內蝕刻速率的改變),可以增加擬合程序對雜訊信號的穩健性等。增加的可用資訊,增加的確定性,和增加的從雜訊信號中提取資訊的能力可以提高資料處理的效率,例如,可需要運行更少的測試操作來指示新配方、新腔室、新操作、新基板設計或類似者的效能。對處理參數時間演變的可靠分析提高了處理學習(例如,新處理配方的開發、新產品設計的開發等)的效率。
模型化系統510可以包括資料擬合模組512、物理模型514和資料模擬器516。可以將多維資料提供至資料擬合模組512。資料擬合模組512可以被配置為接收多維感測器資料作為輸入並且產生資料的描述作為輸出(例如擬合、函數形式等)。資料擬合模組512可以使用回歸模型、基於規則的模型等產生輸出。資料擬合模組512可以鑒於輸入資料擬合一或多個參數的值。資料擬合模組512可以擬合隨時間的多個值,例如,來自多個感測器的隨時間的回應、由感測器測量的多個特性(例如,光學波長、聲學頻率等)隨時間的回應或類似者。
可以向物理模型514提供資料擬合模組512的輸出。物理模型514可被配置為接受資料(例如,來自資料擬合模組512的擬合參數值)並且產生所製造裝置的特性的指示。例如,物理模型514可以從擬合模組512接收擬合參數並且產生基板計量在處理操作的持續時間內的演變的指示。物理模型514可以表示多個特性隨時間的演變。例如,物理模型514可以表示蝕刻深度、蝕刻寬度、沉積深度、結構尺寸或類似者在持續時間(例如,與多維感測器資料502相關聯的處理操作的持續時間)內的演變。
可以向資料模擬器516提供指示預測的物理系統演變的資料(例如,物理模型514的輸出)。資料模擬器516可以被配置為根據物理模型產生合成感測器資料。資料模擬器516可以併入與媒體(例如,電磁輻射)相互作用的實體物件(例如,基板)的模型。資料模擬器516可以包括數位孿生模型。如本文所使用的,數位孿生是實體資產(例如,所製造的零件或基板)的數位複製品。數位孿生包括實體資產的特徵,諸如座標軸維度、重量特徵、材料特徵(例如,密度、表面粗糙度)、光學特徵(例如,反射率)等。在一些實施方式中,資料模擬器516可以求解具有物理意義的方程(例如,描述結構和輻射相互作用的方程組)來產生合成感測器資料。在一些實施方式中,可以向擬合模組512提供資料模擬器516的輸出。可以遞迴地改善預測直到達到足夠的準確度(例如,直到解收斂在閾值內)。模型化系統510然後可以輸出預測資料520。預測資料520可以與圖1的預測資料168共用特徵。預測資料可以包括對基板參數在處理操作的持續時間內的預測演變的描述。例如,預測資料520可以包括在處理操作的持續時間內蝕刻深度演變的指示。
在一些實施方式中,系統500的功能可以由一或多個機器學習模型執行。在一些實施方式中,模型化系統510的功能可以由一或多個機器學習模型執行。在一些實施方式中,模型化系統510可以用機器學習模型代替。可以以監督方式訓練機器學習模型(例如,使用標記的訓練資料訓練)。可以以半監督方式訓練機器學習模型(例如,使用一些標記的訓練資料和一些未標記的訓練資料來訓練)。可以向機器學習模型提供感測器資料作為訓練輸入,並且向機器學習模型提供指示基板計量(例如,蝕刻深度、沉積深度等)的標籤作為目標輸出。在操作中,可以向經訓練的機器學習模型提供多維感測器資料502並且經訓練的機器學習模型可以產生預測資料520作為輸出。
在一些實施方式中,物理模型514可以用機器學習模型代替。在訓練中,可以向機器學習模型提供擬合資料(例如,從多維感測器資料502產生的擬合參數)作為訓練輸入,並且向機器學習模型提供一或多個基板尺寸(例如,蝕刻深度)的指示作為目標輸出。在操作中,機器學習模型可以接收來自擬合模組512的擬合輸出並且產生基板的物理尺寸的預測作為輸出。系統500的其他操作可以替代地以機器學習模型代替,其中對在訓練操作中提供的訓練輸入和目標輸出和在模型操作中提供的輸入和產生的輸出進行對應的改變。
圖6是圖示根據一些實施方式的電腦系統600的方塊圖。在一些實施方式中,電腦系統600可以(例如,經由網路,諸如區域網路(LAN)、內部網路、外部網路或網際網路)連接到其他電腦系統。電腦系統600可以在客戶端-伺服器環境中以伺服器或客戶端電腦的身份操作,或者在同級間或分散式網路環境中作為同級點電腦操作。電腦系統600可以由個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換機或橋接器、基於雲端的計算裝置、虛擬計算裝置或能夠執行一組指令(順序或以其他方式)的任何裝置(這些指令指定該裝置要採取的動作)來提供。此外,術語「電腦」應包括單獨或聯合地執行一組(或多組)指令以執行本文描述的任何一或多個方法的任何電腦集合。
在另一態樣中,電腦系統600可以包括處理裝置602、揮發性記憶體604(例如,隨機存取記憶體(RAM))、非揮發性記憶體606(例如,唯讀記憶體(ROM)或電子可抹除可程式設計ROM(EEPROM))和資料儲存裝置618,這些裝置可以經由匯流排608彼此通訊。
處理裝置602可以由一或多個處理器提供,諸如通用處理器(諸如,舉例而言,複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實現其他類型指令集的微處理器、或實現指令集類型組合的微處理器)或專用處理器(諸如,舉例而言,專用積體電路(ASIC)、現場可程式設計閘陣列(FPGA)、數位訊號處理器(DSP)、或網路處理器)。
電腦系統600可以進一步包括(例如,耦合到網路674的)網路介面裝置622。電腦系統600還可以包括視訊顯示單元610(例如LCD)、文數字輸入裝置612(例如鍵盤)、遊標控制裝置614(例如滑鼠)和信號產生器裝置620。
在一些實施方式中,資料儲存裝置618可以包括非暫時性電腦可讀儲存媒體624(例如非暫時性機器可讀儲存媒體),在非暫時性電腦可讀儲存媒體624上可以儲存指令626,指令626對本文描述的方法或功能中的任何一或多個進行編碼,包括對圖1的部件(例如,預測部件114、模型190等)進行編碼並且用於實施本文描述的方法的指令。
指令626在由電腦系統600執行期間也可以完全或部分地常駐在揮發性記憶體604及/或處理裝置602內,因此,揮發性記憶體604和處理裝置602也可以構成機器可讀儲存媒體。
雖然電腦可讀儲存媒體624在說明性示例中示出為單個媒體,但術語「電腦可讀儲存媒體」應包括儲存一組或多組可執行指令的單個媒體或多個媒體(例如,集中式或分散式資料庫及/或關聯的快取記憶體和伺服器)。術語「電腦可讀儲存媒體」還應包括能夠儲存或編碼一組指令以供電腦執行的任何有形媒體,這些指令使電腦執行本文描述的任何一或多個方法。術語「電腦可讀儲存媒體」應包括但不限於固態記憶體、光學媒體和磁性媒體。
本文描述的方法、部件和特徵可以由離散的硬體部件實施,或者可以整合在諸如ASICS、FPGA、DSP或類似裝置的其他硬體部件的功能中。另外,方法、部件和特徵可以藉由韌體模組或硬體裝置內的功能電路來實施。此外,方法、部件和特徵可以以硬體裝置和電腦程式部件的任何組合或以電腦程式來實施。
除非另有明確說明,諸如「接收」、「執行」、「提供」、「獲得」、「促使」、「存取」、「決定」、「添加」、「使用」、「訓練」、「產生」、「辨識」、「分配」、「更新」、「排程」、「校正」或類似術語的術語是指由電腦系統執行或實施的動作和處理,這些動作和處理將在電腦系統暫存器和記憶體中表示為物理(電子)量的資料操縱和轉換為在電腦系統記憶體或暫存器或其他此類資訊儲存、傳輸或顯示裝置內類似地表示為物理量的其他資料。而且,本文中使用的術語「第一」、「第二」、「第三」、「第四」等為標籤,為區分不同元件而設,並且可以不具有根據它們的數字名稱的順序含義。
本文描述的示例還涉及用於執行本文描述的方法的設備。此設備可以被具體地構造而用於執行本文描述的方法,或者此設備可以包括通用電腦系統,該系統由儲存在電腦系統中的電腦程式選擇性地程式設計。這樣的電腦程式可以儲存在電腦可讀的有形儲存媒體中。
本文描述的方法和說明性示例並不固有地與任何特定電腦或其他設備相關。可以根據本文描述的教示使用各種通用系統,或者可以證明構造更專用的裝置以執行本文描述的方法及/或方法的每個個別功能、常式、子常式或操作是方便的。上文的描述中闡述了各種這些系統的示例。
上文的描述意圖是說明性的,而不是限制性的。儘管已經參考具體說明性示例和實施方式描述了本案內容,但是將認識到,本案內容不限於所描述的示例和實施方式。本案內容的範圍應參照所附請求項以及請求項所賦予的均等物的全部範圍來決定。
100:示例性系統 110:預測系統 112:預測伺服器 114:預測部件 120:客戶端裝置 122:校正動作部件 124:製造設備 126:感測器 128:計量設備 130:網路 140:資料儲存 142:感測器資料 144:歷史感測器資料 146:當前感測器資料 150:製造參數 160:計量資料 168:預測資料 170:伺服器機器 172:資料集產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 185:選擇引擎 186:測試引擎 190:模型 200:系統 210:資料輸入 220:目標輸出 230:處理參數 242A:第一集合 272:資料集產生器 300:系統 302:訓練集 304:驗證集 306:測試集 308:所選擇模型 310:動作 312:動作 314:動作 316:動作 318:動作 320:動作 346:額外的時間演變資料 354:當前資料 364:歷史資料 368:預測資料 400A:方法 401:方塊 402:方塊 403:方塊 404:方塊 405:方塊 406:方塊 407:方塊 400B:方法 410:方塊 412:方塊 414:方塊 416:方塊 400C:方法 420:方塊 422:方塊 424:方塊 426:方塊 428:方塊 430:方塊 432:方塊 502:多維感測器資料 510:模型化系統 512:資料擬合模組 514:物理模型 516:資料模擬器 520:預測資料 526:處理腔室感測器 600:電腦系統 602:處理裝置 604:揮發性記憶體 606:非揮發性記憶體 608:匯流排 610:視訊顯示單元 612:文數字輸入裝置 614:遊標控制裝置 618:資料儲存裝置 620:信號產生器裝置 622:網路介面裝置 624:非暫時性電腦可讀儲存媒體 626:指令 674:網路
藉由示例的方式而不是限制的方式在附圖的各圖中圖示本案內容。
圖1是圖示根據一些實施方式的示例性系統(示例性系統架構)的方塊圖。
圖2是根據一些實施方式的用於為模型建立資料集的示例資料集產生器的方塊圖。
圖3是圖示根據一些實施方式的用於產生輸出資料的系統的方塊圖。
圖4A-圖4C是根據一些實施方式的與多維感測器資料的分析相關聯的方法的流程圖。
圖5圖示根據一些實施方式的用於利用多維感測器資料來產生預測資料的資料分析系統。
圖6是圖示根據一些實施方式的電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
502:多維感測器資料
510:模型化系統
512:資料擬合模組
514:物理模型
516:資料模擬器
520:預測資料
526:處理腔室感測器

Claims (20)

  1. 一種方法,包含: 由一處理裝置接收第一資料,其中該第一資料包含由與處理操作相關聯的一處理腔室的一或多個感測器產生的資料,並且其中該第一資料在至少兩個維度上被解析,其中該至少兩個維度中的一個維度是時間; 提供該第一資料作為一模型的輸入,其中該模型被配置為在與該處理操作相關聯的一持續時間內擬合該第一資料的一時間演變; 獲得第二資料作為模型的輸出,其中該第二資料包含在該處理操作期間的一處理參數的一演變的一指示;和 促使鑒於該第二資料執行一校正動作。
  2. 根據請求項1之方法,其中該第一資料包含該處理腔室中的一基板的原位測量。
  3. 根據請求項1之方法,其中該至少兩個維度包含一信號的頻率。
  4. 根據請求項1之方法,其中該第一資料包含與偵測電磁輻射相關聯的光譜解析資料。
  5. 根據請求項1之方法,其中該模型包括基於物理學的模型,並且其中該模型被配置為將參數擬合到一多維擬合函數。
  6. 根據請求項1之方法,其中該模型包含一經訓練的機器學習模型。
  7. 根據請求項6之方法,進一步包含: 接收第一歷史資料,其中該第一歷史資料與該第一資料的類型相同; 接收第二歷史資料,其中該第二歷史資料與該第二資料的類型相同;和 藉由提供該第一歷史資料作為訓練輸入並且提供該第二歷史資料作為目標輸出來訓練該機器學習模型。
  8. 根據請求項1之方法,其中促使鑒於該第二資料執行該校正動作包含: 提供一使用者介面,該使用者介面呈現該處理操作期間的該處理參數的該演變的該指示; 經由該使用者介面接收使用者輸入;和 基於該使用者輸入決定該校正動作,其中該校正動作包含以下項中的一者或多者: 更新一處理配方; 排程校正性維護; 排程預防性維護;或 向一使用者發送警報。
  9. 根據請求項1之方法,其中該處理參數包含一蝕刻速率或一沉積速率。
  10. 一種系統,包含記憶體和耦合到該記憶體的一處理裝置,其中該處理裝置用於: 接收第一資料,其中該第一資料包含來自與一處理操作相關聯的一處理腔室的一或多個感測器的資料,並且其中該第一資料在至少兩個維度上被解析,其中該至少兩個維度中的一個維度是時間; 向一模型提供該第一資料; 從該模型接收第二資料,其中該第二資料包含在該處理操作期間的一處理參數的一演變的一指示;和 促使鑒於該第二資料執行一校正動作。
  11. 根據請求項10之系統,其中該第一資料包含該處理腔室中的一基板的原位測量。
  12. 根據請求項10之系統,其中該至少兩個維度中的一個維度包含頻率。
  13. 根據請求項10之系統,其中該第一資料包含與電磁輻射的光譜解析偵測相關聯的資料。
  14. 根據請求項10之系統,其中該模型包含一基於物理學的模型,並且其中該模型擬合該第一資料在與該處理操作相關聯的一持續時間內的一時間演變。
  15. 根據請求項14之系統,其中該處理裝置進一步用於: 接收第一歷史資料,其中該第一歷史資料與該第一資料的類型相同; 接收第二歷史資料,其中該第二歷史資料與該第二資料的類型相同;和 藉由提供該第一歷史資料作為訓練輸入並且提供該第二歷史資料作為目標輸出來訓練一機器學習模型。
  16. 根據請求項10之系統,其中該處理參數包含一蝕刻速率或一沉積速率。
  17. 一種儲存指令的非暫時性機器可讀儲存媒體,當該等指令被執行時促使一處理裝置執行操作,該等操作包含: 接收第一資料,其中該第一資料包含由與一處理操作相關聯的一處理腔室的一或多個感測器產生的資料,並且其中該第一資料在至少兩個維度上被解析,其中該至少兩個維度中的一個維度是時間; 向一模型提供該第一資料; 從該模型接收第二資料,其中該第二資料包含在處理操作期間的一處理參數的一演變的一指示;和 促使鑒於該第二資料執行一校正動作。
  18. 根據請求項17之非暫時性機器可讀儲存媒體,其中該第一資料包含該處理腔室中的一基板的原位測量。
  19. 根據請求項17之非暫時性機器可讀儲存媒體,其中該第一資料包含與偵測電磁輻射相關聯的光譜解析資料。
  20. 根據請求項17之非暫時性機器可讀儲存媒體,其中該模型包含一基於物理學的模型,並且其中該模型擬合該第一資料在與該處理操作相關聯的一持續時間內的一時間演變。
TW112116923A 2022-05-11 2023-05-08 用於基板處理設備的多維感測器資料的整體分析 TW202409764A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/742,332 US20230367302A1 (en) 2022-05-11 2022-05-11 Holistic analysis of multidimensional sensor data for substrate processing equipment
US17/742,332 2022-05-11

Publications (1)

Publication Number Publication Date
TW202409764A true TW202409764A (zh) 2024-03-01

Family

ID=88668033

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112116923A TW202409764A (zh) 2022-05-11 2023-05-08 用於基板處理設備的多維感測器資料的整體分析

Country Status (4)

Country Link
US (1) US20230367302A1 (zh)
KR (1) KR20230158428A (zh)
CN (1) CN117056682A (zh)
TW (1) TW202409764A (zh)

Also Published As

Publication number Publication date
US20230367302A1 (en) 2023-11-16
KR20230158428A (ko) 2023-11-20
CN117056682A (zh) 2023-11-14

Similar Documents

Publication Publication Date Title
US20230259112A1 (en) Diagnostic tool to tool matching and comparative drill-down analysis methods for manufacturing equipment
US20220198333A1 (en) Recipe optimization through machine learning
US20230195061A1 (en) Manufacturing equipment parts quality management system
US20240273443A1 (en) Diagnostic tool to tool matching methods for manufacturing equipment
TW202343177A (zh) 用於製造設備的診斷工具與工具之匹配和全跡比較下鑽分析方法
TW202309791A (zh) 晶圓上的降維
US20230316593A1 (en) Generating synthetic microspy images of manufactured devices
TW202409764A (zh) 用於基板處理設備的多維感測器資料的整體分析
US11749543B2 (en) Chamber matching and calibration
US20230222264A1 (en) Processing chamber calibration
US20240086597A1 (en) Generation and utilization of virtual features for process modeling
US20230195074A1 (en) Diagnostic methods for substrate manufacturing chambers using physics-based models
US20240144464A1 (en) Classification of defect patterns of substrates
US20240037442A1 (en) Generating indications of learning of models for semiconductor processing
US20240062097A1 (en) Equipment parameter management at a manufacturing system using machine learning
TW202431038A (zh) 產生和利用用於製程建模的虛擬特徵
CN117678061A (zh) 使用基于物理信息的压缩感知来虚拟测量邻近基板的状态
TW202333080A (zh) 用於製造裝備的機器學習模型產生和更新
TW202349153A (zh) 用於判定處理設備效能的綜合分析模組
TW202433045A (zh) 基板的缺陷圖案的分類
CN118076932A (zh) 用于改善制造设备的维护质量的校验
CN118435339A (zh) 用于构建数字孪生的基板支撑件特性化
CN118020083A (zh) 使用缺陷模型估计缺陷风险并优化处理配方
TW202341307A (zh) 用於腔室條件監測的預測模型