KR20230158428A - 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석 - Google Patents

기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석 Download PDF

Info

Publication number
KR20230158428A
KR20230158428A KR1020230061072A KR20230061072A KR20230158428A KR 20230158428 A KR20230158428 A KR 20230158428A KR 1020230061072 A KR1020230061072 A KR 1020230061072A KR 20230061072 A KR20230061072 A KR 20230061072A KR 20230158428 A KR20230158428 A KR 20230158428A
Authority
KR
South Korea
Prior art keywords
data
model
processing
machine learning
prediction
Prior art date
Application number
KR1020230061072A
Other languages
English (en)
Inventor
차오 리우
유동 하오
쉬팡 리
안드레아스 슐즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230158428A publication Critical patent/KR20230158428A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/406Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by monitoring or safety
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/23Clustering techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31455Monitor process status
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33034Online learning, training
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Software Systems (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Quality & Reliability (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Human Computer Interaction (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

방법은, 처리 디바이스에 의해, 제1 데이터를 수신하는 단계를 포함한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 방법은 제1 데이터를 모델에 제공하는 단계를 더 포함한다. 방법은 모델로부터 제2 데이터를 수신하는 단계를 더 포함한다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 방법은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 단계를 더 포함한다.

Description

기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석{HOLISTIC ANALYSIS OF MULTIDIMENSIONAL SENSOR DATA FOR SUBSTRATE PROCESSING EQUIPMENT}
본 명세서는 기판 처리와 연관된 다차원 센서 데이터의 분석에 관한 것이다. 더 구체적으로, 본 명세서는, 처리 동작의 지속기간을 통한 기판 처리 파라미터들의 전개의 표시를 생성하기 위한, 다차원 센서 데이터의 전체적인 시간적 분석에 관한 것이다.
챔버들은 많은 유형들의 처리 시스템들에서 사용된다. 챔버들의 예들은 식각 챔버들, 퇴적 챔버들, 어닐링 챔버들 등을 포함한다. 전형적으로, 기판, 예컨대, 반도체 웨이퍼는 챔버 내의 기판 지지부 상에 배치되고, 챔버 내의 조건들은 기판을 처리하도록 설정되고 유지된다. 처리 조건들, 기판에 대한 조건들의 영향, 및 시간에 따른 이러한 파라미터들의 전개들의 상세한 이해는 제품 특성들의 엄격한 제어를 가능하게 한다.
다음은, 본 개시내용의 일부 양상들의 기본적인 이해를 제공하기 위해 본 개시내용의 간략화된 요약이다. 이 요약은 본 개시내용의 광범위한 개요가 아니다. 이는 본 개시내용의 핵심적이거나 중요한 요소들을 식별하기 위해 의도된 것도 아니고, 본 개시내용의 특정 구현들의 임의의 범위 또는 청구항들의 임의의 범위를 기술하기 위해 의도된 것도 아니다. 그의 유일한 목적은, 이후에 제시되는 더 상세한 설명에 대한 서두로서 본 개시내용의 일부 개념들을 간략화된 형태로 제시하는 것이다.
본 개시내용의 일 양상에서, 방법은 처리 디바이스에 의해, 제1 데이터를 수신하는 단계를 포함한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 방법은 제1 데이터를 모델에 제공하는 단계를 더 포함한다. 방법은 모델로부터 제2 데이터를 수신하는 단계를 더 포함한다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 방법은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 단계를 더 포함한다.
본 개시내용의 다른 양상에서, 시스템은 메모리 및 메모리에 결합된 처리 디바이스를 포함한다. 처리 디바이스는 제1 데이터를 수신하도록 구성된다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 처리 디바이스는 제1 데이터를 모델에 제공하도록 더 구성된다. 처리 디바이스는 모델로부터 제2 데이터를 수신하도록 더 구성된다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 방법은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 단계를 더 포함한다.
본 개시내용의 다른 양상에서, 비일시적 기계 판독가능 저장 매체는, 실행될 때, 처리 디바이스로 하여금 동작들을 수행하게 하는 명령어들을 저장한다. 동작들은 제1 데이터를 수신하는 동작을 포함한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 동작들은, 제1 데이터를 모델에 제공하는 동작을 더 포함한다. 동작들은, 모델로부터 제2 데이터를 수신하는 동작을 더 포함한다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 동작들은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 동작을 더 포함한다.
본 개시내용은 첨부 도면들의 도면들에서 제한으로서가 아니라 예로서 예시된다.
도 1은 일부 실시예들에 따른, 예시적인 시스템(예시적인 시스템 아키텍처)을 예시하는 블록도이다.
도 2는 일부 실시예들에 따른, 모델에 대한 데이터 세트들을 생성하는 데 사용되는 예시적인 데이터 세트 생성기의 블록도이다.
도 3은 일부 실시예들에 따른, 출력 데이터를 생성하기 위한 시스템을 예시하는 블록도이다.
도 4a-c는 일부 실시예들에 따른, 다차원 센서 데이터의 분석과 연관된 방법들의 흐름도들이다.
도 5는 일부 실시예들에 따른, 예측 데이터를 생성하기 위해 다차원 센서 데이터를 활용하기 위한 데이터 분석 시스템을 도시한다.
도 6은 일부 실시예들에 따른, 컴퓨터 시스템을 예시하는 블록도이다.
처리 챔버에서 수행되는 하나 이상의 처리 동작과 연관된 다차원 시간 의존적 센서 데이터의 처리에 관한 기술들이 본원에 설명된다. 다차원 시간 의존적 센서 데이터(예를 들어, 데이터 지점들은 적어도 시간 정보, 제2 독립 변수를 나타내는 정보, 및 값을 포함함)는 처리 동작들 동안 프로세스 파라미터들의 전개의 이해를 가능하게 하기 위해 전체적으로 다뤄질 수 있다.
제조 장비(예를 들어, 처리 챔버)는 기판들, 예컨대, 반도체 웨이퍼들을 생산하는 데 사용된다. 이러한 기판들의 특성들은 기판들이 처리된 조건들에 의해 결정된다. 기판 처리가 진행됨에 따라, 챔버 내의 조건들 및/또는 그러한 조건들에 대한 기판의 반응이 전개될 수 있다. 예를 들어, 처리 동작은 기판 식각 동작, 예를 들어, 기판으로부터 물질을 제거하는 동작을 포함할 수 있다. 처리 파라미터들, 예컨대, 식각 속도는 처리 동작의 지속기간에 걸쳐 변할 수 있다. 시간적 프로세스 파라미터 전개의 정확한 이해는, 완성된 제품들의 특성들을 예측하고, 프로세스 학습을 개선하고, 프로세스 레시피 생성 및 정밀화를 개선하고, 생산된 기판들의 일관성을 개선하고, 기판 생산을 최적화하는 것 등에 사용될 수 있다.
일부 경우들에서, 기판은 처리를 위해 챔버 내에 배치된다. 처리 챔버는 기판을 처리하는 것과 연관된 조건들에 대해 보고하기 위한 다양한 센서들을 포함할 수 있는데, 예를 들어, 압력 및 온도 센서들은 챔버 조건들에 대해 보고할 수 있고, 센서들은 자발적 플라즈마 방출을 검출하고 플라즈마의 조건들에 대해 보고할 수 있고, 기판으로부터의 파동들의 반사율 또는 산란은 전개되는 기판 기하형상에 대해 보고할 수 있는 등이다. 처리 챔버와 연관된 이러한 센서들 또는 다른 센서들 중 임의의 센서는 처리 동작의 지속기간에 걸쳐 시간에 맞춰 다수의 측정들을 취할 수 있다.
일부 종래의 시스템들에서, 동시에(또는 거의 동시에, 예를 들어, 마치 데이터가 동시에 수집된 것처럼 분석됨) 수집된 하나 이상의 센서로부터의 데이터는 그 시간에서의 조건들의 표시를 생성하도록 함께 처리될 수 있다. 예를 들어, 다수의 압력 센서들로부터의 데이터는 챔버 내의 압력 조건들의 스냅샷을 결정하기 위해 분석될 수 있고, 기판의 광학 반사율 데이터는 기판 표면 기하형상의 스냅샷을 결정하기 위해 처리될 수 있는 등이다.
일부 시스템들에서, 데이터는 시간 독립적인 방식으로 처리될 수 있는데, 예를 들어, 분석에서 시간별로 분리된 데이터를 포함하지 않고 데이터로부터 결론들이 도출될 수 있고, 데이터는 프레임별로 분석될 수 있는 등이다. 일부 실시예들에서, 이러한 분석의 배열을 순서대로 연결(concatenating)함으로써, 예를 들어, 시간적 결론을 도출하기 위해, 시간에 관하여 시간 독립적 데이터의 수 개의 예들로부터 도출된 일부 분석 결과를 피팅함으로써, 추가의 결론들이 도출될 수 있다. 일부 실시예들에서, 시간 독립적 분석 및/또는 연결된 시간 독립적 분석은, 예를 들어, 진행 중인 처리 동작 동안의 제한된 수집 시간으로 인해, 높은 잡음(noise) 수준들을 겪을 수 있다. 시간 독립적 및/또는 연결된 시간 독립적 분석은, 분석을 위해 여러 정보 조각들이 표적화되는 경우에 활용하기 어려울 수 있는데, 예를 들어, 데이터의 단일 프레임은 제한된 개수의 데이터 지점들을 포함할 수 있고, 데이터의 하나의 프레임으로부터 도출된 폭 결론들은 데이터 프레임에서의 이용가능한 정보의 볼륨에 의해 제한될 수 있다.
하나 이상의 실시예에서, 본 개시내용의 방법들 및 디바이스들은 종래의 접근법의 이러한 결점들 중 적어도 하나 이상을 해결할 수 있다. 본 개시내용은 다차원 센서 데이터를 전체적 방식으로 처리하는 방법을 가능하게 한다. 다차원 센서 데이터는 처리 챔버로부터 수신될 수 있다. 이러한 맥락에서의 다차원 데이터는 하나 초과의 독립 변수로 분해된 데이터를 표시하며, 여기서, 독립 변수들 중 하나는 시간이다. 예를 들어, 처리 동작과 연관된 스펙트럼 데이터는 파장 및 시간으로 분해될 수 있고, 음향 데이터는 주파수 및 시간으로 분해될 수 있고, 압력 및 온도 데이터는 센서 개수 및 시간으로 분해될 수 있는 등이다. 일부 실시예들에서, 적어도 2개의 차원들로 분해된 데이터 지점들이 모델에 제공된다. 모델은 물리 기반 모델, 기계 학습 모델 등을 포함할 수 있다. 모델은 다차원 데이터를 동시에 처리하도록, 예를 들어, 직교 축을 따른 데이터의 시간적 전개를 동시에 피팅하도록 구성될 수 있다.
본 개시내용의 양상들은 종래의 해결책들에 비해 기술적 장점들을 초래한다. 시간 독립적 분석에서, 데이터의 희소성은 피팅을 통해 추론될 수 있는 정보의 양을 제약하는데, 예를 들어, 단일 시간 독립적 분석 프레임에서의 이용가능한 데이터 지점들보다 더 적은 부동 파라미터들로 제약된다. 시간적 분석(예를 들어, 전체적으로 시간 의존성을 포함하는 다차원 데이터의 분석)은 이러한 제한들을 완화시킬 수 있다: 센서들의 샘플링 레이트, 처리 동작의 길이 등에 따라, 다차원 센서 데이터의 시간적 분석에서 이용가능한 데이터 지점들의 개수는 단일 프레임에서보다 여러 배 더 클 수 있는데, 예를 들어, 10배 더 크거나, 100배 더 크거나 등일 수 있다. 더 많은 파라미터들이 그러한 분석을 통해 이해될 수 있다(예를 들어, 피팅 절차에서 개별 시간들과 연관된 데이터 지점들을 포함하는 것에 의해 더 많은 정보가 분석에 이용가능할 수 있고, 따라서 단일 시간 프레임의 분석에 의해 이용가능한 것보다 더 많은 정보가, 데이터를 피팅함으로써 복구될 수 있다).
일부 시스템들에서, 다차원 데이터는 비동기 샘플링 레이트들 및/또는 비동기 샘플링 시점들에서 수집된 데이터를 포함할 수 있다. 예를 들어, 스펙트럼 데이터는 다수의 파장 측정치들로 분리될 수 있다(예를 들어, 다차원 센서 데이터의 2개의 차원들은 시간 및 파장일 수 있다). 일부 시스템들에서, 상이한 파장들과 연관된 데이터는 상이한 시간들에 수집될 수 있는데, 예를 들어, 분광계는 제1 시간에 제1 파장, 제2 시간에 제2 파장 등과 연관된 데이터를 수집할 수 있다. 일부 실시예들에서, 스펙트럼 데이터는 스펙트럼 측정들을 반복할 수 있는데, 예를 들어, 각각의 파장에 연관된 스펙트럼 데이터는, 예를 들어, 타겟 파장들을 통해 다수 회 순환시킴으로써 다수 회 수집될 수 있다. 종래의 프레임별(예를 들어, 시간 독립적) 분석은 단일 시점과 연관될 데이터의 각각의 사이클을 근사화할 수 있다. 전체적인 시간 의존적 분석은 다차원 방식으로 데이터 지점들을 처리함으로써(예를 들어, 일부 실시예들에서, 각각의 스펙트럼 측정치를 고유의 시점과 연관시킴으로써) 그러한 근사치들과 연관된 부정확성들을 완화시킬 수 있다.
일부 실시예들에서, 시간 독립적 분석은 고수준의 잡음을 겪을 수 있다. 단일 시간 독립적 분석 동작(예를 들어, 단일 데이터 프레임)에 포함된 데이터 지점들의 개수는 작을 수 있다. 잡음은 적은 개수의 이용가능한 데이터 지점들 내에서 보상하기 어려울 수 있는데, 예를 들어, 이상점 검출이 어려울 수 있고, 데이터의 평활화가 어려울 수 있는 등이다. 다차원 센서 데이터의 시간적 분석은 더 많은 개수의 데이터 지점들을 함께 분석함으로써 이러한 잡음 민감도를 완화시킬 수 있고, 개별 데이터 지점들에서의 잡음은 더 쉽게 취급될 수 있고/거나 데이터 지점들은 분석 모델에 의해 더 쉽게 거부될 수 있다.
다차원 센서 데이터의 시간적 전체적 분석은, 그렇지 않으면 결정하기 어려운 챔버내 파라미터들의 시간적 전개의 상세한 묘사를 제공할 수 있다. 예를 들어, 시간 독립적 분석들은 각각의 시간 단계에서의 식각 깊이, 및 처리 동작의 지속기간에 걸쳐 식각 깊이의 시간적 전개를 추적함으로써 추론되는 식각 속도에 대해 보고할 수 있다. 시간적 분석은, 예를 들어, 식각 깊이가 증가함에 따라 기판으로부터의 광학 반사율 데이터의 시간적 전개를 특징짓는 물리 기반 모델을 활용함으로써, 식각 속도 및 식각 깊이 둘 모두에 대해 직접 보고할 수 있다. 프로세스 학습의 증가된 정밀도, 정확도, 및 효율(예를 들어, 새롭게 설계된 처리 레시피들을 이해하는 것, 새로운 응용들을 위한 처리 레시피들을 업데이트하는 것 등), 거동 기반 프로세스 모델링의 교정, 프로세스 제어에 관련된 추가적인 정보, 더 큰 조건, 파라미터, 및 제품 일관성, 개선된 챔버 매칭, 개선된 성능 평가, 개선된 성능 조절(예를 들어, 유지보수 이벤트 이후의 생산으로의 툴의 복귀) 등은 모두, 다차원 센서 데이터의 시간적 분석에 의해 가능해질 수 있다.
본 개시내용의 일 양상에서, 방법은 처리 디바이스에 의해, 제1 데이터를 수신하는 단계를 포함한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 방법은 제1 데이터를 모델에 제공하는 단계를 더 포함한다. 방법은 모델로부터 제2 데이터를 수신하는 단계를 더 포함한다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 방법은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 단계를 더 포함한다.
본 개시내용의 다른 양상에서, 시스템은 메모리 및 메모리에 결합된 처리 디바이스를 포함한다. 처리 디바이스는 제1 데이터를 수신하도록 구성된다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 처리 디바이스는 제1 데이터를 모델에 제공하도록 더 구성된다. 처리 디바이스는 모델로부터 제2 데이터를 수신하도록 더 구성된다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 방법은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 단계를 더 포함한다.
본 개시내용의 다른 양상에서, 비일시적 기계 판독가능 저장 매체는, 실행될 때, 처리 디바이스로 하여금 동작들을 수행하게 하는 명령어들을 저장한다. 동작들은 제1 데이터를 수신하는 동작을 포함한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 처리 동작과 연관된다. 제1 데이터는 적어도 2개의 차원들로 분해되는데, 그 중 하나는 시간이다. 동작들은, 제1 데이터를 모델에 제공하는 동작을 더 포함한다. 동작들은, 모델로부터 제2 데이터를 수신하는 동작을 더 포함한다. 제2 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 동작들은 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 동작을 더 포함한다.
도 1은 일부 실시예들에 따른, 예시적인 시스템(100)(예시적인 시스템 아키텍처)을 예시하는 블록도이다. 시스템(100)은 클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 예측 서버(112), 및 데이터 저장소(140)를 포함한다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 기계들(170 및 180)을 더 포함할 수 있다.
일부 실시예들에서, 제조 장비(124)(예를 들어, 클러스터 툴)는 기판 처리 시스템(예를 들어, 통합 처리 시스템)의 일부이다. 제조 장비(124)는 제어기, 인클로저 시스템(예를 들어, 기판 캐리어, 전방 개방 통합 포드(FOUP), 오토티치(autoteach) FOUP, 프로세스 키트 인클로저 시스템, 기판 인클로저 시스템, 카세트 등), 측면 저장 포드(SSP), 정렬기 디바이스(예를 들어, 정렬기 챔버), 팩토리 인터페이스(예를 들어, 장비 전단부 모듈(EFEM)), 로드 록, 이송 챔버, 하나 이상의 처리 챔버, 로봇 암(예를 들어, 이송 챔버에 배치되거나, 정면 인터페이스에 배치되는 등) 등 중 하나 이상을 포함한다. 인클로저 시스템, SSP, 및 로드 록은 팩토리 인터페이스에 장착되고, 팩토리 인터페이스에 배치된 로봇 암은 인클로저 시스템, SSP, 로드 록, 및 팩토리 인터페이스 사이에서 내용물(예를 들어, 기판들, 프로세스 키트 링들, 캐리어들, 검증 웨이퍼 등)을 이송하기 위한 것이다. 정렬기 디바이스는 내용물을 정렬하기 위해 팩토리 인터페이스에 배치된다. 로드 록 및 처리 챔버들은 이송 챔버에 장착되고, 이송 챔버에 배치된 로봇 암은 로드 록, 처리 챔버들, 및 이송 챔버 사이에서 내용물(예를 들어, 기판들, 프로세스 키트 링들, 캐리어들, 검증 웨이퍼들 등)을 이송하기 위한 것이다. 일부 실시예들에서, 제조 장비(124)는 기판 처리 시스템들의 구성요소들을 포함한다. 일부 실시예들에서, 제조 장비(124)는 하나 이상의 제품(예를 들어, 기판, 반도체, 웨이퍼 등)을 생산하는 데 사용된다. 일부 실시예들에서, 제조 장비(124)는 기판 처리 시스템들에서 사용될 하나 이상의 구성요소를 생산하는 데 사용된다.
센서들(126)은 제조 장비(124)와 연관된(예를 들어, 제조 장비(124)에 의해, 대응하는 제품들, 예컨대, 웨이퍼들을 생산하는 것과 연관된) 센서 데이터(142)를 제공할 수 있다. 센서 데이터(142)는, 예를 들어, 장비 건강 및/또는 제품 건강(예를 들어, 제품 품질)을 위해 사용될 수 있다. 제조 장비(124)는 레시피를 따라서 또는 일정 기간에 걸쳐 처리 동작들 및/또는 처리 실행들을 수행하여 제품들을 생산할 수 있다. 일부 실시예들에서, 센서 데이터(142)는 온도(예를 들어, 가열기 온도), 간격(SP), 압력, 고주파수 무선 주파수(High Frequency Radio Frequency)(HFRF), 정전 척(Electrostatic Chuck)(ESC)의 전압, 전류, (예를 들어, 하나 이상의 가스의) 유동, 전력, 전압, 광학 데이터(예를 들어, 기판 반사율 스펙트럼), 음향 데이터(예를 들어, 기판 음향 산란 데이터) 등 중 하나 이상의 값을 포함할 수 있다. 센서 데이터는, 처리 챔버에서의 기판, 예를 들어, 처리 동작들을 겪고 있는 기판의 인-시튜 측정치들을 포함할 수 있다. 센서 데이터(142)는 이력 센서 데이터(144) 및 현재 센서 데이터(146)를 포함할 수 있다. 현재 데이터는, 본원에서 사용되는 바와 같이, 예를 들어, 센서 데이터, 계측 데이터, 제조 파라미터들 등을 기계 학습 또는 물리 기반 모델에 제공함으로써, 진행 중인 처리 실행 또는 현재 분석 조사 중인 처리 실행과 연관된 데이터를 나타낸다. 제조 장비(124)는 제조 파라미터들(150)에 따라 구성될 수 있다. 제조 파라미터들(150)은 파라미터들, 예컨대, 하드웨어 파라미터들(예를 들어, 제조 장비(124)의 설정들 또는 구성요소들(예를 들어, 크기, 유형 등)) 및/또는 제조 장비의 프로세스 파라미터들과 연관되거나 그들을 나타낼 수 있다. 제조 파라미터들(150)은 이력 제조 데이터 및/또는 현재 제조 데이터를 포함할 수 있다. 제조 파라미터들(150)은 제조 디바이스에 대한 입력 설정들(예를 들어, 가열기 전력, 가스 유동 등)을 나타낼 수 있다. 센서 데이터(142) 및/또는 제조 파라미터들(150)은, 제조 장비(124)가 제조 프로세스들을 수행하고 있는 동안 생성될 수 있다(예를 들어, 제품들을 처리할 때의 장비 판독들). 센서 데이터(142)는 각각의 제품(예를 들어, 각각의 웨이퍼)에 대해 상이할 수 있다.
일부 실시예들에서, 센서 데이터(142), 계측 데이터(160), 및/또는 제조 파라미터들(150)은 (예를 들어, 클라이언트 디바이스(120)에 의해 그리고/또는 예측 서버(112)에 의해) 처리될 수 있다. 센서 데이터(142)의 처리는 특징들을 생성하는 것을 포함할 수 있다. 일부 실시예들에서, 특징들은 센서 데이터(142), 계측 데이터(160) 및/또는 제조 파라미터들(150)에서의 패턴(예를 들어, 기울기, 폭, 높이, 피크 등), 또는 센서 데이터(142), 계측 데이터(160) 및/또는 제조 파라미터들(150)로부터의 값들의 조합(예를 들어, 전압 및 전류로부터 도출된 전력 등)이다. 센서 데이터(142)는 특징들을 포함할 수 있고, 특징들은, 가능하게는 정정 액션의 수행을 위해, 신호 처리를 수행하기 위해 그리고/또는 예측 데이터(168)를 획득하기 위해 예측 구성요소(114)에 의해 사용될 수 있다. 예측 데이터(168)는 예측 시스템(110)과 연관된 임의의 데이터, 예를 들어, 기판의, 기판 처리 동작의, 제조 장비(124)의 구성요소의 등의 예측된 성능 데이터일 수 있다. 일부 실시예들에서, 예측 데이터(168)는 기판 계측을 나타낼 수 있다. 일부 실시예들에서, 예측 데이터(168)는 프로세스 조건들을 나타낼 수 있다. 일부 실시예들에서, 예측 데이터(168)는 프로세스 동작의 지속기간 동안의 조건들, 기판 계측, 처리 속도 등의 시간적 전개를 나타낼 수 있다.
센서 데이터(142)의 각각의 예(예를 들어, 세트)는 제품(예를 들어, 웨이퍼), 제조 장비의 세트, 제조 장비에 의해 생산된 기판의 유형, 이들의 조합 등에 대응할 수 있다. 계측 데이터(160) 및 제조 파라미터들(150)의 각각의 예는 마찬가지로, 제품, 제조 장비의 세트, 제조 장비에 의해 생산된 기판의 유형, 이들의 조합 등에 대응할 수 있다. 데이터 저장소(140)는 상이한 데이터 유형들의 세트들을 연관시키는 정보, 예를 들어, 센서 데이터의 세트, 계측 데이터의 세트, 및/또는 제조 데이터의 세트가 모두, 동일한 제품, 제조 장비, 기판의 유형 등과 연관됨을 표시하는 정보를 더 저장할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 기계 학습을 사용하여 예측 데이터(168)를 생성할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 하나 이상의 물리 기반 모델을 사용하여 예측 데이터(168)를 생성할 수 있다.
클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 예측 서버(112), 데이터 저장소(140), 서버 기계(170) 및 서버 기계(180)는 네트워크(130)를 통해 서로 결합될 수 있다. 일부 실시예들에서, 네트워크(130)는 예측 서버(112), 데이터 저장소(140), 및/또는 다른 공개적으로 이용가능한 컴퓨팅 디바이스들에 대한 액세스를 클라이언트 디바이스(120)에 제공하는 공용 네트워크이다. 일부 실시예들에서, 네트워크(130)는, 제조 장비(124), 센서들(126), 계측 장비(128), 데이터 저장소(140), 및/또는 다른 사적으로 이용가능한 컴퓨팅 디바이스들에 대한 액세스를 클라이언트 디바이스(120)에 제공하는 사설 네트워크이다. 네트워크(130)는 하나 이상의 광역 네트워크(WAN), 근거리 네트워크(LAN), 유선 네트워크(예를 들어, 이더넷 네트워크), 무선 네트워크(예를 들어, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크(예를 들어, 롱 텀 에볼루션(LTE) 네트워크), 라우터, 허브, 스위치, 서버 컴퓨터, 클라우드 컴퓨팅 네트워크, 및/또는 이들의 조합을 포함할 수 있다.
클라이언트 디바이스(120)는 하나 이상의 컴퓨팅 디바이스, 예컨대, 개인용 컴퓨터(PC), 랩탑, 모바일 폰, 스마트 폰, 태블릿 컴퓨터, 넷북 컴퓨터, 네트워크 연결된 텔레비전("스마트 TV"), 네트워크 연결된 미디어 플레이어(예를 들어, 블루레이 플레이어), 셋탑 박스, 오버 더 탑(Over-the-Top)(OTT) 스트리밍 디바이스, 오퍼레이터 박스 등을 포함할 수 있다. 클라이언트 디바이스(120)는 하나 이상의 가상 컴퓨팅 디바이스, 예를 들어, 클라우드 기반 컴퓨팅 디바이스, 클라우드 컴퓨팅 서비스 등을 포함할 수 있다. 클라이언트 디바이스(120)는 정정 액션 구성요소(122)를 포함할 수 있다. 정정 액션 구성요소(122)는 제조 장비(124)와 연관된 표시의 사용자 입력을 (예를 들어, 클라이언트 디바이스(120)를 통해 디스플레이된 그래픽 사용자 인터페이스(GUI)를 통해) 수신할 수 있다. 사용자 인터페이스는 처리 파라미터들의 전개의 표시를 제시할 수 있고, 수행될 정정 액션의 표시를 제시할 수 있는 등이다. 일부 실시예들에서, 정정 액션 구성요소(122)는 표시를 예측 시스템(110)에 송신하고, 예측 시스템(110)으로부터 출력(예를 들어, 예측 데이터(168))을 수신하고, 출력에 기초하여 정정 액션을 결정하고, 정정 액션이 구현되게 한다.
일부 실시예들에서, 예측 시스템(110)은 예측 구성요소(114)를 더 포함할 수 있다. 예측 구성요소(114)는 예측 데이터(168)를 생성하기 위해 모델(190)로부터 검색된 데이터를 취할 수 있다. 일부 실시예들에서, 예측 구성요소(114)는 예측 데이터(168)를 클라이언트 디바이스(120)에 제공하고, 클라이언트 디바이스(120)는 예측 데이터(168)를 고려하여 정정 액션 구성요소(122)를 통해 정정 액션을 야기한다. 일부 실시예들에서, 정정 액션 구성요소(122)는 기판의 생산과 연관된 현재 센서 데이터(146)를 수신하고 데이터를 예측 시스템(110)에 제공할 수 있다.
일부 실시예들에서, 정정 액션 구성요소(122)는 데이터 저장소(140)에 데이터(예를 들어, 예측 데이터(168)를 생성함에 있어서의 중간 분석 단계들과 연관된 데이터)를 저장하고, 예측 서버(112)는 데이터 저장소(140)로부터 데이터를 검색한다. 일부 실시예들에서, 예측 서버(112)는 훈련된 모델(들)(190)의 출력(예를 들어, 예측 데이터(168))을 데이터 저장소(140)에 저장할 수 있고, 클라이언트 디바이스(120)는 데이터 저장소(140)로부터 출력을 검색할 수 있다. 일부 실시예들에서, 정정 액션 구성요소(122)는 예측 시스템(110)으로부터 정정 액션의 표시를 수신하고 정정 액션이 구현되게 한다. 각각의 클라이언트 디바이스(120)는, 사용자들이 데이터(예를 들어, 제조 장비(124)와 연관된 표시, 제조 장비(124)와 연관된 정정 액션들 등)를 생성하거나, 보거나, 편집하는 것 중 하나 이상을 하는 것을 허용하는 운영 체제를 포함할 수 있다.
일부 실시예들에서, 계측 데이터(160)는 (예를 들어, 이력 센서 데이터 및 이력 제조 파라미터들과 연관된 제조 파라미터들을 사용하여 생산된) 제품들의 이력 특성 데이터에 대응하고, 예측 데이터(168)는 (예를 들어, 현재 센서 데이터 및/또는 현재 제조 파라미터들에 의해 기록된 조건들로 생산되었거나 생산될 제품들의) 예측된 특성 데이터와 연관된다. 일부 실시예들에서, 예측 데이터(168)는 현재 센서 데이터 및/또는 현재 제조 파라미터들로서 기록된 조건들에 따라 생산되었거나 생산될 제품들의 예측된 계측 데이터(예를 들어, 가상 계측 데이터)이다. 일부 실시예들에서, 예측 데이터(168)는 이상들(예를 들어, 이상 제품들, 이상 구성요소들, 이상 제조 장비, 이상 에너지 사용 등) 및/또는 이상들의 하나 이상의 원인의 표시이거나 이를 포함한다. 일부 실시예들에서, 예측 데이터(168)는 제조 장비(124), 센서들(126), 계측 장비(128) 등의 일부 구성요소에서의 시간에 따른 변화 또는 드리프트의 표시를 포함한다. 일부 실시예들에서, 예측 데이터(168)는 제조 장비(124), 센서들(126), 계측 장비(128) 등의 구성요소의 수명의 종료의 표시를 포함한다. 일부 실시예들에서, 예측 데이터(168)는 챔버, 툴, 레시피, 제품 설계 등의 성능과 다른 것의 비교를 포함한다.
결함 제품들을 초래하는 제조 프로세스들을 수행하는 것은 시간, 에너지, 제품들, 구성요소들, 제조 장비(124), 결함들을 식별하고 결함 제품을 폐기하는 비용, 결함의 원인을 발견하고 정정하는 비용 등에서 비용이 많이 들 수 있다. 센서 데이터(142)(예를 들어, 현재 센서 데이터(146))를 예측 모델(예를 들어, 모델(190))에 입력하고, 예측 데이터(168)의 출력을 수신하고, 예측 데이터(168)에 기초하여 정정 액션을 수행함으로써, 시스템(100)은 결함 제품들을 생산, 식별, 및 폐기하는 비용을 회피하는 기술적 장점을 가질 수 있다.
제조 장비(124)의 구성요소들의 고장을 초래하는 제조 프로세스들을 수행하는 것은 비가동 시간, 제품들에 대한 손상, 장비에 대한 손상, 교체 구성요소들의 속달 주문 등에서 비용이 많이 들 수 있다. 센서 데이터(142)(예를 들어, 현재 센서 데이터(146))를 예측 모델(예를 들어, 모델(190))에 입력하고, 예측 데이터(168)의 출력을 수신하고, 드리프팅 또는 고장난 구성요소들을 진단하기 위해 시간에 따른 데이터(예를 들어, 예측 데이터(168)로서 또한 기록됨)를 비교하고, 예측 데이터(168)에 기초하여 정정 액션들(예를 들어, 예측된 운영 유지보수, 예컨대, 구성요소들의 교체, 처리, 세정 등, 레시피 파라미터들의 업데이트 등)을 수행함으로써, 시스템(100)은 예기치 않은 구성요소 고장, 스케줄링되지 않은 비가동시간, 생산성 손실, 예기치 않은 장비 고장, 제품 폐기 등 중 하나 이상의 비용을 회피하는 기술적 장점을 가질 수 있다. 구성요소들, 예를 들어, 제조 장비(124), 센서들(126), 계측 장비(128) 등의 시간에 따른 성능을 모니터링하는 것은 열화 구성요소들의 표시들을 제공할 수 있다. 시간에 걸쳐 구성요소의 성능을 모니터링하는 것은, 예를 들어, 표준 교체 간격이 경과한 후에, 측정치들이, 구성요소가 시간 동안 여전히 잘 수행할 수 있음(예를 들어, 임계치를 초과하는 성능)을 나타낸다면, 구성요소의 동작 수명을 (예를 들어, 다음 계획된 유지보수 이벤트까지) 연장시킬 수 있다.
증가된 자원(예를 들어, 에너지, 냉각제, 가스들 등) 소비, 제품들을 생산하기 위한 증가된 시간량, 증가된 구성요소 고장, 결함 제품들의 증가된 양 등의 비용이 많이 드는 결과들을 가질 수 있는 제조 파라미터들은 제품들을 생산하는 데 있어서 차선일 수 있다. 센서 데이터(142)를 훈련된 예측 모델(예를 들어, 모델(190))에 입력하고, 예측 데이터(168)의 출력을 수신하고, (예를 들어, 예측 데이터(168)에 기초하여) 제조 파라미터들을 업데이트하는(예를 들어, 최적의 제조 파라미터들을 설정하는) 정정 액션을 수행함으로써, 시스템(100)은 차선의 제조 파라미터들의 비용이 많이 드는 결과들을 회피하기 위해 최적의 제조 파라미터들(예를 들어, 하드웨어 파라미터들, 프로세스 파라미터들, 최적의 설계)을 사용하는 기술적 장점을 가질 수 있다.
정정 액션은 계산 프로세스 제어(CPC), 통계 프로세스 제어(SPC)(예를 들어, 제어에서 프로세스를 결정하기 위한 전자 구성요소들 상의 SPC, 구성요소들의 유효 수명을 예측하기 위한 SPC, 3-시그마의 그래프와 비교하기 위한 SPC 등), 진보된 프로세스 제어(APC), 모델 기반 프로세스 제어, 예방 운영 유지보수, 설계 최적화, 제조 파라미터들의 업데이트, 제조 레시피들의 업데이트, 피드백 제어, 기계 학습 수정 등 중 하나 이상과 연관될 수 있다.
일부 실시예들에서, 정정 액션은 경고(예를 들어, 예측 데이터(168)가, 예측된 이상, 예컨대, 제품, 구성요소, 또는 제조 장비(124)의 이상을 나타내는 경우에 제조 프로세스를 정지하거나 수행하지 않기 위한 경보)를 사용자에게 제공하는 것을 포함한다. 일부 실시예들에서, 정정 액션의 수행은 하나 이상의 제조 파라미터에 대한 업데이트를 야기하는 것을 포함한다. 일부 실시예들에서, 정정 액션의 수행은 하나 이상의 교정 테이블 및/또는 장비 상수에 대한 업데이트들을 야기하는 것을 포함한다(예를 들어, 구성요소에 제공되는 설정점은 다수의 프로세스 레시피들에 걸친 값만큼 조정될 수 있는데, 예를 들어, 가열기를 사용하는 모든 프로세스에 대해, 가열기에 인가되는 전압이 3%만큼 증가될 수 있다). 일부 실시예들에서, 정정 액션의 수행은 (예를 들어, 처리 파라미터, 예컨대, 수평 식각 속도, 수직 식각 속도 등을 포함하는 식각 속도; 식각 깊이; 퇴적 속도; 퇴적 깊이 등의 범위 또는 속도를 조정하기 위해) 프로세스 레시피를 업데이트하는 것을 포함한다.
제조 파라미터들은, 하드웨어 파라미터들(예를 들어, 구성요소들을 교체하는 것, 특정 구성요소들을 사용하는 것, 처리 칩을 교체하는 것, 펌웨어를 업데이트하는 것 등) 및/또는 프로세스 파라미터들(예를 들어, 온도, 압력, 유동, 속도, 전류, 전압, 가스 유동, 리프트 속도 등)을 포함할 수 있다. 일부 실시예들에서, 정정 액션은 예방 운영 유지보수(예를 들어, 제조 장비(124)의 구성요소들의 교체, 처리, 세정 등)를 야기하는 것을 포함한다. 일부 실시예들에서, 정정 액션은 설계 최적화를 야기하는 것(예를 들어, 최적화된 제품에 대해, 제조 파라미터들, 제조 프로세스들, 제조 장비(124) 등을 업데이트하는 것)을 포함한다. 일부 실시예들에서, 정정 액션은 레시피를 업데이트하는 것(예를 들어, 유휴 모드, 절전 모드, 준비 모드 등에 있도록 제조 장비(124)의 타이밍을 업데이트하는 것, 처리 동작 동안 설정점들, 예컨대, 온도 또는 압력을 업데이트하는 것 등)을 포함한다.
예측 서버(112), 서버 기계(170) 및 서버 기계(180)는 각각, 하나 이상의 컴퓨팅 디바이스, 예컨대, 랙마운트 서버, 라우터 컴퓨터, 서버 컴퓨터, 개인용 컴퓨터, 메인프레임 컴퓨터, 랩탑 컴퓨터, 태블릿 컴퓨터, 데스크탑 컴퓨터, 그래픽 처리 유닛(GPU), 가속기 주문형 집적 회로(ASIC)(예를 들어, 텐서 처리 유닛(TPU)) 등을 포함할 수 있다. 예측 서버(112), 서버 기계(170) 및 서버 기계(180)는 하나 이상의 가상 컴퓨팅 디바이스, 예를 들어, 클라우드 컴퓨팅 디바이스, 클라우드 컴퓨팅 서비스, 원격 컴퓨팅 자원 등을 포함할 수 있다.
예측 서버(112)는 예측 구성요소(114)를 포함할 수 있다. 예측 구성요소(114)는 예측 데이터(168)를 생성하는 데 사용될 수 있다. 일부 실시예들에서, 예측 구성요소(114)는 센서 데이터(142) 및/또는 제조 파라미터들(150)을 수신(예를 들어, 클라이언트 디바이스(120)로부터 수신, 데이터 저장소(140)로부터 검색)하고, 제공된 데이터에 기초하여, 출력, 예를 들어, 예측 출력, 제조 장비(124)와 연관된 정정 액션들을 수행하기 위한 출력 등을 생성할 수 있다. 일부 실시예들에서, 예측 구성요소(114)는 현재 데이터에 기초하여 정정 액션을 수행하기 위한 출력을 결정하기 위해 하나 이상의 모델(190)을 사용할 수 있다. 모델(190)은 단일 모델, 앙상블 모델, 또는 데이터를 처리하는 데 사용되는 모델들의 집합일 수 있다. 모델(190)은, 하나 이상의 물리 기반 모델, 디지털 트윈 모델, 감독 기계 학습 모델, 무감독 기계 학습 모델, 반-감독 기계 학습 모델, 통계적 모델 등을 포함할 수 있다.
일부 실시예들에서, 기판의 특성들을 나타내는 데이터(예를 들어, 현재 센서 데이터(146))가, 하나 이상의 물리 기반 모델을 포함하는 모델링 시스템에 제공된다. 모델링 시스템은, 하나 이상의 프로세스(예를 들어, 처리 파라미터)의 시간적 전개, 예를 들어, 프로세스 시간 의존성, 예컨대, 식각 깊이 전개, 식각 속도 전개, 퇴적 속도 전개 등의 표시를 생성하도록 구성될 수 있다. 모델링 시스템(예를 들어, 예측 시스템(110)의 모델(190))에 제공되는 현재의 센서 데이터(146)는 다차원적일 수 있는데, 예를 들어, 적어도 2개의 독립 변수, 2개의 독립 축 등으로 분해될 수 있다. 다차원 데이터는 시간 및 적어도 하나의 다른 차원으로 분해될 수 있다. 예를 들어, 다차원 데이터는 처리에서의 기판의 광학 반사율 데이터를 포함할 수 있다. 데이터는 지속기간(예를 들어, 데이터의 분해의 제2 차원은 시간일 수 있음) 전체에 걸쳐 다수 회 취해지는 여러 파장들(예를 들어, 분해의 제1 차원은 파장일 수 있음)로부터의 데이터를 포함할 수 있다. 분해의 가능한 차원들은 (예를 들어, 광학, IR, UV, X-선 등을 포함하는 전자기 방사선 분석의) 파장, (예를 들어, 음향 신호들의) 주파수, 위치(예를 들어, 센서의 위치, 기판의 공간적으로 분해된 데이터의 위치 등), 센서 ID 등을 포함할 수 있다.
모델링 시스템(예를 들어, 모델(190))은 다차원 데이터에 대해 분석 동작들(예를 들어, 물리적 모델에 피팅하는 것, 기계 학습 모델을 통해 출력을 생성하는 것 등)을 수행할 수 있다. 일부 실시예들에서, 처리 동작의 종료 후에 다차원 센서 데이터(예를 들어, 현재 센서 데이터(146))가 모델링 시스템에 제공될 수 있는데, 예를 들어, 동작의 전체 지속기간을 나타내는 센서 데이터가 분석될 수 있다. 일부 실시예들에서, 처리 동작의 지속기간의 일부와 연관된 다차원 센서 데이터가 분석될 수 있다. 프로세스의 시간 전개의 표시를 생성하기 위해 함께 스티칭되는(예를 들어, 시간 축 상에 플로팅되는) 일련의 프레임별(예를 들어, 시간 독립적) 분석으로서 센서 데이터를 처리하는 일부 시스템들과 대조적으로, 다차원 센서 데이터는 전체적으로 분석될 수 있는데, 예를 들어, 다수의 축들을 따른 데이터가 동시에 피팅될 수 있다. 일부 실시예들에서, 다차원 물리적 모델에 대한 피팅이 수행될 수 있는데, 예를 들어, 시간에 따른 다수의 측정가능한 파라미터들의 전개의 물리적 이해가, 물리 기반 모델을 생성하고, 피팅을 생성하고, 프로세스 변수 전개를 모델링하기 위한 파라미터들을 생성하는 등에 활용될 수 있다.
다차원 센서 데이터의 전체적 처리(예를 들어, 다수의 차원들로 분해된 데이터를 함께 피팅하는 것)는 다른 방법들에 대해 별개의 장점들을 제공한다. 일부 실시예들에서, 센서 데이터는 희소할 수 있는데, 예를 들어, 스펙트럼 데이터는 (예를 들어, 처리 동작들을 지연시키지 않기 위해 측정 시간을 감소시키기 위해) 상당히 적은 개수의 파장 측정치들로 분해될 수 있다. 희소 데이터를 피팅하는 것은, 예를 들어, 과적합으로 인해, 분석으로부터 추출가능한 정보의 양을 제한한다. 희소 데이터는 또한, 더 많은 개수의 데이터 지점들을 포함하는 데이터 세트들보다 잡음에 더 민감하다. 다차원 센서 데이터의 전체적 처리는 피팅/분석 방식으로 다수 회 수집된 데이터 지점들을 활용함으로써 적어도 이러한 난제들을 완화시킨다. 피팅에 포함되는 더 많은 데이터 지점들은 추출가능한 정보(예를 들어, 예측될 수 있는 제품의 차원들의 개수, 프로세스 또는 처리 파라미터의 시간적 전개가 예측될 수 있는 정확도 등)의 볼륨을 증가시키고, 정보 추출의 신뢰성을 증가시키고, 잡음 신호들에 대한 분석의 저항성을 증가시키는 등이다.
일부 실시예들에서, 모델링 시스템(예를 들어, 모델(190))은 물리 기반 모델을 포함할 수 있다. 물리 기반 모델은 센서 데이터와 물리적 시스템(예를 들어, 기판) 사이의 상관을 제공할 수 있다. 물리 기반 모델은 시간 의존적 모델일 수 있는데, 예를 들어, 시간에 따른 물리적 시스템(예를 들어, 기판)의 특성들의 전개를 설명할 수 있다. 예를 들어, 물리 기반 모델은, 처리 동작의 지속기간에 걸쳐 식각 깊이가 증가함에 따른 스펙트럼 반사율 데이터의 전개를 설명할 수 있다. 일부 실시예들에서, 물리 기반 모델은 전자기 방사선의 스펙트럼 분해된 검출과 연관된 시간 분해된 데이터를 수신할 수 있다. 물리 기반 모델은 함수(예컨대, 선형 함수, 구간별 함수, 다항 함수, 함수들의 조합들 등)에 의해 프로세스 거동 파라미터(예컨대, 식각 깊이, 식각 속도, 퇴적 속도 등)를 표현할 수 있다. 프로세스 거동 파라미터는, 다차원 입력 센서 데이터에 기초하여 물리 기반 모델에 의해 도출되는 다수의 피팅 파라미터들(예를 들어, 다항식 계수들) 및 시간의 함수로서 표현될 수 있다. 일부 실시예들에서, 모델링 시스템은 훈련된 기계 학습 모델을 포함할 수 있다. 기계 학습 모델은, 입력 센서 데이터로서 수신하고 출력으로서 처리 파라미터들의 전개의 표시를 생성하도록 구성될 수 있다. 일부 실시예들에서, 기계 학습 모델은, 모델링 시스템에 수반되는 동작들의 하위세트를 수행하도록 구성될 수 있다. 다차원 센서 데이터의 분석을 위한 모델링 시스템의 추가의 설명은 도 5와 관련하여 발견된다.
일부 실시예들에서, 생산된 기판의 특성들을 나타내는 데이터(예를 들어, 현재 센서 데이터(146))가, 훈련된 기계 학습 모델(예를 들어, 모델(190))에 제공된다. 기계 학습 모델은, 상이한 특성들을 갖는 기판을 생성하기 위해 정정 액션을 표시하는 데이터를 출력하도록 훈련된다. 일부 실시예들에서, 처리 파라미터(예를 들어, 식각 깊이, 식각 속도 등)의 전개를 나타내는 데이터가 기계 학습 모델에 의해 출력된다. 일부 실시예들에서, 처리 파라미터의 전개를 조정하기 위한 정정 액션을 나타내는 데이터는 기계 학습 모델에 의해 출력된다(예를 들어, 레시피 조정).
이력 센서 데이터는, 제조 장비(124)의 구성요소들의 드리프트, 변화들, 노화 등을 검출하기 위해 현재 센서 데이터와 조합하여 사용될 수 있다. 시간에 따라 모니터링되는 센서 데이터(142)는, 처리 시스템에 대한 변화들, 예를 들어, 구성요소 드리프트 또는 고장, 센서 드리프트 또는 고장, 수행될 유지보수, 유지보수가 수행된 이후의 챔버의 복구 등을 나타내는 정보를 생성할 수 있다. 예측 구성요소(114)는 예측 데이터(168)를 생성하기 위해 센서 데이터(142), 제조 파라미터들(150), 계측 데이터(160) 등의 조합들 및 비교들을 사용할 수 있다. 일부 실시예들에서, 예측 데이터(168)는 제조 장비(124), 센서들(126) 등의 구성요소들의 수명을 예측하는 데이터를 포함한다.
일부 실시예들에서, 다수의 챔버들로부터의 센서 데이터는 챔버 동작 차이들을 검출하고, 챔버 매칭 절차들을 수행하는 등에 사용될 수 있다. 다수의 챔버들에 의해 생성된 센서 데이터(142)는 모델링 시스템, 예를 들어, 모델(190)에 제공될 수 있다. 모델(190)은, 다수의 처리 챔버들에서의 처리 동작의 지속기간에 걸친 시간적 처리 파라미터 전개의 표시를 생성할 수 있다. 챔버들 간의 처리 파라미터 전개인 차이들은 수행될 챔버 매칭 절차들, 예를 들어, 레시피 업데이트, 유지보수, 구성요소 교체 등을 나타낼 수 있다.
일부 실시예들에서, 예측 구성요소(114)는 데이터, 예컨대, 센서 데이터(142), 계측 데이터(160), 제조 파라미터들(150) 등을 수신하고, 전처리, 예컨대, 데이터에서 패턴들을 추출하거나 데이터를 새로운 복합 데이터에 조합하는 것을 수행할 수 있다. 그 다음, 예측 구성요소(114)는 데이터를 입력으로서 모델(190)에 제공할 수 있다. 모델(190)은 하나 이상의 물리 기반 모델, 디지털 트윈 모델, 기계 학습 모델 등을 포함할 수 있고, 입력 센서 데이터로서 수신할 수 있다. 모델(190)은, 기판 지지부의 특성들과 연관된 데이터를 더 처리하도록 구성된, 훈련된 기계 학습 모델, 통계적 모델 등을 포함할 수 있다. 예측 구성요소(114)는 챔버 성능, 예측된 기판 특성들, 제조 결함, 구성요소 드리프트 등을 나타내는 예측 데이터를 모델(190)로부터 수신할 수 있다. 그 다음, 예측 구성요소(114)는 정정 액션이 발생하게 할 수 있다. 정정 액션은 클라이언트 디바이스(120)에 경고를 전송하는 것을 포함할 수 있다. 정정 액션은 또한, 제조 장비(124)의 제조 파라미터들을 업데이트하는 것을 포함할 수 있다. 정정 액션은 또한, 챔버 또는 기구 드리프트, 노화 또는 고장, 레시피 성공 또는 고장, 예측된 제품 특성들 등을 나타내는 예측 데이터(168)를 생성하는 것을 포함할 수 있다.
데이터 저장소(140)는 메모리(예를 들어, 랜덤 액세스 메모리), 드라이브(예를 들어, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 유형의 구성요소 또는 디바이스일 수 있다. 데이터 저장소(140)는 다수의 컴퓨팅 디바이스들(예를 들어, 다수의 서버 컴퓨터들)에 걸쳐 있을 수 있는 다수의 저장 구성요소들(예를 들어, 다수의 드라이브들 또는 다수의 데이터베이스들)을 포함할 수 있다. 데이터 저장소(140)는 원격 저장소, 클라우드 데이터 저장소, 클라우드 기반 저장 서비스 등을 포함할 수 있다. 데이터 저장소(140)는 센서 데이터(142), 제조 파라미터들(150), 계측 데이터(160), 및 예측 데이터(168)를 저장할 수 있다. 센서 데이터(142)는 제조 프로세스들의 지속기간에 걸친 센서 데이터 시간 트레이스들, 물리적 센서들과의 데이터의 연관들, 전처리된 데이터, 예컨대, 평균들 및 복합 데이터, 및 시간(즉, 많은 제조 프로세스들)에 따른 센서 성능을 나타내는 데이터를 포함할 수 있다. 센서 데이터(142)는 다차원 데이터, 예를 들어, 시간 및 적어도 하나의 다른 차원 둘 모두로 분해된 데이터를 포함할 수 있다. 제조 파라미터들(150) 및 계측 데이터(160)는 유사한 특징들, 예를 들어, 전처리된 데이터, 데이터와 제품들/동작들 사이의 연관들 등을 포함할 수 있다. 센서 데이터(142), 제조 파라미터 데이터(150) 및 계측 데이터(160)는 이력 데이터(예를 들어, 모델(190)에 의해, 도 1에 표현된 다양한 모델들을 훈련시키기 위한 적어도 부분)를 포함할 수 있다. 계측 데이터(160)는 생산된 기판들의 계측 데이터뿐만 아니라, 그러한 제품들에 대응하는 센서 데이터, 제조 데이터, 및 모델 데이터일 수 있다. 계측 데이터(160)는 추가의 기판들을 만들기 위한 프로세스들을 설계하기 위해 활용될 수 있다. 예측 데이터(168)는 기판 지지부의 동작으로부터 초래되는 계측 데이터의 예측들, 구성요소 드리프트, 노화, 또는 고장의 예측들, 구성요소 수명들의 예측들, 처리 동작의 지속기간에 걸친 처리 파라미터 전개의 예측들 등을 포함할 수 있다. 예측 데이터(168)는 또한, 시간에 따라 노화 및 고장나는 시스템(100)의 구성요소들을 나타내는 데이터를 포함할 수 있다.
일부 실시예들에서, 예측 시스템(110)은 서버 기계(170) 및 서버 기계(180)를 더 포함한다. 서버 기계(170)는 시험 모델(190)을 훈련하고, 검증하고/거나 시험하기 위한 데이터 세트들(예를 들어, 데이터 입력들의 세트 및 타겟 출력들의 세트)을 생성할 수 있는 데이터 세트 생성기(172)를 포함한다. 데이터 세트 생성기(172)의 일부 동작들은 도 2 및 4a와 관련하여 아래에서 상세히 설명된다. 일부 실시예들에서, 데이터 세트 생성기(172)는 이력 데이터(예를 들어, 이력 센서 데이터, 이력 계측 데이터 등)를 훈련 세트(예를 들어, 데이터의 60 퍼센트), 검증 세트(예를 들어, 데이터의 20 퍼센트), 및 시험 세트(예를 들어, 데이터의 20 퍼센트)로 분할할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 (예를 들어, 예측 구성요소(114)를 통해) 특징들의 다수의 세트들을 생성한다. 예를 들어, 특징들의 제1 세트는 데이터 세트들(예를 들어, 훈련 세트, 검증 세트, 및 시험 세트) 각각에 대응하는 센서 데이터의 유형들의 제1 세트(예를 들어, 센서들의 제1 세트로부터의, 센서들의 제1 세트로부터의 값들의 제1 조합, 센서들의 제1 세트로부터의 값들에서의 제1 패턴들)에 대응할 수 있고, 특징들의 제2 세트는 데이터 세트들 각각에 대응하는 센서 데이터의 유형들의 제2 세트(예를 들어, 센서들의 제1 세트와 상이한 센서들의 제2 세트로부터의, 제1 조합과 상이한 값들의 제2 조합, 제1 패턴들과 상이한 제2 패턴들)에 대응할 수 있다. 일부 실시예들에서, 동작을 위해 기계 학습 모델을 준비하는 데 훈련, 검증, 및/또는 시험 세트들이 활용될 수 있다. 일부 실시예들에서, 훈련, 검증, 및/또는 훈련 세트들은, 예를 들어, 모델 구축에서 부정확한 가정들을 고려하고, 알려지지 않은 파라미터들(예를 들어, 제조 공차 내의 제조 장비 구성요소들에서의 차이들)을 고려하는 등을 위해, 동작을 위해 물리 기반 모델을 준비하는 데 활용될 수 있다.
서버 기계(180)는 훈련 엔진(182), 검증 엔진(184), 선택 엔진(185), 및/또는 시험 엔진(186)을 포함한다. 엔진(예를 들어, 훈련 엔진(182), 검증 엔진(184), 선택 엔진(185), 및 시험 엔진(186))은 하드웨어(예를 들어, 회로, 전용 로직, 프로그램가능 로직, 마이크로코드, 처리 디바이스 등), 소프트웨어(예컨대, 처리 디바이스, 범용 컴퓨터 시스템, 또는 전용 기계 상에서 실행되는 명령어들), 펌웨어, 마이크로코드, 또는 이들의 조합을 지칭할 수 있다. 훈련 엔진(182)은 데이터 세트 생성기(172)로부터의 훈련 세트와 연관된 특징들의 하나 이상의 세트를 사용하여 모델(190)을 훈련시킬 수 있다. 훈련 엔진(182)은 다수의 훈련된 모델들(190)을 생성할 수 있고, 여기서, 각각의 훈련된 모델(190)은 훈련 세트의 특징들의 별개의 세트(예를 들어, 센서들의 별개의 세트로부터의 센서 데이터)에 대응한다. 예를 들어, 제1 훈련된 기계 학습 모델은 모든 특징들(예를 들어, X1-X5)을 사용하여 훈련되었을 수 있고, 제2 훈련된 기계 학습 모델은 특징들의 제1 하위세트(예를 들어, X1, X2, X4)를 사용하여 훈련되었을 수 있고, 제3 훈련된 기계 학습 모델은, 특징들의 제1 하위세트와 부분적으로 중첩될 수 있는, 특징들의 제2 하위세트(예를 들어, X1, X3, X4, 및 X5)를 사용하여 훈련되었을 수 있다. 데이터 세트 생성기(172)는 훈련된 모델(예를 들어, 190)의 출력을 수신하고, 그 데이터를 훈련, 검증, 및 시험 데이터 세트들로 수집하고, 제2 모델을 훈련시키기 위해 데이터 세트들을 사용할 수 있다. 서버 기계(180)의 동작들 중 일부 또는 전부는 물리 기반 모델들, 감독 기계 학습 모델들, 무감독 기계 학습 모델들 등을 포함하는 다양한 유형의 모델들을 훈련시키는 데 사용될 수 있다.
검증 엔진(184)은, 데이터 세트 생성기(172)로부터의 검증 세트의 특징들의 대응하는 세트를 사용하여, 훈련된 모델(190)을 검증할 수 있다. 예를 들어, 훈련 세트의 특징들의 제1 세트를 사용하여 훈련된 제1 훈련된 모델(190)은 검증 세트의 특징들의 제1 세트를 사용하여 검증될 수 있다. 검증 엔진(184)은, 검증 세트의 특징들의 대응하는 세트들에 기초하여, 훈련된 모델들(190) 각각의 정확도를 결정할 수 있다. 검증 엔진(184)은 임계 정확도를 충족하지 않는 정확도를 갖는 훈련된 모델들(190)을 폐기할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 임계 정확도를 충족하는 정확도를 갖는 하나 이상의 훈련된 모델(190)을 선택할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 훈련된 모델들(190) 중 가장 높은 정확도를 갖는 훈련된 모델(190)을 선택할 수 있다.
시험 엔진(186)은, 데이터 세트 생성기(172)로부터의 시험 세트의 특징들의 대응하는 세트를 사용하여, 훈련된 모델(190)을 시험할 수 있다. 예를 들어, 훈련 세트의 특징들의 제1 세트를 사용하여 훈련된 제1 훈련된 모델(190)은 시험 세트의 특징들의 제1 세트를 사용하여 시험될 수 있다. 시험 엔진(186)은 시험 세트들에 기초하여 모든 훈련된 모델들 중 가장 높은 정확도를 갖는 훈련된 모델(190)을 결정할 수 있다.
모델(190)은 처리 동작과 연관된 지속기간에 걸친 센서 데이터의 시간적 전개를 설명하는 물리 기반 모델을 지칭할 수 있다. 물리 기반 모델은 기판에서의 그리고 기판 주위의 유동 에너지, 광의 반사율, 음향 자극들과의 상호작용 등을 설명하는 수식들을 풀도록 구성될 수 있다. 물리 기반 모델은 훈련에 의해, 예를 들어, 처리 동작에 걸쳐 기판의 특성들을 측정하고 결과들을 활용하여 (예를 들어, 하나 이상의 파라미터를 실험 데이터에 피팅함으로써) 물리 기반 모델을 정밀화함으로써 정밀화될 수 있다.
모델(190)은, 데이터 입력들 및 대응하는 타겟 출력들(각각의 훈련 입력들에 대한 올바른 응답들)을 포함하는 훈련 세트를 사용하여 훈련 엔진(182)에 의해 생성되는 모델 아티팩트일 수 있는 기계 학습 모델을 지칭할 수 있다. 데이터 입력을 타겟 출력(올바른 응답)에 맵핑하는, 데이터 세트들에서의 패턴들이 발견될 수 있고, 기계 학습 모델(190)은 이러한 패턴들을 캡처하는 맵핑들을 제공받는다. 일부 실시예들에서, 기계 학습 모델(190)은 기판들의 특성들을 예측할 수 있다. 일부 실시예들에서, 기계 학습 모델(190)은 제조 챔버 구성요소들의 고장 모드들을 예측할 수 있다. 일부 실시예들에서, 기계 학습 모델(190)은, 처리 동작과 연관된 지속기간에 걸친 처리 파라미터들의 전개를 예측할 수 있다.
예측 구성요소(114)는 입력 데이터를 훈련된 기계 학습 모델(190)에 제공할 수 있고, 하나 이상의 출력을 획득하기 위해, 훈련된 기계 학습 모델(190)을 입력에 대해 실행할 수 있다. 예측 구성요소(114)는 훈련된 기계 학습 모델(190)의 출력으로부터 예측 데이터(168)를 결정(예를 들어, 추출)할 수 있고, 예측 데이터(168)가, 생산되거나 생산될 제품들에 대한 입력 데이터와 연관된 프로세스의 정확한 예측인자, 또는 제조 장비(124)의 구성요소들의 정확한 예측인자라는 신뢰도 수준을 표시하는 신뢰도 데이터를 출력으로부터 결정(예를 들어, 추출)할 수 있다. 예측 구성요소(114)는, 모델(190)의 출력에 기초하여, 완성된 기판 특성들에 대한 예측들 및 제조 장비(124), 센서들(126), 또는 계측 장비(128)의 구성요소들의 유효 수명들의 예측들을 포함하는 예측 데이터(168)를 결정할 수 있다. 예측 구성요소(114) 또는 정정 액션 구성요소(122)는 예측 데이터(168)에 기초하여 제조 장비(124)와 연관된 정정 액션을 야기할지를 결정하기 위해 신뢰도 데이터를 사용할 수 있다.
신뢰도 데이터는 신뢰도 수준을 포함하거나 나타낼 수 있다. 예로서, 예측 데이터(168)는 기판 지지 데이터(154)와 함께 설명된 기판 지지부의 사용을 포함하여, 제조 입력들의 세트가 주어지면 완성된 웨이퍼의 특성들을 나타낼 수 있다. 신뢰도 데이터는 예측 데이터(168)가 입력 데이터의 적어도 일부와 연관된 제품들에 대한 정확한 예측임을 나타낼 수 있다. 일 예에서, 신뢰도 수준은 0과 1 사이의 실수(경계 포함)이며, 여기서, 0은 예측 데이터(168)가, 입력 데이터에 따라 처리된 제품들에 대한 정확한 예측이라는 신뢰도가 없음을 나타내고, 1은 예측 데이터(168)가, 입력 데이터에 따라 처리된 제품들의 특성들을 정확하게 예측한다는 절대 신뢰도를 나타낸다. 미리 결정된 개수의 예들(예를 들어, 예들의 백분율, 예들의 빈도, 예들의 총 개수 등)에 대한 임계 수준 미만의 신뢰도 수준을 나타내는 신뢰도 데이터에 대한 응답으로, 예측 구성요소(116)는 (예를 들어, 현재 센서 데이터(146), 현재 제조 파라미터들(150) 등에 기초하여) 훈련된 기계 학습 모델(190)이 재훈련되게 할 수 있다.
예시의 목적을 위해, 제한이 아니라, 본 개시내용의 양상들은, 예측 데이터(168)를 결정하기 위해 이력 데이터를 사용하고 현재 데이터를 하나 이상의 훈련된 모델(190)에 입력하는, 하나 이상의 모델(190)의 훈련을 설명한다. 다른 구현들에서, 경험적 모델 또는 규칙 기반 모델이 (예를 들어, 훈련된 기계 학습 모델을 사용하지 않고) 예측 데이터를 결정하는 데 사용된다. 예측 구성요소(114)는 이력 데이터 및 계측 데이터(160)를 모니터링할 수 있다. 도 2의 데이터 입력들(210)에 대하여 설명된 정보 중 임의의 것이 모니터링될 수 있거나 경험적 또는 규칙 기반 모델에서 다른 방식으로 사용될 수 있다.
일부 실시예들에서, 클라이언트 디바이스(120), 예측 서버(112), 서버 기계(170), 및 서버 기계(180)의 기능들은 더 적은 개수의 기계들에 의해 제공될 수 있다. 예를 들어, 일부 실시예들에서, 서버 기계들(170 및 180)이 단일 기계로 통합될 수 있는 반면, 일부 다른 실시예들에서, 서버 기계(170), 서버 기계(180), 및 예측 서버(112)가 단일 기계로 통합될 수 있다. 일부 실시예들에서, 클라이언트 디바이스(120) 및 예측 서버(112)가 단일 기계로 통합될 수 있다.
일반적으로, 일 실시예에서 클라이언트 디바이스(120), 예측 서버(112), 서버 기계(170) 및 서버 기계(180)에 의해 수행되는 것으로 설명된 기능들은 또한, 적절한 경우 다른 실시예들에서 예측 서버(112) 상에서 수행될 수 있다. 추가적으로, 특정 구성요소에 기인하는 기능성은 함께 동작하는 상이한 또는 다수의 구성요소에 의해 수행될 수 있다. 예를 들어, 일부 실시예들에서, 예측 서버(112)는 예측 데이터(168)에 기초하여 정정 액션을 결정할 수 있다. 다른 예에서, 클라이언트 디바이스(120)는, 훈련된 기계 학습 모델 또는 물리 기반 모델로부터의 출력에 기초하여 예측 데이터(168)를 결정할 수 있다.
추가적으로, 특정 구성요소의 기능들은 함께 동작하는 상이한 또는 다수의 구성요소에 의해 수행될 수 있다. 예측 서버(112), 서버 기계(170) 또는 서버 기계(180) 중 하나 이상은 적절한 응용 프로그래밍 인터페이스(API)를 통해 다른 시스템들 또는 디바이스들에 제공되는 서비스로서 액세스될 수 있다.
실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은 복수의 사용자들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 "사용자"를 포괄한다. 예를 들어, 관리자들의 그룹으로서 연합된, 개별 사용자들의 세트가 "사용자"로 간주될 수 있다.
본 개시내용의 실시예들은 데이터 품질 평가, 특징 강화, 모델 평가, 가상 계측(VM), 예측 유지보수(PdM), 한계 최적화 등에 적용될 수 있다.
본 개시내용의 실시예들은 제조 설비들(예를 들어, 반도체 제조 설비들)에서 정정 액션을 수행하기 위해 예측 데이터(168)를 생성하는 관점에서 논의되지만, 실시예들은 또한 일반적으로, 전체적 데이터 피팅을 수행하고, 피팅된 데이터를 사용하여 처리 조건들, 파라미터들, 설정점들, 프로세스들 등을 개선하기 위해 다차원 센서 데이터를 활용함으로써, 개선된 데이터 처리에 적용될 수 있다.
도 2는 일부 실시예들에 따른, 모델(예를 들어, 도 1의 모델(190))에 대한 데이터 세트들을 생성하는 데 사용되는 예시적인 데이터 세트 생성기(272)(예를 들어, 도 1의 데이터 세트 생성기(172))의 블록도이다. 데이터 세트 생성기(272)는 도 1의 서버 기계(170)의 일부일 수 있다. 일부 실시예들에서, 도 1의 시스템(100)은 다수의 모델들을 포함한다. 그러한 경우들에서, 각각의 모델은 별개의 데이터 세트 생성기를 가질 수 있거나, 모델들은 데이터 세트 생성기를 공유할 수 있다. 도 2에는, 기판의 처리와 연관된 다차원 센서 데이터(예를 들어, 인-시튜 광학 기판 반사율 데이터)를 입력으로서 취하도록 구성된 기계 학습 모델과 연관된 데이터 세트 생성기가 도시된다. 기계 학습 모델은, 처리 동작을 수행하는 것과 연관된 지속기간에 걸친 하나 이상의 처리 파라미터의 전개를 나타내는 정보를 출력으로서 제공하도록 구성된다. 유사한 데이터 세트 생성기들이, 타겟 기능성과 정렬하기 위해 입력 및 출력 데이터의 유형들을 대체하는, 다른 기능들을 수행하는 기계 학습 모델들에 대해 활용될 수 있다. 일부 실시예들에서, 모델(190)에 포함된 기계 학습 모델은 무감독 또는 반-감독 모델일 수 있는데, 예를 들어, 표지되지 않은 훈련 데이터의 적어도 일부를 사용하여 훈련되어야 한다. 데이터 세트 생성기(272)와 유사한 데이터 세트 생성기는, 예를 들어, 연관된 타겟 출력 데이터를 생성하지 않고 훈련 입력 데이터의 세트들을 생성함으로써, 무감독 또는 반-감독 모델을 훈련시키기 위한 데이터 세트들을 생성하는 데 사용될 수 있다. 일부 실시예들에서, 물리 기반 모델은 훈련되어야 한다(예를 들어, 물리 기반 모델은 측정된 데이터에 기초하여 조정 또는 정밀화되어야 한다). 데이터 세트 생성기(272)와 유사한 데이터 세트 생성기가, 물리 기반 모델에 대한 데이터 세트들을 생성하기 위해 활용될 수 있다.
도 2를 참조하면, 데이터 세트 생성기(272)(예를 들어, 도 1의 데이터 세트 생성기(172))를 포함하는 시스템(200)은 기계 학습 모델(예를 들어, 도 1의 모델(190))에 대한 데이터 세트들을 생성한다. 데이터 세트 생성기(272)는 처리 챔버와 연관된 센서들, 기판과 연관된 계측 측정들 등으로부터의 출력으로서 검색된 데이터를 사용하여 데이터 세트들을 생성할 수 있다. 일부 실시예들에서, 데이터 세트 생성기(272)는, 하나 이상의 처리 동작과 연관된 다차원 센서 데이터로부터, 훈련 입력, 검증 입력, 시험 입력 등을 생성한다. 데이터 세트 생성기(272)는 또한, 기계 학습 모델을 훈련시키기 위한 타겟 출력(220)을 생성한다. 타겟 출력은 처리 동작과 연관된 지속기간을 통한 하나 이상의 처리 파라미터, 예를 들어, 식각 깊이, 식각 속도, 퇴적 속도, 구조 치수들 등의 시간적 전개를 나타내는 데이터를 포함한다. 일부 실시예들에서, 기계 학습 모델은, 입력 및 타겟 출력 데이터에 대한 대응하는 변화들과 함께, 상이한 작업을 수행하는 데 활용될 수 있다. 데이터 입력(210) 및 타겟 출력(220)은, 훈련, 시험, 검증 등을 위해 기계 학습 모델에 공급된다.
훈련 입력(210) 및 타겟 출력(220)이 다양한 상이한 방식들로 표현되는 것은 본 개시내용의 범위 내에 있다. 값들, 목록들, 이미지들, 및 다른 데이터 유형들의 벡터 또는 매트릭스가 모두 데이터 입력(210) 및 타겟 출력(220)으로서 사용될 수 있다.
일부 실시예들에서, 데이터 세트 생성기(272)는, 하나 이상의 데이터 입력(210)(예를 들어, 훈련 입력, 검증 입력, 시험 입력)을 포함하는 데이터 세트(예를 들어, 훈련 세트, 검증 세트, 시험 세트)를 생성하고, 데이터 입력들(210)에 대응하는 하나 이상의 타겟 출력(220)을 포함할 수 있다. 데이터 세트는 또한, 데이터 입력들(210)을 타겟 출력들(220)에 맵핑하는 맵핑 데이터를 포함할 수 있다. 데이터 입력들(210)은 또한, "특징들", "속성들", 또는 "정보"로 지칭될 수 있다. 일부 실시예들에서, 데이터 세트 생성기(272)는, 데이터 세트를 도 1의 훈련 엔진(182), 검증 엔진(184), 또는 시험 엔진(186)에 제공할 수 있고, 여기서, 데이터 세트는 도 1의 기계 학습 모델(190)을 훈련하거나, 검증하거나, 시험하는 데 사용된다. 훈련 세트를 생성하는 일부 실시예들은 도 4a와 관련하여 더 설명될 수 있다.
일부 실시예들에서, 데이터 세트 생성기(272)는 제1 기계 학습 모델을 훈련하거나, 검증하거나, 시험하기 위해 다차원 센서 데이터의 제1 세트(242A)에 대응하는 제1 데이터 입력을 생성할 수 있다. 데이터 세트 생성기(272)는 제2 기계 학습 모델을 훈련하거나, 검증하거나, 시험하기 위해 다차원 센서 데이터의 제2 세트(242B)에 대응하는 제2 데이터 입력을 생성할 수 있다.
일부 실시예들에서, 데이터 세트 생성기(272)는 데이터 입력(210) 및 타겟 출력(220) 중 하나 이상에 대해 동작들을 수행할 수 있다. 데이터 세트 생성기(272)는 데이터로부터 패턴들(기울기, 곡률 등)을 추출할 수 있거나, 데이터를 조합(평균, 특징 생성 등)할 수 있거나, 데이터를 그룹들로 분리할 수 있고(예를 들어, 다차원 센서 데이터의 하위세트에 대해 모델을 훈련시킴) 개별 모델들을 훈련시키기 위해 그룹들을 사용할 수 있다.
기계 학습 모델을 훈련하거나, 검증하거나, 시험하기 위한 데이터 입력들(210) 및 타겟 출력들(220)은 특정 처리 챔버에 대한 정보를 포함할 수 있다. 데이터 입력들(210) 및 타겟 출력들(220)은 특정 제품 설계에 대한 (예를 들어, 그 설계의 모든 기판들에 사용되는) 정보를 포함할 수 있다. 데이터 입력들(210) 및 타겟 출력들(220)은 특정 유형의 처리, 타겟 기판 특성, 타겟 처리 챔버군에 대한 정보를 포함할 수 있거나, 다른 방식으로 함께 그룹화될 수 있다.
일부 실시예들에서, 데이터 세트 생성기(272)는, 처리 파라미터(230)의 전개를 포함하는, 타겟 출력(220)의 세트를 생성할 수 있다. 타겟 출력(220)은 입력 데이터의 세트들에 대응하는 세트들로 분리될 수 있다. 타겟 출력(220)의 상이한 세트들은, 상이한 모델들을 훈련시키는 것, 훈련, 검증, 및 시험을 위해 상이한 세트들을 사용하는 것 등을 포함하여, 데이터 입력(210)의 유사하게 정의된 세트들과 관련하여 사용될 수 있다.
타겟 출력(220)은 (예를 들어, 기계 학습 이외의 방법을 사용하여) 하나 이상의 타겟 처리 파라미터의 전개를 측정함으로써 생성될 수 있다. 일부 실시예들에서, 기계 학습 모델은, 하나 이상의 정정 액션, 예를 들어, 추천된 레시피 업데이트들을 출력하도록 구성될 수 있다. 정정 액션 출력(220)은 성능 데이터에서의 경향을 적절한 정정 액션에 상관시킴으로써(예를 들어, 기계 학습 이외의 방법을 사용함으로써) 생성될 수 있다. 사용자는 특정 정정 액션을 수행하는 것이 이력의 예측된 성능과 측정된 성능 간의 차이를 다룬다는 것을 나타낼 수 있고, 훈련에 유용한 데이터를 생성하기 위해 제조 결함이 의도적으로 도입될 수 있는 등이다. 일부 실시예들에서, 모델은 타겟 출력(220) 없이 훈련될 수 있다(예를 들어, 무감독 또는 반-감독 모델). 타겟 출력이 제공되지 않는 모델 훈련은, 예를 들어, 예측된 성능 데이터와 측정된 성능 데이터 사이의 상당한(예를 들어, 오차 임계치를 벗어난) 차이들을 인식하도록 훈련될 수 있다.
일부 실시예들에서, 기계 학습 모델을 훈련시키는 데 사용되는 정보는, 특정 특성들을 갖는 제조 설비의 특정 유형들의 제조 장비(예를 들어, 도 1의 제조 장비(124))로부터일 수 있고, 훈련된 기계 학습 모델이, 특정 그룹의 특성들을 공유하는 하나 이상의 구성요소와 연관된 다차원 센서 데이터의 입력에 기초하여 제조 장비(124)의 특정 그룹에 대한 결과들을 결정하는 것을 허용할 수 있다. 일부 실시예들에서, 기계 학습 모델을 훈련시키는 데 사용되는 정보는, 2개 이상의 제조 설비들로부터의 구성요소들에 대한 것일 수 있고, 훈련된 기계 학습 모델이, 하나의 제조 설비로부터의 입력에 기초하여 구성요소들에 대한 결과들을 결정하는 것을 허용할 수 있다.
일부 실시예들에서, 데이터 세트를 생성하고 데이터 세트를 사용하여 기계 학습 모델을 훈련하거나, 검증하거나, 시험하는 것에 후속하여, 기계 학습 모델은, 예를 들어, 재훈련 절차들을 통해, 더 훈련되거나, 검증되거나, 시험되거나 조정될 수 있다.
일부 실시예들에서, 물리 기반 모델을 훈련(예를 들어, 업데이트, 정밀화 등)시키기 위해 데이터 세트 생성기(272)와 유사한 데이터 세트 생성기가 활용될 수 있다. 물리 기반 모델은, 예를 들어, 부정확한 가정들(예를 들어, 수식들의 근사치들, 물질 특성들 등)을 정정하고, 알려지지 않은 정보(예를 들어, 제조된 구성요소들의 제조 공차 내의 차이들)를 정정하는 등을 위해 훈련될 수 있다. 물리 기반 모델을 훈련시키는 것은, 예를 들어, 기울기 하강에 의해 모델 출력과 타겟 출력 사이의 잔차를 감소시키기 위해 모델의 파라미터들을 조정하는 것을 포함할 수 있다.
도 3은 일부 실시예에 따른, 출력 데이터(예를 들어, 도 1의 예측 데이터(168))를 생성하기 위한 시스템(300)을 예시하는 블록도이다. 시스템(300)은, 하나 이상의 처리 동작과 연관된 다차원 센서 데이터를 분석하고, 처리 동작의 지속기간을 통한 처리 파라미터들의 시간적 전개의 표시를 출력하는 데 사용될 수 있다. 시스템(300)과 유사한 시스템은 다른 모델들, 예컨대, 다차원 센서 데이터를 수신하고 예측된 이상들, 제안된 정정 액션들 등의 표시들을 생성하는 기계 학습 모델에 대해 사용될 수 있다. 시스템(300)의 동작들 중 일부 또는 전부는 물리 기반 모델을 통해 하나 이상의 기판 및/또는 처리 파라미터들의 전개를 나타내는 데이터를 생성하는 데 사용될 수 있다. 이러한 경우들에서, 적절한 경우, 다른 데이터가 입력으로서 사용되고 시스템(300)에 의해 출력으로서 생성될 수 있다.
도 3을 참조하면, 블록(310)에서, 시스템(300)(예를 들어, 도 1의 예측 시스템(110)의 구성요소들)은 훈련 세트(302), 검증 세트(304) 및 시험 세트(306)를 생성하기 위해 이력 데이터(364)(예를 들어, 이력 다차원 센서 데이터, 이력 기판 특성 전개 데이터 등)의 데이터 분할을 (예를 들어, 도 1의 서버 기계(170)의 데이터 세트 생성기(172)를 통해) 수행한다. 예를 들어, 훈련 세트는 데이터의 60%일 수 있고, 검증 세트는 데이터의 20%일 수 있고, 시험 세트는 데이터의 20%일 수 있다.
블록(312)에서, 시스템(300)은 훈련 세트(302)를 사용하여 (예를 들어, 도 1의 훈련 엔진(182)을 통해) 모델 훈련을 수행한다. 시스템(300)은 훈련 세트(302)의 특징들의 다수의 세트들(예를 들어, 훈련 세트(302)의 다차원 데이터의 하위세트를 포함하는 특징들의 제1 세트, 훈련 세트(302)의 다차원 센서 데이터의 상이한 하위세트를 포함하는 특징들의 제2 세트 등)을 사용하여 하나의 모델을 훈련시킬 수 있거나 다수의 모델들을 훈련시킬 수 있다. 예를 들어, 시스템(300)은, 훈련 세트의 특징들의 제1 세트를 사용하여 제1 훈련된 기계 학습 모델을 생성하고 훈련 세트의 특징들의 제2 세트(예를 들어, 제1 기계 학습 모델을 훈련시키는 데 사용된 데이터와 상이한 데이터)를 사용하여 제2 훈련된 기계 학습 모델을 생성하도록 기계 학습 모델을 훈련시킬 수 있다. 일부 실시예들에서, 제1 훈련된 기계 학습 모델 및 제2 훈련된 기계 학습 모델은 (예를 들어, 제1 또는 제2 훈련된 기계 학습 모델 자체보다 더 양호한 예측인자일 수 있는) 제3 훈련된 기계 학습 모델을 생성하도록 조합될 수 있다. 일부 실시예들에서, 모델들을 비교하는 데 사용되는 특징들의 세트들은 중첩될 수 있다(예를 들어, 하나의 모델은 광학 반사율 데이터의 파장들의 제1 세트와 연관된 다차원 센서 데이터로 훈련될 수 있고, 다른 모델은 광학 반사율 데이터의 파장들의 제2 세트를 나타내는 다차원 센서 데이터로 훈련될 수 있으며, 여기서 제2 세트는 제1 세트의 하나 이상의 파장을 포함하고, 상이한 모델들은 기판의 상이한 위치들로부터의 데이터로 훈련될 수 있는 등이다). 일부 실시예들에서, 특징들의 다양한 순열들을 갖는 모델들 및 모델들의 조합들을 포함하는 수백 개의 모델들이 생성될 수 있다.
블록(314)에서, 시스템(300)은 검증 세트(304)를 사용하여 (예를 들어, 도 1의 검증 엔진(184)을 통해) 모델 검증을 수행한다. 시스템(300)은, 검증 세트(304)의 특징들의 대응하는 세트를 사용하여, 훈련된 모델들 각각을 검증할 수 있다. 예를 들어, 검증 세트(304)는 상이한 입력 조건들에 대해, 훈련 세트(302)에서 사용된 성능 데이터의 동일한 하위세트를 사용할 수 있다. 일부 실시예들에서, 시스템(300A)은 블록(312)에서 생성된 수백 개의 모델들(예를 들어, 특징들의 다양한 순열들을 갖는 모델들, 모델들의 조합들 등)을 검증할 수 있다. 블록(314)에서, 시스템(300)은 (예를 들어, 모델 검증을 통해) 하나 이상의 훈련된 모델 각각의 정확도를 결정할 수 있고, 훈련된 모델들 중 하나 이상이, 임계 정확도를 충족하는 정확도를 갖는지 여부를 결정할 수 있다. 훈련된 모델들 중 어느 것도 임계 정확도를 충족하는 정확도를 갖지 않는다고 결정하는 것에 대한 응답으로, 흐름은 시스템(300)이 훈련 세트의 특징들의 상이한 세트들을 사용하여 모델 훈련을 수행하는 블록(312)으로 복귀한다. 훈련된 모델들 중 하나 이상이, 임계 정확도를 충족하는 정확도를 갖는다고 결정하는 것에 대한 응답으로, 흐름은 블록(316)으로 계속된다. 시스템(300)은 (예를 들어, 검증 세트에 기초하여) 임계 정확도 미만인 정확도를 갖는 훈련된 기계 학습 모델들을 폐기할 수 있다.
블록(316)에서, 시스템(300)은 임계 정확도를 충족하는 하나 이상의 훈련된 모델 중 어느 것이 가장 높은 정확도를 갖는지(예를 들어, 블록(314)의 검증에 기초한 선택된 모델(308))를 결정하기 위해 (예를 들어, 도 1의 선택 엔진(185)을 통해) 모델 선택을 수행할 수 있다. 예를 들어, 단 하나의 모델만이 훈련된 경우, 블록(316)의 동작들은 생략될 수 있다. 임계 정확도를 충족하는 훈련된 모델들 중 2개 이상이 동일한 정확도를 갖는다고 결정하는 것에 대한 응답으로, 흐름은, 시스템(300)이, 가장 높은 정확도를 갖는 훈련된 모델을 결정하기 위해, 특징들의 추가의 정밀화된 세트들에 대응하는 추가의 정밀화된 훈련 세트들을 사용하여 모델 훈련을 수행하는 블록(312)으로 복귀할 수 있다.
블록(318)에서, 시스템(300)은 선택된 모델(308)을 시험하기 위해 시험 세트(306)를 사용하여 (예를 들어, 도 1의 시험 엔진(186)을 통해) 모델 시험을 수행한다. 시스템(300)은 (예를 들어, 시험 세트(306)의 특징들의 제1 세트에 기초하여) 제1 훈련된 기계 학습 모델이 임계 정확도를 충족한다고 결정하기 위해, 시험 세트의 특징들의 제1 세트를 사용하여, 제1 훈련된 기계 학습 모델을 시험할 수 있다. 선택된 모델(308)의 정확도가 임계 정확도를 충족하지 않는 것(예를 들어, 선택된 모델(308)이 훈련 세트(302) 및/또는 검증 세트(304)에 과도하게 피팅되고 다른 데이터 세트들, 예컨대, 시험 세트(306)에 적용가능하지 않음)에 대한 응답으로, 흐름은, 시스템(30A)이, 가능하게는, 특징들의 상이한 세트들 또는 훈련, 검증, 및 시험 세트들로 분할된 기판들의 재조직화에 대응하는 상이한 훈련 세트들을 사용하여 모델 훈련(예를 들어, 재훈련)을 수행하는 블록(312)으로 계속된다. 선택된 모델(308)이, 시험 세트(306)에 기초하여 임계 정확도를 충족하는 정확도를 갖는다고 결정하는 것에 대한 응답으로, 흐름은 블록(320)으로 계속된다. 적어도 블록(312)에서, 모델은 예측들을 하기 위해 다차원 센서 데이터에서 패턴들을 학습할 수 있고, 블록(318)에서, 시스템(300)은 예측들을 시험하기 위해 나머지 데이터(예를 들어, 시험 세트(306))에 대해 모델을 적용할 수 있다.
블록(320)에서, 시스템(300)은 현재 데이터(354)(예를 들어, 이력 데이터(364)에 포함되지 않은, 기판과 연관된 현재 다차원 센서 데이터)를 수신하기 위해, 훈련된 모델(예를 들어, 선택된 모델(308))을 사용하고, 훈련된 모델의 출력으로부터 예측 데이터(368)(예를 들어, 도 1의 예측 데이터(168))를 결정(예를 들어, 추출)한다. 일부 실시예들에서, 예측 데이터(368)는 액션을 나타내고, 예를 들어, 정정 액션을 수행하기(예를 들어, 도 1의 제조 장비(124)와 관련하여 정정 액션을 수행하고, 도 1의 클라이언트 디바이스(120)에 제공 및 경고하는 등) 위한 추천을 포함할 수 있다.
일부 실시예들에서, 기계 학습 모델의 재훈련은, 모델을 더 훈련시키기 위한 추가적인 데이터를 공급함으로써 발생한다. 현재 데이터(354)는 블록(312)에서 제공될 수 있다. 추가적인 시간적 전개 데이터(346)(예를 들어, 식각 깊이, 식각 속도, 퇴적 속도 등과 같은 특성들의 처리 동작의 지속기간을 통한 전개를 나타내는 데이터)가 또한 제공될 수 있다. 이러한 데이터는, 원래의 훈련의 일부가 아닌 입력 파라미터들, 원래의 훈련에 의해 걸쳐진 파라미터 공간 외부의 입력 파라미터들의 조합들을 통합함으로써, 모델을 훈련시키는 데 원래 사용되는 데이터와 상이할 수 있거나, 챔버 특정 지식(예를 들어, 제조 공차 범위들, 노화 구성요소들 등으로 인한 이상적인 챔버로부터의 차이들)을 반영하도록 업데이트될 수 있다. 선택된 모델(308)은 이러한 데이터에 기초하여 재훈련될 수 있다.
일부 실시예들에서, 동작들(310-320) 중 하나 이상은 다양한 순서들로 그리고/또는 본원에 제시 및 설명되지 않은 다른 동작들과 함께 발생할 수 있다. 일부 실시예들에서, 동작들(310-320) 중 하나 이상이 수행되지 않을 수 있다. 예를 들어, 일부 실시예들에서, 블록(310)의 데이터 분할, 블록(314)의 모델 검증, 블록(316)의 모델 선택, 또는 블록(318)의 모델 시험 중 하나 이상이 수행되지 않을 수 있다. 예를 들어, 다차원 센서 데이터를 입력으로서 취하고 하나 이상의 처리 파라미터의 예측된 시간적 전개를 출력으로서 생성하기 위해, 물리 기반 모델을 훈련시킬 때, 이러한 동작들의 하위세트가 수행될 수 있다.
도 4a-c는 일부 실시예들에 따른, 다차원 센서 데이터의 분석과 연관된 방법들(400A-C)의 흐름도들이다. 방법들(400A-C)은, 하드웨어(예를 들어, 회로, 전용 로직, 프로그램가능 로직, 마이크로코드, 처리 디바이스 등), 소프트웨어(예컨대, 처리 디바이스, 범용 컴퓨터 시스템, 또는 전용 기계 상에서 실행되는 명령어들), 펌웨어, 마이크로코드, 또는 이들의 조합을 포함할 수 있는 처리 로직에 의해 수행될 수 있다. 일부 실시예들에서, 방법들(400A-C)은, 부분적으로, 예측 시스템(110)에 의해 수행될 수 있다. 방법(400A)은, 부분적으로, 예측 시스템(110)(예를 들어, 도 1의 서버 기계(170) 및 데이터 세트 생성기(172), 도 2의 데이터 세트 생성기(272))에 의해 수행될 수 있다. 예측 시스템(110)은 본 개시내용의 실시예들에 따라, 모델을 훈련하거나, 검증하거나, 시험하는 것 중 적어도 하나를 위한 데이터 세트를 생성하기 위해 방법(400A)을 사용할 수 있다. 모델은 물리 기반 디지털 트윈 모델(예를 들어, 기판 지지부의 예측 성능 데이터를 생성하기 위함), 기계 학습 모델(예를 들어, 웨이퍼의 예측 성능 데이터를 생성하기 위함, 제조 장비의 구성요소와 연관된 정정 액션을 나타내는 데이터를 생성하기 위함 등), 통계적 모델, 또는 입력을 수신하고 기판 지지부 특징화에 관련된 출력을 생성하도록 훈련된 다른 모델일 수 있다. 방법(400B)은 예측 서버(112)(예를 들어, 예측 구성요소(114) 등)에 의해 수행될 수 있다. 방법(400C)은 서버 기계(180)(예를 들어, 훈련 엔진(182))에 의해 수행될 수 있다. 일부 실시예들에서, 비일시적 저장 매체는 (예를 들어, 예측 시스템(110)의, 서버 기계(180)의, 예측 서버(112)의 등의) 처리 디바이스에 의해 실행될 때 처리 디바이스로 하여금 방법들(400A-C) 중 하나 이상을 수행하게 하는 명령어들을 저장한다.
설명의 단순화를 위해, 방법들(400A-C)은 일련의 동작들로서 도시되고 설명된다. 그러나, 본 개시내용에 따른 동작들은 다양한 순서들로 그리고/또는 동시에 그리고 본원에 제시 및 설명되지 않은 다른 동작들과 함께 발생할 수 있다. 게다가, 개시된 주제에 따른 방법들(400A-C)을 구현하기 위해, 예시된 동작들 전부가 수행되는 것은 아닐 수 있다. 추가적으로, 관련 기술분야의 통상의 기술자들은, 방법들(400A-C)이 대안적으로, 상태도 또는 이벤트들을 통해 일련의 상호관련된 상태들로서 표현될 수 있다는 것을 이해하고 인식할 것이다.
도 4a는 일부 실시예들에 따른, 예측 데이터(예컨대, 도 1의 예측 데이터(168))를 생성하기 위한 기계 학습 모델에 대한 데이터 세트를 생성하기 위한 방법(400A)의 흐름도이다.
도 4a를 참조하면, 일부 실시예들에서, 블록(401)에서, 방법(400A)을 구현하는 처리 로직은 훈련 세트(T)를 빈 세트로 초기화한다. 블록(402)에서, 처리 로직은, 다차원 센서 데이터, 처리 챔버 성능 데이터, 측정된 기판 성능 데이터, 기판 계측 데이터(예를 들어, 막 특성들, 예컨대, 두께, 물질 조성, 광학 특성들, 거칠기 등) 등을 포함할 수 있는 제1 데이터 입력(예를 들어, 제1 훈련 입력, 제1 검증 입력)을 생성한다. 일부 실시예들에서, (예를 들어, 도 3과 관련하여 설명된 바와 같이) 제1 데이터 입력은 데이터의 제1 유형들에 대한 특징들의 제1 세트를 포함할 수 있고, 제2 데이터 입력은 데이터의 제2 유형들에 대한 특징들의 제2 세트를 포함할 수 있다.
블록(403)에서, 처리 로직은 데이터 입력들 중 하나 이상(예를 들어, 제1 데이터 입력)에 대한 제1 타겟 출력을 생성한다. 일부 실시예들에서, 제1 타겟 출력은, 처리 동작의 지속기간을 통한 하나 이상의 처리 파라미터, 예를 들어, 식각 깊이, 식각 속도, 기판 구조 치수 등의 시간적 전개의 표시를 포함한다. 일부 실시예들에서, 제1 타겟 출력은 기판들의 성능 데이터이다. 일부 실시예들에서, 제1 타겟 출력은 정정 액션을 나타내는 데이터를 포함한다. 일부 실시예들에서, (예를 들어, 무감독 기계 학습 모델을 훈련시키기 위해) 어떠한 타겟 출력도 생성되지 않는다.
블록(404)에서, 처리 로직은 선택적으로, 입력/출력 맵핑을 나타내는 맵핑 데이터를 생성한다. 입력/출력 맵핑(또는 맵핑 데이터)은, 데이터 입력(예를 들어, 본원에서 설명되는 데이터 입력들 중 하나 이상), 데이터 입력에 대한 타겟 출력, 및 데이터 입력(들)과 타겟 출력 사이의 연관을 지칭할 수 있다. 일부 실시예들(예를 들어, 타겟 출력 데이터가 없는 실시예들)에서, 이러한 동작들은 수행되지 않을 수 있다.
일부 실시예들에서, 블록(405)에서, 처리 로직은 블록(404)에서 생성된 맵핑 데이터를 데이터 세트(T)에 추가한다. 블록(406)에서, 처리 로직은 데이터 세트(T)가 도 1의 훈련, 검증, 및/또는 시험 모델(190) 중 적어도 하나에 충분한지 여부에 기초하여 분기한다. 충분하다면, 실행은 블록(407)으로 진행하고, 그렇지 않다면 실행은 블록(402)에서 다시 계속된다. 일부 실시예들에서, 데이터 세트(T)의 충분도는 단순히, 일부 실시예들에서 데이터 세트의 출력들에 맵핑되는 입력들의 개수에 기초하여 결정될 수 있는 반면, 일부 다른 구현들에서, 데이터 세트(T)의 충분도는 입력들의 개수에 더하여, 또는 그 대신에, 하나 이상의 다른 기준(예를 들어, 데이터 예들의 다양성의 척도, 정확도 등)에 기초하여 결정될 수 있다는 점을 주목해야 한다.
블록(407)에서, 처리 로직은 모델(190)을 훈련하고, 검증하고/거나 시험하기 위해, 데이터 세트(T)를 (예를 들어, 도 1의 서버 기계(180)에) 제공한다. 일부 실시예들에서, 데이터 세트(T)는 훈련 세트이고, 훈련을 수행하기 위해 서버 기계(180)의 훈련 엔진(182)에 제공된다. 일부 실시예들에서, 데이터 세트(T)는 검증 세트이고, 검증을 수행하기 위해 서버 기계(180)의 검증 엔진(184)에 제공된다. 일부 실시예들에서, 데이터 세트(T)는 시험 세트이고, 시험을 수행하기 위해 서버 기계(180)의 시험 엔진(186)에 제공된다.
도 4b는 일부 실시예들에 따른, 다차원 센서 데이터의 시간적 분석을 수행하기 위한 방법(400B)의 흐름도이다. 방법(400B)의 블록(410)에서, 처리 로직은 제1 데이터를 수신한다. 제1 데이터는 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함한다. 제1 데이터는 처리 동작과 연관된다. 제1 데이터는 다차원인데, 예를 들어, 적어도 2개의 차원으로 분해된다. 제1 데이터는 시간으로 분해되는데, 예를 들어, 다차원 데이터의 차원들 중 하나가 시간이다.
일부 실시예들에서, 제1 데이터는 지속기간(예를 들어, 처리 동작의 지속기간) 동안 하나 이상의 센서에 의한 반복된 측정치들을 포함한다. 일부 실시예들에서, 센서 측정들은 처리 동작 전반에 걸쳐 다수 회 반복될 수 있는데, 예를 들어, 다수의 시간 단계들, 시간 프레임들 등으로 반복될 수 있다. 일부 실시예들에서, 상이한 데이터가 상이한 프레임들에서 수집되는데, 예를 들어, 시간 차원들과는 독립적인 차원들로 표현된 모든 지점이 모든 데이터 수집 시간에 표현될 수 있는 것은 아니다. 예를 들어, 다차원 센서 데이터는 스펙트럼 데이터를 포함할 수 있다. 일부 실시예들에서, 파장 측정치들의 제1 하위세트는 제1 시간 단계에서 기록될 수 있고, 파장 측정치들의 제2 하위세트는 제2 시간 단계에서 기록될 수 있는 등이다.
일부 실시예들에서, 제1 데이터는 처리 챔버에서의 기판의 인-시튜 측정치들을 포함한다. 본원에서 사용되는 바와 같이, 인-시튜 측정치는 기판의 처리 동안 취해진 측정치들, 예를 들어, 처리 동작 동안의 광학 반사율 데이터 기록을 나타낸다. 인-시튜 측정치들은 주파수 의존 측정치들, 예를 들어, 스펙트럼 분해 측정치들(광학 반사율, x-선 측정치들 등), 음향 측정치들 등을 포함할 수 있다.
블록(412)에서, 처리 로직은 제1 데이터를 모델(예를 들어, 도 1의 모델(190))에 제공한다. 일부 실시예들에서, 모델은 물리 기반 모델을 포함한다. 일부 실시예들에서, 모델은 기계 학습 모델을 포함한다. 블록(414)에서, 처리 로직은 모델로부터 제2 데이터를 수신한다. 제2 데이터는 처리 동작 동안(예를 들어, 처리 동작의 지속기간 전체에 걸쳐) 하나 이상의 처리 파라미터(예를 들어, 식각 속도, 식각 깊이, 구조 기하형상, 퇴적 속도 등)의 전개의 표시를 포함한다.
모델은, 입력으로서 다차원 센서 데이터(예를 들어, 시간 및 적어도 하나의 다른 차원으로 분해된 데이터)를 수신하고 동시에 데이터를 피팅하여 프로세스 동작의 전개, 기판의 하나 이상의 치수의 전개 등의 표시를 생성하도록 구성될 수 있다. 모델은 입력 데이터를 전체적으로 처리하도록, 예를 들어, 처리 동작의 지속기간 전체로부터의 데이터를 동시에 처리하도록 구성될 수 있다. 일부 실시예들에서, 모델은, 정정 액션, 예를 들어, 레시피에 대한 조정, 추천된 유지보수, 추천된 구성요소 교체 등을 나타내는 데이터를 출력으로서 생성하도록 구성될 수 있다. 모델의 동작들은 도 5와 관련하여 더 논의된다. 블록(416)에서, 처리 로직은 제2 데이터를 고려하여 정정 액션의 수행을 야기한다. 집합적 액션의 수행을 야기하는 것은, 프로세스 레시피를 업데이트하는 것, 유지보수를 스케줄링하는 것(예를 들어, 예방 유지보수를 스케줄링하는 것, 정정 유지보수를 스케줄링하는 것 등), 경고를 사용자에게 전송하는 것 등을 포함할 수 있다.
도 4c는 일부 실시예들에 따른, 다차원 센서 데이터의 분석과 관련하여 기계 학습 모델을 활용하기 위한 방법(400C)의 흐름도이다. 블록(420)에서, 처리 로직은 제1 이력 데이터를 수신한다. 제1 이력 데이터는 하나 이상의 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함한다. 제1 이력 데이터는 하나 이상의 처리 동작과 연관된다. 제1 이력 데이터는 다차원인데, 예를 들어, 적어도 시간 및 하나의 다른 차원으로 분해된다. 제1 이력 데이터는 많은 기판들, 많은 처리 실행들 등과 연관된 센서 데이터를 포함할 수 있다.
블록(422)에서, 처리 로직은 제2 이력 데이터를 수신한다. 제2 이력 데이터는 처리 동작 동안 처리 파라미터의 전개의 표시를 포함한다. 예를 들어, 제2 이력 데이터는, 처리 동작의 지속기간을 통한, 식각 깊이, 식각 속도, 퇴적 속도, 구조의 기하형상 등의 시간적 전개를 나타내는 데이터를 포함할 수 있다. 제2 데이터는 제1 데이터에 상관될 수 있는데, 예를 들어, 동일한 기판, 동일한 챔버, 동일한 기판 설계, 동일한 프로세스 레시피 등과 연관된 시간적 처리 파라미터 전개 데이터와 센서 데이터 사이의 링크를 나타내는 데이터가 존재할 수 있다.
블록(424)에서, 처리 로직은 기계 학습 모델을 훈련시킨다. 기계 학습 모델을 훈련시키는 것은, 훈련 입력 데이터를 기계 학습 모델에 제공하는 것을 포함한다. 기계 학습 모델을 훈련시키는 것은 타겟 출력 데이터를 기계 학습 모델에 제공하는 것을 포함할 수 있다. 기계 학습 모델은, 훈련 입력 데이터와 유사한(예를 들어, 동일한 유형의, 동일한 소스를 갖는 등의) 데이터를 수신하고 타겟 출력 데이터와 유사한 데이터를 생성하도록 구성될 수 있다. 방법(400C)의 기계 학습 모델은, 신경망(예컨대, 인공 신경망), 지원 벡터 머신, 방사상 기저 함수, 클러스터링, k-최근접 이웃 알고리즘, 랜덤 포레스트 등 중 하나 이상을 포함할 수 있다. 기계 학습 모델을 훈련시키는 것은 도 3과 관련하여 더 상세히 설명된다.
블록(426)에서, 처리 로직은 제1 현재 데이터를 수신한다. 제1 현재 데이터는 블록(420)에서 수신된 제1 이력 데이터와 동일한 유형의 데이터(예를 들어, 다차원 센서 데이터)를 포함한다. 제1 현재 데이터는 도 4b의 블록(410)에서 수신된 제1 데이터와 공통인 특징들을 가질 수 있다. 블록(428)에서, 제1 현재 데이터는 훈련된 기계 학습 모델에 제공된다.
블록(430)에서, 처리 로직은, 훈련된 기계 학습 모델로부터 제2 현재 데이터를 수신한다. 제2 현재 데이터는 블록(422)에서 수신된 제2 이력 데이터와 동일한 유형의 데이터(예를 들어, 하나 이상의 처리 파라미터의 시간적 전개를 나타내는 데이터)를 포함한다. 제2 현재 데이터는 도 4b의 블록(414)에서 수신된 제2 데이터와 공통인 특징들을 가질 수 있다. 블록(432)에서, 처리 로직은 제2 현재 데이터를 고려하여 정정 액션의 수행을 야기한다. 블록(432)의 동작들은 도 4b의 블록(416)의 동작들과 공통인 특징들을 가질 수 있다.
도 5는 일부 실시예들에 따른, 예측 데이터(520)를 생성하기 위해 다차원 센서 데이터(502)를 활용하기 위한 데이터 분석 시스템(500)을 도시한다. 일부 실시예들에서, 처리 동작의 성능을 나타내는 데이터가 처리 챔버 센서들(526)(예를 들어, 도 1의 센서들(126))에 의해 생성된다. 처리 챔버 센서들(526)은 챔버 온도, 챔버 압력, 공급된 전력, 전기 저항, 광학 특성들, 가스 유량, 화학적 특성들, 음향 특성들 등을 측정하는 센서들을 포함할 수 있다. 일부 실시예들에서, 처리 챔버 센서들(526)은 광학 데이터, 예를 들어, 기판 반사율 스펙트럼들을 수신하는 센서들을 포함한다. 처리 챔버 센서들(526)은 다차원 센서 데이터(502)를 생성한다. 다차원 센서 데이터(502)는 적어도 시간 및 하나의 다른 차원으로 분해될 수 있다. 예를 들어, 광학 센서에 의해 생성된 데이터는 주파수/파장 및 시간으로 분해될 수 있다. 다른 예로서, 압력 및 온도 데이터는 시간 및 센서(예를 들어, 센서 위치, 센서 ID 등)로 분해될 수 있다. 일부 실시예들에서, 1차원의 모든 분해된 데이터 지점들이 시간에 맞춰 반복적으로 측정될 수 있다. 예를 들어, 스펙트럼 데이터는 신호를 다수의 파장들로 분해할 수 있고, 그러한 파장들의 측정은 처리 동작의 지속기간 전반에 걸쳐 수 회 반복될 수 있다. 일부 실시예들에서, 데이터 지점들의 선택은 하나 이상의 시간 단계에서 측정될 수 있다. 예를 들어, 음향 센서는, 각각의 시간 단계에서 각각의 측정가능한 주파수에 대해 데이터 지점이 측정되지 않도록, 측정된 주파수들을 시간에 맞춰 순환시킬 수 있다. 일부 실시예들에서, 다차원 센서 데이터(502)는 처리 동작의 전체 지속기간으로부터의 데이터를 포함할 수 있는데, 예를 들어, 처리 동작이 종료된 후에 모델링 시스템(510)에 제공될 수 있다. 일부 실시예들에서, 다차원 센서 데이터(502)는 처리 동작의 지속기간의 일부로부터의 데이터를 포함할 수 있다.
다차원 센서 데이터(502)는 모델링 시스템(510)에 제공된다. 모델링 시스템(510)은 도 1의 예측 시스템(110)에 포함될 수 있고, 도 1의 예측 서버(112) 상에 완전히 또는 부분적으로 호스팅될 수 있는 등이다. 모델링 시스템(510)은 다차원 센서 데이터(502)를 적어도 2개의 차원으로 동시에 피팅하도록 구성될 수 있는데, 예를 들어, 모델링 시스템(510)은 다수의 데이터 수집 채널들(예를 들어, 센서들, 파장들, 주파수들, 위치들 등)의 시간 전개를 피팅하도록 구성된 구성요소들, 알고리즘들 등을 포함할 수 있다. 다차원 데이터의 다수의 차원들을 동시에 피팅하는 것은 종래의 방법들에 비해 기술적 장점들을 제공한다. (예를 들어, 다수의 시간 단계들 또는 시간 프레임들로부터의 데이터를 함께 피팅하는 것으로 인한) 피팅을 위해 이용가능한 데이터 지점들의 개수의 증가는, 피팅될 수 있는 파라미터들의 개수를 증가시킬 수 있고, 예측될 수 있는 물리적 치수들의 개수를 증가시킬 수 있고, 프로세스 전개(예를 들어, 처리 동작의 지속기간에 걸친 식각 속도의 변화)의 확실성을 증가시킬 수 있고, 잡음 신호들에 대한 피팅 절차들의 강건성을 증가시킬 수 있는 등이다. 증가된 이용가능한 정보, 증가된 확실성, 및 잡음 신호들로부터 정보를 추출하는 증가된 능력은 데이터 처리의 효율을 증가시킬 수 있는데, 예를 들어, 새로운 레시피, 새로운 챔버, 새로운 동작, 새로운 기판 설계 등의 성능을 나타내기 위해 더 적은 시험 동작들이 실행될 필요가 있을 수 있다. 처리 파라미터들의 시간적 전개의 신뢰가능한 분석은 프로세스 학습, 예를 들어, 새로운 프로세스 레시피들의 개발, 새로운 제품 설계들의 개발 등의 효율을 증가시킨다.
모델링 시스템(510)은 데이터 피팅 모듈(512), 물리적 모델(514), 및 데이터 시뮬레이터(516)를 포함할 수 있다. 다차원 데이터는 데이터 피팅 모듈(512)에 제공될 수 있다. 데이터 피팅 모듈(512)은 다차원 센서 데이터를 입력으로서 수신하고 데이터의 설명, 예를 들어, 피팅, 기능 형태 등을 출력으로서 생성하도록 구성될 수 있다. 데이터 피팅 모듈(512)은 회귀 모델, 규칙 기반 모델 등을 사용하여 출력을 생성할 수 있다. 데이터 피팅 모듈(512)은 입력 데이터를 고려하여 하나 이상의 파라미터의 값들을 피팅할 수 있다. 데이터 피팅 모듈(512)은 시간에 따른 다수의 값들, 예를 들어, 다수의 센서들로부터의 시간에 따른 응답, 센서에 의해 측정된 다수의 특성들(예를 들어, 광학 파장, 음향 주파수 등)의 시간에 따른 응답 등을 피팅할 수 있다.
데이터 피팅 모듈(512)의 출력은 물리적 모델(514)에 제공될 수 있다. 물리적 모델(514)은 데이터(예를 들어, 데이터 피팅 모듈(512)로부터의 피팅된 파라미터 값들)를 수신하고, 제조된 디바이스의 특성들의 표시를 생성하도록 구성될 수 있다. 예를 들어, 물리적 모델(514)은 피팅 모듈(512)로부터의 피팅된 파라미터들을 수신하고, 처리 동작의 지속기간에 걸친 기판 계측의 전개의 표시를 생성할 수 있다. 물리적 모델(514)은 시간에 따른 다수의 특성들의 전개를 표현할 수 있다. 예를 들어, 물리적 모델(514)은, 시간 지속기간(예를 들어, 다차원 센서 데이터(502)와 연관된 처리 동작의 지속기간)에 걸친 식각 깊이, 식각 폭, 퇴적 깊이, 구조 치수 등의 전개를 표현할 수 있다.
예측된 물리적 시스템 전개를 나타내는 데이터(예를 들어, 물리적 모델(514)의 출력)는 데이터 시뮬레이터(516)에 제공될 수 있다. 데이터 시뮬레이터(516)는 물리적 모델에 따라 합성 센서 데이터를 생성하도록 구성될 수 있다. 데이터 시뮬레이터(516)는 매질(예를 들어, 전자기 방사선)과 상호작용하는 물리적 물체(예를 들어, 기판)의 모델을 통합할 수 있다. 데이터 시뮬레이터(516)는 디지털 트윈 모델을 포함할 수 있다. 본원에서 사용되는 바와 같이, 디지털 트윈은 제조된 부품 또는 기판과 같은 물리적 자산의 디지털 복제이다. 디지털 트윈은 물리적 자산의 특성들, 예컨대, 좌표 축 치수, 무게 특성, 물질 특성(예를 들어, 밀도, 표면 거칠기), 광학적 특성(예를 들어, 반사율) 등을 포함한다. 일부 실시예들에서, 데이터 시뮬레이터(516)는 합성 센서 데이터를 생성하기 위해 물리적 의미를 갖는 수식들(예를 들어, 구조들과 방사선의 상호작용을 설명하는 수식들의 시스템들)을 풀 수 있다. 일부 실시예들에서, 데이터 시뮬레이터(516)의 출력이 피팅 모듈(512)에 제공될 수 있다. 충분한 정확도에 도달할 때까지(예를 들어, 해가 임계값 내로 수렴할 때까지) 예측들이 재귀적으로 개선될 수 있다. 그 다음, 모델링 시스템(510)은 예측 데이터(520)를 출력할 수 있다. 예측 데이터(520)는 도 1의 예측 데이터(168)와 특징들을 공유할 수 있다. 예측 데이터는, 처리 동작의 지속기간에 걸친 기판 파라미터들의 예측된 전개의 설명을 포함할 수 있다. 예를 들어, 예측 데이터(520)는, 처리 동작의 지속기간에 걸친 식각 깊이 전개의 표시를 포함할 수 있다.
일부 실시예들에서, 시스템(500)의 기능들은 하나 이상의 기계 학습 모델에 의해 수행될 수 있다. 일부 실시예들에서, 모델링 시스템(510)의 기능들은 하나 이상의 기계 학습 모델에 의해 수행될 수 있다. 일부 실시예들에서, 모델링 시스템(510)은 기계 학습 모델로 대체될 수 있다. 기계 학습 모델은 감독 방식으로 훈련될 수 있다(예를 들어, 표지된 훈련 데이터를 사용하여 훈련될 수 있다). 기계 학습 모델은 반-감독 방식으로 훈련될 수 있다(예를 들어, 일부 표지된 훈련 데이터 및 일부 표지되지 않은 훈련 데이터를 사용하여 훈련될 수 있다). 기계 학습 모델에는 훈련 입력으로서 센서 데이터가 제공될 수 있고, 타겟 출력으로서 기판 계측(예컨대, 식각 깊이, 퇴적 깊이 등)을 나타내는 표지들이 제공될 수 있다. 동작 시, 훈련된 기계 학습 모델은 다차원 센서 데이터(502)를 제공받을 수 있고, 출력 예측 데이터(520)로서 생성할 수 있다.
일부 실시예들에서, 물리적 모델(514)은 기계 학습 모델로 대체될 수 있다. 훈련에서, 기계 학습 모델은, 훈련 입력으로서 피팅 데이터(예를 들어, 다차원 센서 데이터(502)로부터 생성된 피팅 파라미터들)를 제공받을 수 있고, 타겟 출력으로서 하나 이상의 기판 치수(예컨대, 식각 깊이)의 표시들을 제공받을 수 있다. 동작 시, 기계 학습 모델은 피팅 모듈(512)로부터 피팅 출력을 수신하고, 기판의 물리적 치수들의 예측을 출력으로서 생성할 수 있다. 시스템(500)의 다른 동작들은 대신에 기계 학습 모델들로 대체될 수 있고, 훈련 입력 및 타겟 출력에 대한 대응하는 변화들은 훈련 동작들에서 제공되고 모델 동작에서 입력이 제공되고 출력이 생성된다.
도 6은 일부 실시예들에 따른, 컴퓨터 시스템(600)을 예시하는 블록도이다. 일부 실시예들에서, 컴퓨터 시스템(600)은 (예를 들어, 네트워크, 예컨대, 근거리 네트워크(LAN), 인트라넷, 엑스트라넷 또는 인터넷를 통해) 다른 컴퓨터 시스템들에 연결될 수 있다. 컴퓨터 시스템(600)은 클라이언트-서버 환경에서 서버 또는 클라이언트 컴퓨터로서, 또는 피어-투-피어 또는 분산형 네트워크 환경에서 피어 컴퓨터로서 동작할 수 있다. 컴퓨터 시스템(600)은 개인용 컴퓨터(PC), 태블릿 PC, 셋탑 박스(STB), 휴대 정보 단말기(PDA), 셀룰러 전화기, 웹 기기, 서버, 네트워크 라우터, 스위치 또는 브리지, 클라우드 기반 계산 디바이스, 가상 컴퓨팅 디바이스들, 또는 해당 디바이스에 의해 취해질 액션들을 특정하는 (순차적 또는 다른 방식의) 명령어들의 세트를 실행할 수 있는 임의의 디바이스에 의해 제공될 수 있다. 또한, "컴퓨터"라는 용어는 본원에 설명된 방법들 중 임의의 하나 이상을 수행하기 위해 명령어들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 컴퓨터들의 임의의 집합을 포함해야 한다.
추가의 양상에서, 컴퓨터 시스템(600)은, 버스(608)를 통해 서로 통신할 수 있는, 처리 디바이스(602), 휘발성 메모리(604)(예를 들어, 랜덤 액세스 메모리(RAM)), 비휘발성 메모리(606)(예를 들어, 판독 전용 메모리(ROM) 또는 전기적 소거가능 프로그램가능 ROM(EEPROM)), 및 데이터 저장 디바이스(618)를 포함할 수 있다.
처리 디바이스(602)는 하나 이상의 프로세서, 예컨대, 범용 프로세서(예컨대, 예를 들어, 복합 명령어 세트 컴퓨팅(CISC) 마이크로프로세서, 축소 명령어 세트 컴퓨팅(RISC) 마이크로프로세서, 매우 긴 명령어(VLIW) 마이크로프로세서, 다른 유형의 명령어 세트들을 구현하는 마이크로프로세서, 또는 명령어 세트들의 유형들의 조합을 구현하는 마이크로프로세서) 또는 특화된 프로세서(예컨대, 예를 들어, 주문형 집적 회로(ASIC), 필드 프로그램가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP) 또는 네트워크 프로세서)에 의해 제공될 수 있다.
컴퓨터 시스템(600)은 (예를 들어, 네트워크(674)에 결합된) 네트워크 인터페이스 디바이스(622)를 더 포함할 수 있다. 컴퓨터 시스템(600)은 또한, 비디오 디스플레이 유닛(610)(예를 들어, LCD), 영숫자 입력 디바이스(612)(예를 들어, 키보드), 커서 제어 디바이스(614)(예를 들어, 마우스) 및 신호 생성 디바이스(620)를 포함할 수 있다.
일부 구현들에서, 데이터 저장 디바이스(618)는, 도 1의 구성요소들(예를 들어, 예측 구성요소(114), 모델(들)(190) 등)을 인코딩하고 본원에 설명된 방법들을 구현하기 위한 명령어들을 포함하는, 본원에 설명된 방법들 또는 기능들 중 임의의 하나 이상을 인코딩하는 명령어들(626)을 저장할 수 있는 비일시적 컴퓨터 판독가능 저장 매체(624)(예를 들어, 비일시적 기계 판독가능 저장 매체)를 포함할 수 있다.
명령어들(626)은 또한, 컴퓨터 시스템(600)에 의한 명령어들의 실행 동안 휘발성 메모리(604) 내에 그리고/또는 처리 디바이스(602) 내에 완전히 또는 부분적으로 상주할 수 있으므로, 휘발성 메모리(604) 및 처리 디바이스(602)는 또한, 기계 판독가능 저장 매체를 구성할 수 있다.
예시적인 예들에서 컴퓨터 판독가능 저장 매체(624)가 단일 매체로서 도시되지만, "컴퓨터 판독가능 저장 매체"라는 용어는 실행가능한 명령어들의 하나 이상의 세트를 저장하는 단일 매체 또는 복수 매체들(예를 들어, 중앙집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시들 및 서버들)을 포함해야 한다. "컴퓨터 판독가능 저장 매체"라는 용어는 또한, 컴퓨터로 하여금 본원에 설명된 방법들 중 임의의 하나 이상을 수행하게 하는, 컴퓨터에 의한 실행을 위한 명령어들의 세트를 저장하거나 인코딩할 수 있는 임의의 유형 매체를 포함해야 한다. "컴퓨터 판독가능 저장 매체"라는 용어는, 솔리드 스테이트 메모리들, 광학 매체들 및 자기 매체들을 포함해야 하지만 이에 제한되지는 않는다.
본원에 설명된 방법들, 구성요소들, 및 특징들은 별개의 하드웨어 구성요소들로 구현될 수 있거나, 다른 하드웨어 구성요소들, 예컨대, ASIC들, FPGA들, DSP들 또는 유사한 디바이스들의 기능에 통합될 수 있다. 추가적으로, 방법들, 구성요소들 및 특징들은 하드웨어 디바이스들 내의 펌웨어 모듈들 또는 기능 회로에 의해 구현될 수 있다. 또한, 방법들, 구성요소들 및 특징들은 하드웨어 디바이스들 및 컴퓨터 프로그램 구성요소들의 임의의 조합으로, 또는 컴퓨터 프로그램들로 구현될 수 있다.
구체적으로 달리 언급되지 않는 한, "수신", "수행", "제공", "획득", "야기", "액세스", "결정", "추가", "사용", "훈련", "생성", "식별", "할당", "업데이트", "스케줄링", "정정" 등과 같은 용어들은, 컴퓨터 시스템 레지스터들 및 메모리들 내에서 물리적(전자적) 양들로서 표현되는 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 송신 또는 디스플레이 디바이스들 내의 물리적 양들로서 유사하게 표현되는 다른 데이터로 조작하고 변환하는, 컴퓨터 시스템들에 의해 수행 또는 구현되는 액션들 및 프로세스들을 지칭한다. 또한, 본원에서 사용되는 바와 같은 "제1", "제2", "제3", "제4" 등의 용어들은 상이한 요소들을 구별하기 위한 라벨들로서 의도되며, 그들의 수치 지정에 따라 서수 의미를 가질 필요는 없을 수 있다.
본원에 설명된 예들은 또한, 본원에 설명된 방법들을 수행하기 위한 장치에 관한 것이다. 이 장치는 본원에 설명된 방법들을 수행하기 위해 특별히 구성될 수 있거나, 컴퓨터 시스템에 저장된 컴퓨터 프로그램에 의해 선택적으로 프로그래밍된 범용 컴퓨터 시스템을 포함할 수 있다. 그러한 컴퓨터 프로그램은 컴퓨터 판독가능한 유형적 저장 매체에 저장될 수 있다.
본원에 설명된 방법들 및 예시적인 예들은 임의의 특정 컴퓨터 또는 다른 장치에 본질적으로 관련되지 않는다. 다양한 범용 시스템들은 본원에 설명된 교시들에 따라 사용될 수 있거나, 본원에 설명된 방법들 및/또는 그들의 개별 기능들, 루틴들, 하위루틴들, 또는 동작들 각각을 수행하기 위해 더 특화된 장치를 구성하기에 편리한 것을 증명할 수 있다. 다양한 이러한 시스템들에 대한 구조의 예들이 위의 설명에 제시된다.
위의 설명은 제한적인 것이 아니라 예시적인 것으로 의도된다. 본 개시내용이, 특정한 예시적인 예들 및 구현들을 참조하여 설명되었지만, 본 개시내용은 설명된 예들 및 구현들로 제한되지 않는다는 것이 인식될 것이다. 본 개시내용의 범위는 다음의 청구항들을 참조하여, 청구항들에 부여되는 등가물들의 전체 범위와 함께 결정되어야 한다.

Claims (20)

  1. 방법으로서,
    처리 디바이스에 의해, 제1 데이터를 수신하는 단계 - 상기 제1 데이터는 처리 동작과 연관된 처리 챔버의 하나 이상의 센서에 의해 생성된 데이터를 포함하고, 상기 제1 데이터는 적어도 2개의 차원들로 분해되며, 상기 적어도 2개의 차원들 중 하나는 시간임 -;
    상기 제1 데이터를 입력으로서 모델에 제공하는 단계 - 상기 모델은 상기 처리 동작과 연관된 지속기간에 걸쳐 상기 제1 데이터의 시간적 전개(temporal evolution)를 피팅하도록 구성됨 -;
    상기 모델의 출력으로서 제2 데이터를 획득하는 단계 - 상기 제2 데이터는 상기 처리 동작 동안 처리 파라미터의 전개의 표시를 포함함 -; 및
    상기 제2 데이터를 고려하여 정정 액션(corrective action)의 수행을 야기하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제1 데이터는 상기 처리 챔버에서의 기판의 인-시튜 측정치들(in-situ measurements)을 포함하는, 방법.
  3. 제1항에 있어서,
    상기 적어도 2개의 차원들은 신호의 주파수를 포함하는, 방법.
  4. 제1항에 있어서,
    상기 제1 데이터는 전자기 방사선을 검출하는 것과 연관된 스펙트럼 분해된 데이터를 포함하는, 방법.
  5. 제1항에 있어서,
    상기 모델은 물리 기반 모델을 포함하고, 상기 모델은 파라미터들을 다차원 피트 함수에 피팅하도록 구성되는, 방법.
  6. 제1항에 있어서,
    상기 모델은 훈련된 기계 학습 모델을 포함하는, 방법.
  7. 제6항에 있어서,
    제1 이력 데이터를 수신하는 단계 - 상기 제1 이력 데이터는 상기 제1 데이터와 동일한 유형임 -;
    제2 이력 데이터를 수신하는 단계 - 상기 제2 이력 데이터는 상기 제2 데이터와 동일한 유형임 -; 및
    상기 제1 이력 데이터를 훈련 입력으로서 제공하고 상기 제2 이력 데이터를 타겟 출력으로서 제공함으로써 상기 기계 학습 모델을 훈련시키는 단계
    를 더 포함하는, 방법.
  8. 제1항에 있어서,
    상기 제2 데이터를 고려하여 상기 정정 액션의 수행을 야기하는 단계는:
    상기 처리 동작 동안 상기 처리 파라미터의 전개의 표시를 제시하는 사용자 인터페이스를 제공하는 단계;
    상기 사용자 인터페이스를 통해 사용자 입력을 수신하는 단계; 및
    상기 사용자 입력에 기초하여 상기 정정 액션을 결정하는 단계
    를 포함하고, 상기 정정 액션은:
    프로세스 레시피를 업데이트하는 것;
    정정 유지보수를 스케줄링하는 것;
    예방 유지보수를 스케줄링하는 것; 또는
    사용자에게 경고를 전송하는 것
    중 하나 이상을 포함하는, 방법.
  9. 제1항에 있어서,
    상기 프로세스 파라미터는 식각 속도 또는 퇴적 속도를 포함하는, 방법.
  10. 시스템으로서,
    메모리 및 상기 메모리에 결합된 처리 디바이스
    를 포함하고, 상기 처리 디바이스는:
    제1 데이터를 수신하고 - 상기 제1 데이터는 처리 동작과 연관된 처리 챔버의 하나 이상의 센서로부터의 데이터를 포함하고, 상기 제1 데이터는 적어도 2개의 차원들로 분해되며, 상기 적어도 2개의 차원들 중 하나는 시간임 -;
    상기 제1 데이터를 모델에 제공하고;
    상기 모델로부터 제2 데이터를 수신하고 - 상기 제2 데이터는 상기 처리 동작 동안 처리 파라미터의 전개의 표시를 포함함 -;
    상기 제2 데이터를 고려하여 정정 액션의 수행을 야기하기 위한 것인, 시스템.
  11. 제10항에 있어서,
    상기 제1 데이터는 상기 처리 챔버에서의 기판의 인-시튜 측정치들을 포함하는, 시스템.
  12. 제10항에 있어서,
    상기 적어도 2개의 차원들 중 하나는 주파수를 포함하는, 시스템.
  13. 제10항에 있어서,
    상기 제1 데이터는 전자기 방사선의 스펙트럼 분해된 검출과 연관된 데이터를 포함하는, 시스템.
  14. 제10항에 있어서,
    상기 모델은 물리 기반 모델을 포함하고, 상기 모델은 상기 처리 동작과 연관된 지속기간에 걸쳐 상기 제1 데이터의 시간적 전개를 피팅하는, 시스템.
  15. 제14항에 있어서,
    상기 처리 디바이스는 추가로:
    제1 이력 데이터를 수신하고 - 상기 제1 이력 데이터는 상기 제1 데이터와 동일한 유형임 -;
    제2 이력 데이터를 수신하고 - 상기 제2 이력 데이터는 상기 제2 데이터와 동일한 유형임 -;
    상기 제1 이력 데이터를 훈련 입력으로서 제공하고 상기 제2 이력 데이터를 타겟 출력으로서 제공함으로써 상기 기계 학습 모델을 훈련시키기 위한 것인, 시스템.
  16. 제10항에 있어서,
    상기 프로세스 파라미터는 식각 속도 또는 퇴적 속도를 포함하는, 시스템.
  17. 명령어들을 저장한 비일시적 기계 판독가능 저장 매체로서,
    상기 명령어들은 실행될 때 처리 디바이스로 하여금:
    제1 데이터를 수신하는 동작 - 상기 제1 데이터는 처리 동작과 연관된 처리 챔버의 하나 이상의 센서에 의해 생성된 데이터를 포함하고, 상기 제1 데이터는 적어도 2개의 차원들로 분해되며, 상기 적어도 2개의 차원들 중 하나는 시간임 -;
    상기 제1 데이터를 모델에 제공하는 동작;
    상기 모델로부터 제2 데이터를 수신하는 동작 - 상기 제2 데이터는 상기 처리 동작 동안 처리 파라미터의 전개의 표시를 포함함 -; 및
    상기 제2 데이터를 고려하여 정정 액션의 수행을 야기하는 동작
    을 포함하는 동작들을 수행하게 하는, 비일시적 기계 판독가능 저장 매체.
  18. 제17항에 있어서,
    상기 제1 데이터는 상기 처리 챔버에서의 기판의 인-시튜 측정치들을 포함하는, 비일시적 기계 판독가능 저장 매체.
  19. 제17항에 있어서,
    상기 제1 데이터는 전자기 방사선을 검출하는 것과 연관된 스펙트럼 분해된 데이터를 포함하는, 비일시적 기계 판독가능 저장 매체.
  20. 제17항에 있어서,
    상기 모델은 물리 기반 모델을 포함하고, 상기 모델은 상기 처리 동작과 연관된 지속기간에 걸쳐 상기 제1 데이터의 시간적 전개를 피팅하는, 비일시적 기계 판독가능 저장 매체.
KR1020230061072A 2022-05-11 2023-05-11 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석 KR20230158428A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/742,332 US20230367302A1 (en) 2022-05-11 2022-05-11 Holistic analysis of multidimensional sensor data for substrate processing equipment
US17/742,332 2022-05-11

Publications (1)

Publication Number Publication Date
KR20230158428A true KR20230158428A (ko) 2023-11-20

Family

ID=88668033

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230061072A KR20230158428A (ko) 2022-05-11 2023-05-11 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석

Country Status (4)

Country Link
US (1) US20230367302A1 (ko)
KR (1) KR20230158428A (ko)
CN (1) CN117056682A (ko)
TW (1) TW202409764A (ko)

Also Published As

Publication number Publication date
US20230367302A1 (en) 2023-11-16
TW202409764A (zh) 2024-03-01
CN117056682A (zh) 2023-11-14

Similar Documents

Publication Publication Date Title
US11610076B2 (en) Automatic and adaptive fault detection and classification limits
US20200111689A1 (en) Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US20230259112A1 (en) Diagnostic tool to tool matching and comparative drill-down analysis methods for manufacturing equipment
TW202343177A (zh) 用於製造設備的診斷工具與工具之匹配和全跡比較下鑽分析方法
US11961030B2 (en) Diagnostic tool to tool matching methods for manufacturing equipment
KR20230158428A (ko) 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석
TW202309791A (zh) 晶圓上的降維
US11749543B2 (en) Chamber matching and calibration
US20240144464A1 (en) Classification of defect patterns of substrates
US20240086597A1 (en) Generation and utilization of virtual features for process modeling
US20230280736A1 (en) Comprehensive analysis module for determining processing equipment performance
US20230222264A1 (en) Processing chamber calibration
US20230306281A1 (en) Machine learning model generation and updating for manufacturing equipment
US20240054333A1 (en) Piecewise functional fitting of substrate profiles for process learning
US20230195074A1 (en) Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230316593A1 (en) Generating synthetic microspy images of manufactured devices
US11789427B2 (en) Value-independent situation identification and matching
US20240037442A1 (en) Generating indications of learning of models for semiconductor processing
US20240087135A1 (en) Clog detection via image analytics
US20240062097A1 (en) Equipment parameter management at a manufacturing system using machine learning
US20230195060A1 (en) Substrate support characterization to build a digital twin
TW202424831A (zh) 在使用機器學習的製造系統處的裝備參數管理
CN118076932A (zh) 用于改善制造设备的维护质量的校验
TW202422746A (zh) 用於製程學習的基板輪廓的分段函數擬合
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭