KR101094620B1 - 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체 - Google Patents

반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체 Download PDF

Info

Publication number
KR101094620B1
KR101094620B1 KR1020067006632A KR20067006632A KR101094620B1 KR 101094620 B1 KR101094620 B1 KR 101094620B1 KR 1020067006632 A KR1020067006632 A KR 1020067006632A KR 20067006632 A KR20067006632 A KR 20067006632A KR 101094620 B1 KR101094620 B1 KR 101094620B1
Authority
KR
South Korea
Prior art keywords
principles
simulation
processing tool
semiconductor processing
delete delete
Prior art date
Application number
KR1020067006632A
Other languages
English (en)
Other versions
KR20070001872A (ko
Inventor
안드레 에스 미트로빅
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070001872A publication Critical patent/KR20070001872A/ko
Application granted granted Critical
Publication of KR101094620B1 publication Critical patent/KR101094620B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 방법, 시스템, 및 컴퓨터 판독 가능 매체. 본 방법은 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하는 단계를 포함한다. 제 1 원리들의 시뮬레이션이 입력 데이터 및 물리적 모델을 사용해 수행되어 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 가상 센서 측정치를 제공하고, 가상 센서 측정치는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는데 사용된다. 또한, 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하여 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 시뮬레이션 결과를 제공한 다음, 시뮬레이션 결과가 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는 데이터 세트의 일부로서 사용되는 방법도 개시된다.

Description

반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체{METHOD AND SYSTEM OF FACILITATING A PROCESS PERFORMED BY A SEMICONDUCTOR PROCESSING TOOL, SYSTEM, AND COMPUTER READABLE MEDIUM}
본 발명은 일반적으로 반도체 장치들을 제조하는 것에 관한 것으로서, 좀더 구체적으로는, 반도체 제조 프로세스들에서 제 1 원리들의 시뮬레이션(first principles simulation)을 사용하는 것에 관한 것이다.
반도체 산업에서의 재료 프로세싱은 IC들(integrated circuits)의 제조에서 만만치 않은 도전들을 제시한다. 일반적인 IC들, 및 특히 메모리 장치들의 속도를 증가시키기 위한 요구들은 반도체 제조업자들에게 기판면상의 장치들을 좀더 작게 만들 것을 강제한다. 또한, 가공(fabrication) 비용을 감소시키기 위해서는, IC 구조를 발생시키는데 필요한 단계들(예를 들어, 에칭 단계들, 증착 단계들 등)의 수를 감소시킴으로써 IC 구조와 그것의 가공 방법들의 전반적인 복잡도를 감소시켜야 한다. 이러한 요구들은 피쳐 사이즈(feature size)의 감소와, 고급 장치들의 수율을 최대화하기 위해 CD(critical dimensions), 프로세스 속도, 및 프로세스 균일성의 정확한 제어를 좀더 강조하는 기판 사이즈의 증가(즉, 200mm 내지 300mm 이상) 모두에 의해 좀더 격화된다.
반도체 제조시, IC들의 진화 동안, 진공 프로세싱, 열 프로세싱, 플라즈마 프로세싱 등을 포함하여 다수 단계들이 이용된다. 각각의 프로세싱 단계내에는, 프로세싱의 결과에 영향을 미치는 다수의 변수들이 존재한다. 각 프로세싱 단계의 결과를 좀더 정확하게 제어하기 위해, 개개의 프로세싱 도구들에는 프로세싱 동안의 데이 터를 측정하기 위한 (전기적, 기계적, 그리고 광학적) 진단 시스템들이 점점 더 많이 장착되어, 프로세스 컨트롤러의 액션들을 통해 프로세스 변동들을 정정하기 위한 지능적 기초를 제공한다. 다수의 진단 시스템들로 인해 부담과 비용이 증가하고 있다. 그러나, 완전한 프로세스 제어를 위해 시공간적으로 충분히 결정적인 데이터는 아직도 얻지 못하고 있다.
이러한 업계의 그리고 제조의 어려움들로 인해, 반도체 제조업에서는 컴퓨터 기반 모델링 및 시뮬레이션의 사용에 많은 관심을 가져 왔다. 컴퓨터-기반 모델링 및 시뮬레이션은, 반도체 제조 도구의 설계 프로세스 동안 도구 성능을 예측하는데 점차 사용이 증가되고 있다. 모델링의 사용은, 도구 개발 사이클과 관련된 비용 및 시간 모두의 감소를 가능하게 한다. 스트레스, 열, 자기 등과 같은, 다수 분야들에서 모델링은, 모델링이 설계 의문들에 대해 정확한 해답들을 제공하는 것으로 신뢰될 수 있는 성숙도 레벨에 도달했다. 또한, 컴퓨터 능력은 새로운 솔루션 알고리즘들의 개발과 함께 빠르게 증가해 왔는데, 이들 모두로 인해, 시뮬레이션 결과를 획득하는데 필요한 시간이 감소되었다. 실제로, 본 발명자들은, 도구 설계의 시기에서 통상적으로 이루어지는 다수의 시뮬레이션들이 현재로는 웨이퍼 또는 웨이퍼 카세트 프로세싱 시간들에 필적할만한 시간들에서 실행될 수 있다는 것을 알 수 있었다. 이러한 경향들로 인해, 통상적으로 도구 설계를 위해서만 사용되는 시뮬레이션 기능이 도구 자체에 직접적으로 구현되어 도구에 의해 수행되는 다양한 프로세스들에 도움이 될 수 있다는 제안이 이루어지게 되었다. 예를 들어, 2001년의 ITRS(International Technology Roadmap for Semiconductors)는, 통합형 온-툴 시뮬레이션 기능(on-tool integrated simulation capability)의 개발을 지연시키는 쟁점들이 미래의 반도체 장치들에서 아주 작은 피쳐들을 제조하는 것을 가능하게 하는 기술이라는 것에 동의했다.
실제로, 도구 프로세스들을 용이하게 하기 위해 온-툴 시뮬레이션을 구현하기 위한 업계의 실패는 주로 시뮬레이션들을 합리적인 시간에서 수행할 수 있는 계산 리소스들에 대한 필요성 때문이다. 구체적으로, 현재적으로 반도체 제조 도구들에 전용되는 프로세서 기능들은 통상적으로 펑션들을 진단하고 제어하는 것에 한정되므로, 비교적 간단한 시뮬레이션들만을 수행할 수 있다. 따라서, 반도체 제조 업계는 의미있는 온-툴 시뮬레이션 기능들을 실현하기 위해서는 강력한 전용 컴퓨터들을 제공해야 한다고 인식하고 있었다. 그러나, 반도체 프로세싱 도구로의 이러한 컴퓨터 전용은, 도구가 간단한 시뮬레이션들을 사용하거나 시뮬레이션들을 전혀 사용하지 않는 프로세스들을 실행시킬 경우, 낭비되는 계산 리소스들을 발생시킨다. 값비싼 계산 리소스의 이처럼 비효율적인 사용이 반도체 프로세싱 도구들에 시뮬레이션 기능들을 구현하기 위한 주된 걸림돌이었다.
본 발명의 일 목적은 종래 기술에 대한 상기 식별된 그리고/또는 다른 문제점들을 감소시키거나 해결하는 것이다.
본 발명의 다른 목적은 도구에 의해 수행되는 프로세스를 용이하게 하기 위해 제 1 원리들의 시뮬레이션 기능들을 반도체 제조 도구와 통합하는 것이다.
본 발명의 또 다른 목적은 도구에 전용되는 강력한 계산 리소스들이 필요없는 도구 시뮬레이션 기능들을 제공하는 것이다.
본 발명의 또 다른 목적은 제조 설비의 각 도구에 전용되는 기존의 계산 리소스들을 사용해 광범위한 기반의 온-툴 시뮬레이션 기능들을 제공하는 것이다.
이들 및/또는 다른 목적들이 발명의 다음 태양들에 의해 제공될 수 있다.
발명의 일 태양에 따르면, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법은 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델(first principles physical model)을 입력하는 단계를 포함한다. 제 1 원리들의 시뮬레이션이, 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 가상 센서 측정치를 제공하기 위해, 입력 데이터 및 물리적 모델을 사용해 수행되고, 가상 센서 측정치는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는데 사용된다.
발명의 다른 태양에 따르면, 시스템은 프로세스를 수행하도록 구성된 반도체 프로세싱 도구 및 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하도록 구성된 입력 장치를 포함한다. 제 1 원리들의 시뮬레이션 프로세서는 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하도록, 그리고 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하여 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 가상 센서 측정치를 제공하도록 구성된다. 가상 센서 측정치는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는데 사용된다.
발명의 또 다른 태양에 따르면, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 시스템은 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하기 위한 수단 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하기 위한 수단을 포함한다. 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 가상 센서 측정치를 제공하기 위해 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하기 위한 수단 및 가상 센서 측정치를 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 수단도 포함된다.
발명의 또 다른 태양에서는, 컴퓨터 시스템에 의해 실행될 경우, 프로세서로 하여금 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하는 단계를 수행하게 하는, 프로세서에서 실행하기 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체가 제공된다. 또한, 프로세서는 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 가상 센서 측정치를 제공하기 위해 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하게 되고, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해 가상 센서 측정치를 사용하게 된다.
본 발명의 또 다른 태양은 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법인데, 이 방법은 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하는 단계를 포함한다. 다음으로는, 제 1 원리들의 시뮬레이션이 입력 데이터 및 물리적 모델을 사용해 수행되어 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 시뮬레이션 결과를 제공하고, 시뮬레이션 결과는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는 데이터 세트의 일부로서 사용된다.
발명의 또 다른 태양은 프로세스를 수행하도록 구성된 반도체 프로세싱 도구 및 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하도록 구성된 입력 장치를 포함하는 시스템이다. 제 1 원리들의 시뮬레이션 프로세서는 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하도록, 그리고 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 제 1 원리들의 시뮬레이션 결과를 제공하기 위해 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하도록 구성된다. 시뮬레이션 결과는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는 데이터 세트의 일부로서 사용된다.
발명의 또 다른 태양은 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 시스템으로서, 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하기 위한 수단 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하기 위한 수단을 포함하는 시스템이다. 이 시스템은 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 시뮬레이션 결과를 제공하기 위해 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하기 위한 수단 및 시뮬레이션 결과를 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는 데이터 세트의 일부로서 사용하기 위한 수단도 포함한다.
발명의 또 다른 태양은, 컴퓨터 시스템에 의해 실행될 경우, 프로세서로 하여금 반도체 프로세싱 도구에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 및 반도체 프로세싱 도구에 관련된 제 1 원리들의 물리적 모델을 입력하는 단계를 수행하게 하는, 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체이다. 또한, 프로세서는 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 시뮬레이션 결과를 제공하기 위해 입력 데이터 및 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 수행하게 되고, 시뮬레이션 결과를 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는 데이터 세트의 일부로서 사용하게 된다.
첨부 도면들과 함께 고려되는 다음의 상세한 설명을 참조하는 것에 의해, 본 발명 및 그것에 수반되는 이점들 중 다수에 대한 좀더 완전한 이해가 용이하게 획득될 것이다.
도 1은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 시스템 의 블록도이다.
도 2는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 프로세스를 나타내는 흐름도이다.
도 3은, 본 발명의 실시예에 따른, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해 제 1 원리들의 시뮬레이션 기술들을 제공하는데 사용될 수 있는 네트워크 아키텍처의 블록도이다.
도 4는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 대한 가상 센서 측정치들을 제공하기 위한 시스템의 블록도이다.
도 5는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구상의 프로세스를 특징짓기 위한 시스템의 블록도이다.
도 6은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 시스템의 블록도이다.
도 7은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 프로세스를 나타내는 흐름도이다.
도 8은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 경험 모델(empirical model)을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세 스를 제어하기 위한 시스템의 블록도이다.
도 9는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 경험 모델을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 프로세스를 나타내는 흐름도이다.
도 10은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 오류 검출기를 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 시스템의 블록도이다.
도 11은 PLS 분석을 위한 데이터 입력들(
Figure 112006024055118-pct00001
Figure 112006024055118-pct00002
) 및 대응되는 출력들(
Figure 112006024055118-pct00003
,
Figure 112006024055118-pct00004
,
Figure 112006024055118-pct00005
,
Figure 112006024055118-pct00006
,
Figure 112006024055118-pct00007
,
Figure 112006024055118-pct00008
,
Figure 112006024055118-pct00009
,
Figure 112006024055118-pct00010
)과 VIP(variable importance in the projection)의 개략적인 표현이다.
도 12는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 오류를 검출하고 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 프로세스를 나타내는 흐름도이다.
도 13은 본 발명의 프로세스 제어 실시예가 적용될 수 있는 진공 프로세싱 시스템의 블록도이다.
도 14는 본 발명의 실시예가 구현될 수 있는 컴퓨터 시스템을 도시한다.
(바람직한 실시예들의 설명)
이제, 유사한 참조 번호들이 수개의 도면들 전체에 걸쳐 동일하거나 대응되 는 부분들을 지시하는 도면들을 참조하면, 도 1은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 시스템의 블록도이다. 도 1에서 알 수 있는 바와 같이, 시스템은 반도체 프로세싱 도구(102), 데이터 입력 장치(104), 제 1 원리들의 물리적 모델(106), 및 제 1 원리들의 시뮬레이션 프로세서(108)를 포함한다. 도 1의 시스템은 팬텀 화법으로 나타낸 바와 같이 도구 레벨 라이브러리(110)도 포함할 수 있다.
반도체 프로세싱 도구(102)는 집적 회로 또는 반도체 웨이퍼를 제조하는 것에 관련된 프로세스를 수행하기 위한 도구이다. 예를 들어, 반도체 프로세싱 도구(102)는 재료 프로세싱 시스템, 에칭 시스템, 포토레지스트 스핀 코팅 시스템(photoresist spin coating system), 리소그래피 시스템, 절연 코팅 시스템(즉, SOG(spin-on-glass) 또는 SOD(spin-on-dielectric) 시스템), 증착 시스템(즉, CVD(chemical vapor deposition) 시스템 또는 PVD(physical vapor deposition) 시스템), 열적 어닐링을 위한 RTP(rapid thermal processing) 시스템, 배치 확산로(batch diffusion furnace), 또는 반도체 제조 프로세스를 위한 임의의 다른 도구로서 구현될 수 있다.
데이터 입력 장치(104)는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 데이터를 수집하고 수집된 데이터를 제 1 원리들의 시뮬레이션 프로세서(108)로 입력하기 위한 장치이다. 반도체 프로세스 도구(102)에 의해 수행되는 프로세스는 특성화 프로세스(즉, 프로세스 설계 또는 개발), 클리닝 프로세스, 생산 프로세스, 또는 반도체 프로세싱 도구에 의해 수행되는 임의의 다른 프로세스일 수 있다. 일 실시예에서, 데이터 입력 장치(104)는 반도체 프로세싱 도구(102) 자체 및/또는 도구의 챔버내에 포함된 환경에 관련된 데이터를 수집하기 위한 물리적 센서로서 구현될 수 있다. 이러한 데이터는 프로세스 챔버내의 다양한 위치들에서의 가스 속도들 및 압력들과 같은 유체의 기계적 데이터, 프로세스 챔버의 전기 시스템내의 다양한 위치들에서의 전압, 전류, 및 임피던스와 같은 전기적 데이터, 프로세스 챔버내의 다양한 위치들에서의 종 농도들(specie concentrations) 및 반응 작용들과 같은 화학적 데이터, 프로세스 챔버내의 다양한 위치들에서의 가스 온도, 표면 온도, 및 표면 열 유속과 같은 열적 데이터, (플라즈마가 이용될 경우) (예를 들어, Langmuir 프로브로부터 획득되는) 플라즈마 밀도와 같은 플라즈마 프로세싱 데이터, (예를 들어, 이온 에너지 스펙트럼 분석기로부터 획득되는) 이온 에너지, 및 프로세스 챔버내의 다양한 위치들에서의 압력, 편향, 스트레스, 및 스트레인과 같은 기계적 데이터를 포함할 수 있다.
도구 및 도구 환경 데이터 이외에, 데이터 입력 장치(104)는 프로세스 자체, 또는 도구(102)가 프로세스를 수행 중인 반도체 웨이퍼에서 획득되는 프로세스 결과들에 관련된 데이터를 수집할 수도 있다. 일 실시예에서, 데이터 입력 장치(104)는 반도체 프로세싱 도구(102)에 연결된 계측 도구(metrology tool)로서 구현된다. 계측 도구는 에칭 속도, 증착 속도, 에칭 선택도(제 2 재료가 에칭되는 속도에 대해 제 1 재료가 에칭되는 속도의 비), 에칭 임계 치수(etch critical dimension;예를 들어, 피쳐의 길이 또는 너비), 에칭 피쳐의 이방성(예를 들어, 에칭 피쳐의 측벽 프로파일), 필름 특성(예를 들어, 필름 스트레스, 다공도 등), 마스크(예를 들어, 포토레지스트)의 필름 두께, 마스크(예를 들어, 포토레지스트)의 패턴 임계 치수, 또는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 대한 임의의 다른 파라미터와 같은 프로세스 성능 파라미터들을 측정하도록 구성될 수 있다.
데이터 입력 장치는, 도 1에 나타낸 바와 같이, 프로세스 도구(102) 및 제 1 원리들의 시뮬레이션 프로세서(108)에 직접적으로 연결되어 도구(102)로부터 자동적으로 데이터를 수신하고 이 데이터를 제 1 원리들의 시뮬레이션 프로세서(108)로 전달할 수 있다. 다른 방법으로, 데이터 입력 장치(104)는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 데이터를 시뮬레이션 프로세서(108)에 간접적으로 제공하는데 사용되는 사용자 입력 데이터로서 구현될 수 있다. 예를 들어, 데이터 입력 장치(104)는, 시뮬레이션 오퍼레이터가 제 1 원리들의 시뮬레이션 프로세서(108)에 데이터를 입력하는데 사용하는 키보드일 수 있다. 또 다른 방법으로, 데이터 입력 장치는 반도체 프로세싱 도구(102)에 의해 과거에 수행된 프로세스들에 관련된 데이터를 저장하기 위한 데이터베이스일 수 있다. 이 실시예에서, 데이터베이스는 반도체 프로세싱 도구(102)에 연결되어 있는 물리적 센서 또는 계측 도구의 사용에 의해 자동적으로 그리고/또는 수동적 입력에 의해 채워질 수 있다. 데이터베이스는 제 1 원리들의 시뮬레이션 프로세서(108)에 의해 프로세서에 데이터를 입력하기 위해 자동적으로 액세스될 수 있다.
제 1 원리들의 물리적 모델(106)은 도구 및 도구 환경 뿐만 아니라, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해 제 1 원리들의 시뮬레이션을 수행하고 시뮬레이션 결과를 제공하는데 필요한 기본 방정식들에 대한 물리적 속성들의 모델이다. 따라서, 제 1 원리들의 물리적 모델(106)은 분석되는 반도체 프로세싱 도구(102)의 유형 뿐만 아니라 도구에서 수행되는 프로세스에도 어느 정도 의존한다. 예를 들어, 물리적 모델(106)은, 예를 들어, CVD(chemical vapor deposition) 챔버 및 확산로에 대해 상이한, 도구(120)의 물리적 기하 구조에 대한 공간적 분해 모델(spatially resolved model)을 포함할 수 있다. 마찬가지로, 유동장(flow fields)을 계산하는데 필요한 제 1 원리들의 방정식들은 온도 필드들을 계산하는데 필요한 방정식들과는 상당히 상이하다. 물리적 모델(106)은, 유동장들, 전-자계들, 온도 필드들, 화학적 성질, 표면의 화학적 성질(즉, 에칭면의 화학적 성질 또는 증착면의 화학적 성질)을 계산하기 위해, ANSYS Inc., Southpointe, 275 Technology Drive Canonsburg, PA 15317의 ANSYS, Fluent Inc., 10 Cavendish Ct. Centerra Park, Lebanon, NH 03766의 FLUENT, 또는 CFD Research Corp., 215 Wynn Dr., Huntsville, AL 35805의 CFD-ACE+와 같은, 상용 소프트웨어로 구현되는 모델일 수 있다. 그러나, 프로세싱 시스템내의 이들 및 다른 세부 사항들을 해결하기 위해 제 1 원리들로부터 개발된 특수 목적 또는 맞춤형 모델들이 사용될 수도 있다.
제 1 원리들의 시뮬레이션 프로세서(108)는 데이터 입력 장치(104)로부터 입력된 데이터를 제 1 원리들의 시뮬레이션을 실행하기 위해 제 1 원리들의 물리적 모델(108)에 적용하는 프로세싱 장치이다. 구체적으로, 제 1 원리들의 시뮬레이션 프로세서(108)는 데이터 입력 장치(104)에 의해 제공되는 데이터를 사용해 제 1 원리들의 물리적 모델(106)을 위한 초기 조건들 및/또는 경계 조건들을 설정할 수 있는데, 그 다음, 제 1 원리들의 물리적 모델(106)은 시뮬레이션 모듈에 의해 실행된다. 본 발명에서의 제 1 원리들의 시뮬레이션들은 맥스웰 방정식들로부터 유도되는 전-자계들의 시뮬레이션들, 연속성인 Navier-Stokes 방정식 및 열역학 제 1 법칙으로 유도되는 질량, 모멘텀, 및 에너지 수송을 위한 연속체 시뮬레이션들 뿐만 아니라, 예를 들어, 희박한 가스들의 Monte Carlo 시뮬레이션들(Bird, G. A. 1994. Molecular gas dynamics and the direct simulation of gas flows, Clarendon Press 참고)과 같은, Boltzmann 방정식으로부터 유도되는 원자 시뮬레이션들을 포함하지만, 이에 한정되는 것은 아니다. 제 1 원리들의 시뮬레이션 프로세서(108)는 반도체 프로세싱 도구(102)와 물리적으로 통합된 프로세서 또는 워크스테이션으로서, 또는 도 14의 컴퓨터 시스템(1401)과 같은 범용의 컴퓨터 시스템으로서 구현될 수 있다. 제 1 원리들의 시뮬레이션 프로세서(108)의 출력은 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스를 용이하게 하는데 사용되는 시뮬레이션 결과이다. 예를 들어, 시뮬레이션 결과는, 다음에서 부연되는 바와 같이, 프로세스 개발, 프로세스 제어 및 오류 검출을 용이하게 하는 것 뿐만 아니라 도구 프로세스들을 용이하게 하는 가상의 센서 출력들을 제공하는데도 사용될 수 있다.
도 1에 팬텀 화법으로 나타낸 바와 같이, 시스템은 시뮬레이션 결과들의 저장을 위한 도구-레벨 라이브러리(110)를 포함할 수도 있다. 라이브러리는 본질적으로, 미래의 시뮬레이션 결과들을 제공하는데 사용될 수 있는 과거 시뮬레이션 결과들의 편집물이다. 도구 레벨 라이브러리(110)는 별개의 저장 장치에 저장되거나 제 1 원리들의 시뮬레이션 프로세서(108)와 통합된, 하드 디스크와 같은, 컴퓨터 저장 장치에 저장될 수 있다.
본 발명을 구현하는데 사용되는 구체적 하드웨어 및 소프트웨어의 다수 변형들이 당업자에게는 명백할 것이므로, 도 1의 시스템은 예시적 목적들만을 위한 것이라는 이해할 수 있을 것이다. 예를 들어, 제 1 원리들의 물리적 모델(106), 제 1 원리들의 시뮬레이션 프로세서(108), 및 도구 레벨 라이브러리(110)의 기능이 단일 장치에서 조합될 수도 있다. 마찬가지로, 데이터 입력 장치(104)의 기능이 반도체 프로세싱 도구(102) 및/또는 제 1 원리들의 시뮬레이션 프로세서(108)의 기능과 조합될 수도 있다. 이러한 변형들 뿐만 아니라 다른 변형들을 구현하기 위해, 단일 컴퓨터(예를 들어, 도 14의 컴퓨터 시스템(1401))가 도 1에 나타낸 장치들 중 2 이상의 특수 목적 펑션들을 수행하도록 프로그램될 수도 있다. 한편, 2 이상의 프로그램된 컴퓨터들이 도 1에 나타낸 장치들 중 하나를 대체할 수도 있다. 예를 들어, 시스템의 강건성 및 성능을 증가시키기 위해, 원한다면, 리던던시 및 복제와 같은, 분배 프로세싱의 원리들 및 이점들이 구현될 수도 있다.
도 2는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 프로세스를 나타내는 흐름도이다. 도 2에 나타낸 프로세스는, 예를 들어, 도 1의 제 1 원리들의 시뮬레이션 프로세서(108)에서 실행될 수 있다. 도 2에 나타낸 바와 같이, 프로세스는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 데이터를 입력하는 단계 201로 시작한다. 앞서 논의된 바와 같이, 입력 데이터는 도구/도구 환경의 물리적 속성들에 관련된 데이터 및/또는 도구에 의해 반도체 웨이퍼에서 수행되는 프로세스 또는 그러한 프로세스의 결과들에 관련된 데이터일 수 있다. 또한, 상술된 바와 같이, 입력 데이터는 제 1 원리들의 시뮬레이션 프로세서(108)에 연결되어 있는 물리적 센서 또는 계측 도구로부터 직접적으로 입력되거나 수동 입력 장치 또는 데이터베이스로부터 간접적으로 입력될 수 있다. 데이터가 수동 입력 장치 또는 데이터베이스로부터 간접적으로 입력될 경우, 데이터는, 앞서 실행된 프로세스로부터의 센서 데이터와 같은, 앞서 실행된 프로세스로부터 기록된 데이터일 수 있다. 다른 방법으로, 데이터는 시뮬레이션 오퍼레이터에 의해 특정 시뮬레이션들을 위해 "가장 잘 공지된 입력 파라미터들(best known input parameters)"로서 설정될 수도 있는데, 이들은 프로세스 동안에 수집된 데이터와 관련될 수도 그렇지 않을 수도 있다. 프로세싱 도구에 의해 입력되는 입력 데이터의 유형은 대체로, 원하는 시뮬레이션 결과에 의존한다.
입력 데이터를 입력하는 이외에, 제 1 원리들의 시뮬레이션 프로세서(108)는, 단계 203으로써 나타낸 바와 같이, 제 1 원리들의 물리적 모델(106)도 입력한다. 단계 203은 모델에 의해 모델링되는 도구의 물리적 속성들 뿐만 아니라, 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스의 원하는 속성에 대한 제 1 원리들의 시뮬레이션을 수행하는데 필요한, 소프트웨어로 체계화된, 제 1 원리들의 방정식들을 입력하는 단계를 포함한다. 제 1 원리들의 물리적 모델(106)은 외장형 메모리 또는 프로세서에 통합되어 있는 내장형 메모리 장치로부터 프로세서로 입력될 수 있다. 또한, 도 2에는 단계 203이 단계 201에 수반되는 것으로 도시되어 있지만, 제 1 원리들의 시뮬레이션 프로세서(108)가 이러한 단계들을 동시에 또는 도 2에 나타낸 순서와 반대로 수행할 수도 있다는 것을 알 수 있어야 한다.
단계 205에서, 제 1 원리들의 시뮬레이션 프로세서(108)는 단계 201의 입력 데이터 및 단계 203의 제 1 원리들의 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 실행하고 시뮬레이션 결과를 제공한다. 단계 205는 반도체 프로세싱 도구에 의해 수행되는 프로세스와 동시적으로 또는 비동시적으로 수행될 수 있다. 예를 들어, 짧은 솔루션 시간들에서 수행될 수 있는 시뮬레이션들은 도구 프로세스와 동시적으로 실행될 수 있으며, 결과들은 프로세스를 제어하는데 사용된다. 좀더 계산 집약적인 시뮬레이션들은 도구 프로세스와 비동시적으로 수행될 수 있으며, 시뮬레이션 결과는 차후의 검색을 위해 라이브러리에 저장될 수 있다. 일 실시예에서는, 단계 205가 단계 201의 입력 데이터를 사용해 단계 205에서 제공되는 물리적 모델을 위한 초기 및/또는 경계 조건들을 설정하는 단계를 포함한다.
일단 시뮬레이션이 실행되고 나면, 시뮬레이션 결과는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스를 용이하게 하는데 사용된다. 여기에서 사용되는 바와 같이, "반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 한다"는 용어는, 예를 들어, 프로세스에서의 오류를 검출하거나, 프로세스를 제어하거나, 제조 작업들을 위해 프로세스를 특징짓거나, 프로세스에 관련된 가상 센서 판독치들을 제공하기 위해 시뮬레이션 결과를 사용하거나, 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스를 용이하게 하는 것과 관련한, 시뮬레이션 결과에 대한 임의의 다른 사용을 포함한다.
도 3은, 본 발명의 실시예에 따른, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해 제 1 원리들의 시뮬레이션 기술들을 제공하는데 사용될 수 있는 네트워크 아키텍처의 블록도이다. 이 도면에서 알 수 있는 바와 같이, 네트워크 아키텍처는 인터넷(314)을 통해 원격 리소스들에 접속되어 있는 장치 제조 Fab을 포함한다. 장치 제조 Fab은 개개의 시뮬레이션 모듈들(302)에 접속되어 있는 복수개의 반도체 프로세싱 도구들(102)을 포함한다. 도 1을 참조하여 설명된 바와 같이, 각각의 반도체 프로세싱 도구(102)는 집적 회로와 같은 반도체 장치를 제조하는 것에 관련된 프로세스를 수행하기 위한 도구이다. 각각의 시뮬레이션 모듈(302)은 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스를 용이하게 하기 위해 제 1 원리들의 시뮬레이션 기술들을 실행할 수 있는 컴퓨터, 워크스테이션, 또는 다른 프로세싱 장치이다. 따라서, 각각의 시뮬레이션 모듈(302)은 도 1을 참조하여 설명된 제 1 원리들의 물리적 모델(106) 및 제 1 원리들의 시뮬레이션 프로세서(108) 뿐만 아니라, 제 1 원리들의 시뮬레이션들을 실행하는데 도움이 될 수 있는 임의의 다른 하드웨어 및/또는 소프트웨어를 포함한다. 또한, 시뮬레이션 모듈들(302)은 임의의 공지의 네트워크 통신 프로토콜을 사용해 Fab-레벨의 APC(advanced process control) 컨트롤러와 통신하도록 구성된다. 각각의 시뮬레이션 모듈(302)은 도 14의 컴퓨터 시스템(1401)과 같은 범용 컴퓨터 시스템으로서 구현될 수도 있다.
도 3에 나타내지는 않았지만, 각각의 시뮬레이션 모듈(302)은 도구(102)에 의해 수행되는 프로세스에 관련된 데이터를 입력하기 위한 데이터 입력 장치와 연관된다. 도 3의 실시예에서, 시뮬레이션 모듈들(302)은 직접적으로 개개 도구(102)에 연결되고, 따라서, 데이터 입력 장치는 개개 도구(102)상에 물리적으로 탑재되어 있는 물리적 센서 및/또는 계측 도구로서 구현된다. 그러나, 상기한 바와 같이, 데이터 입력 장치는 시뮬레이션 모듈 오퍼레이터에 의해 사용되는 수동 입력 장치 또는 데이터베이스로서 구현될 수도 있다. 또한, 각각의 시뮬레이션 모듈(302)은 라이브러리(306)와 같은 도구-레벨 라이브러리에 정보를 저장하고 그로부터 정보를 검색하도록 구성될 수도 있다. 또한, 상기한 바와 같이, 도구 레벨 라이브러리는 본질적으로, 미래의 시뮬레이션들을 위해 유용할 수 있는 과거 시뮬레이션 결과들의 편집물이다.
본 발명의 일 실시예에서, 각각의 시뮬레이션 모듈(302)은 네트워크 접속들을 통해 주된 Fab-레벨의 APC 컨트롤러(304)에 접속된다. 도 3에서 알 수 있는 바와 같이, Fab-레벨의 APC 컨트롤러(304)는 인터넷(314) 및 통신 서버(316)를 통해 독립형(standalone) 시뮬레이션 모듈(308) 및 Fab-레벨 라이브러리(310) 뿐만 아니라 독립형 시뮬레이션 모듈(312)에도 접속될 수 있다.
독립형 시뮬레이션 모듈들(308 및 312)은, 다음에서 부연되는 바와 같이, 시뮬레이션 모듈들(302)이 계산 집약적인 제 1 원리들의 시뮬레이션을 수행하는 것을 지원하는데 사용될 수 있는 계산 리소스들이다. Fab-레벨 라이브러리(310)는 네트워크 시스템의 시뮬레이션 모듈들 중 어느 하나로부터 획득되는 시뮬레이션 결과들 을 저장하기 위한 데이터베이스이다. Fab-레벨의 APC 컨트롤러(304)는 시뮬레이션 모듈들(302, 308 및 312)과 통신하기 위한 그리고 Fab-레벨 라이브러리(310)에 정보를 저장하고 그로부터 정보를 검색하기에 적합한 임의의 워크스테이션, 서버, 또는 다른 장치이다. 또한, Fab-레벨의 APC 컨트롤러(304)는 시뮬레이션 모듈들(302)의 시뮬레이션 결과들에 기초해 도구들(102)에 의해 수행되는 프로세스들을 용이하게 한다. 예를 들어, APC 컨트롤러는 시뮬레이션 모듈로부터 시뮬레이션 결과를 수신하고 시뮬레이션 결과를 사용해 도구들(102) 중 어느 하나의 프로세스 조정 및/또는 정정을 위한 제어 방법을 구현하도록 구성될 수 있다. Fab-레벨의 APC 컨트롤러(304)는 임의의 적합한 프로토콜을 사용해 시뮬레이션 모듈들(302, 308 및 312) 및 Fab-레벨 라이브러리(310)와 통신하며, 예를 들어, 도 14의 컴퓨터 시스템(1401)을 사용해 구현될 수 있다.
본 발명자들은, 도 3의 구성이, 광범위한 제 1 원리들의 시뮬레이션 결과들을 적당한 솔루션 속도들에서 허용하는 계산 및 저장 리소스 공유를 제공함으로써, 도구에 의해 수행되는 프로세스들을 용이하게 할 수 있는 의미있는 온-툴 시뮬레이션 기능들을 제공한다는 것을 알 수 있었다. 구체적으로, 간단한 시뮬레이션들은 도구의 전용 시뮬레이션 모듈에 의해 실행될 수 있지만, 더 많은 계산 리소스들을 요하는 복잡한 시뮬레이션들은 온-툴 또는 독립형일 수 있는 네트워크의 다수 시뮬레이션 모듈들에서의 코드 병렬화 기술들(code parallelization techniques)을 사용해 실행될 수 있다. 시뮬레이션 모듈을 위한 전력이 존재한다면, 현재적으로 예방 유지 보수하에 있는 장비의 온-툴 시뮬레이션 모듈들이라 하더라도 공유되는 계 산 리소스로서 사용될 수 있다. 마찬가지로, 차후 룩업을 위해 사용되는 시뮬레이션 결과들은 Fab 네트워크의 어디에서든 라이브러리들(예를 들어, 저장 장치들)에 저장되어, 진단 또는 제어 데이터의 룩업들이 형성될 때, 모든 도구들에 의해 액세스될 수 있다.
또한, 본 발명자들은, 도 3의 네트워크 아키텍처가 하나의 조건 세트를 위해 하나의 프로세싱 도구(102)에서 수행된 모델 결과들을 차후에 동일하거나 유사한 조건들에 따라 동작하는 유사하거나 동일한 다른 도구들로 분배하는 능력을 제공함으로써, 불필요한 시뮬레이션들이 제거된다는 것도 알 수 있었다. 온-툴 및 독립형 모듈들에서의 고유한 프로세싱 조건들을 위해서만 시뮬레이션들을 실행하고 이미 공지된 시뮬레이션 솔루션들을 가진 유사 도구들로부터의 결과들을 재-사용하는 것은, 광범위한 프로세싱 조건들에 걸친 진단 및 제어를 위해 사용될 수 있는 결과들을 포함하는 룩업 라이브러리들의 빠른 개발을 가능하게 한다. 또한, 공지의 솔루션들을 제 1 원리들의 시뮬레이션을 위한 초기 조건들로서 재사용하는 것은 계산 요건들을 감소시키며, 온-라인 제어와 모순되지 않는 시간 프레임에서 시뮬레이션 솔루션들을 발생시키는 것을 용이하게 한다. 마찬가지로, 도 3의 네트워크 아키텍처는 물리적 모델들 및 모델 입력 파라미터들에 대해 형성된 변화들 및 정제들(refinements)을 하나의 시뮬레이션 모듈로부터 네트워크의 다른 것들로 전파하는 능력도 제공한다. 예를 들어, 모델의 프로세스 실행들 및 병렬 실행들 동안, 일부 입력 파라미터들이 변경되어야 한다고 판정되면, 이러한 변화들은 네트워크를 통해 다른 모든 시뮬레이션 모듈들 및 도구들로 전파될 수 있다.
또한, 도 3의 네트워크 아키텍처는, 시뮬레이션 태스크들을 실행하고 결과들을 다시 장치 제조업자 Fab으로 전달하는데 도움이 될 수 있는 시뮬레이션 모듈들을 포함하는 원격 계산 리소스들로의 선택적인 접속도 허용한다. 원격 리소스들로의 접속은, VPN(Virtual Private Network)과 같은, 안전한 접속을 이용해 수행될 수 있다. 이와 같은 안전한 접속들은, 프로세싱 도구들을 통한 제 1 원리들의 시뮬레이션을 지원하기 위해 계산 리소스들을 제공하는 제3자들에 대해서도 확립될 수 있다. 마찬가지로, 원격 통신 서버들은 다수 고객들이 사용할 수 있는 최신의 소프트웨어, 모델들, 입력 파라미터들, 및 시뮬레이션 결과들을 위한 "자료 집배소(clearing house)"로서 동작함으로써, 정확한 결과 라이브러리들이 생성되는 속도를 더욱 증가시킬 수 있다. 이러한 업데이트된 모델들은 고객 사이트로부터 원격 리소스들로 업로드되고, 분석되며, 개선이 대다수 고객들에게 적용된다고 판정되면, 그 개선은 통신 서버 및 인터넷 접속을 통해 다른 고객들에게 이용 가능해 질 수 있다.
이와 같이, 본 발명자들은, 도구에 전용되는 값비싼 컴퓨터들이 필요없이, 도구에 의해 수행되는 프로세스들을 용이하게 할 수 있는 의미있는 온-툴 시뮬레이션 기능들을 발견하였다. 이러한 발견에 기초해, 본 발명자들은 부가적으로, 가상 센서 판독치들을 제공하고, 도구에 의해 수행되는 프로세스들을 개발하는데 사용하기 위한 특성화 데이터를 제공하며, 프로세스 오류 검출 및 프로세스 제어 기능들을 제공하기 위한 신규한 온-툴 시뮬레이션 시스템들을 개발하였다. 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위한 본 발명의 온-툴 시뮬레이션의 이러한 사용들은 하나의 도구 및 시뮬레이션 모듈에 의해, 또는 도 3에서 설명된 바와 같은 계산 및 저장 리소스들의 상호 접속된 네트워크에 의해 구현될 수 있다.
구체적으로, 온-툴 시뮬레이션 결과들은 물리적 센서들로부터 측정된 데이터 세트들을 확대시키는데 사용될 수 있다. 현-세대의 반도체 프로세싱 도구들의 단점들 중 하나는, 특히 생산 도구들에서 현재적으로 실행 중인 프로세스를 특징짓는데 사용되는 센서들의 수가 비교적 적다는 것이다. 필요한 센서들의 수가 많다면, 도구에 더 많은 센서들을 설치하는 것이 아주 값비싼 제안일 것이고, 대부분의 경우에는, 추가 센서들의 변경 및 설치를 위해 도구상에 남겨진 공간이 존재하지 않는다. 또한, 생산 도구들이라 하더라도, 센서들이 설치될 수 없는 위치들에서 "측정치들"이 필요한 상황들이 존재한다. 본 발명의 제 1 원리들의 온-툴 시뮬레이션 기능은, 다른 실제 측정치들을 초기 및/또는 경계 조건들로서 사용해 측정치들을 예측하기 위한 강건한 모델들이 존재한다면, 어떠한 추가 하드웨어없이 필요한 "측정치들"을 제공한다. 이 명세서에서, "가상 센서"라는 용어는, 온-툴 시뮬레이션으로부터의 예측들에 의해 측정치들이 실제로 제공되는 "센서"를 칭하는데 사용된다.
도 4는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 할 수 있는 가상 센서 판독치들을 제공하기 위한 프로세스를 나타내는 흐름도이다. 도 4에 나타낸 프로세스는, 예를 들어, 도 1의 제 1 원리들의 시뮬레이션 프로세서(108)에서, 또는 도 3의 네트워크 아키텍처를 사용해 실행될 수 있다. 도 4에 나타낸 바와 같이, 프로세스는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 가상 센서 판독치를 획득하기 위해 데이터를 입력하는 단계 401에서 시작한다. 단계 401에서의 데이터 입력은, 입력 데이터가 제 1 원리들의 시뮬레이션으로 하여금 가상 센서 시뮬레이션 결과를 제공하게 할 수만 있다면, 도 2의 단계 201을 참조하여 설명된 데이터 유형들 중 하나일 수 있다. 따라서, 입력 데이터는 도구/도구 환경의 물리적 속성들, 도구에 의해 반도체 웨이퍼에서 수행되는 프로세스, 또는 이러한 프로세스의 결과들에 관련된 데이터일 수 있다. 또한, 단계 401의 입력 데이터는 제 1 원리들의 시뮬레이션 프로세서(108)에 연결되어 있는 물리적 센서 또는 계측 도구로부터 직접적으로 입력되거나, 수동 입력 장치 또는 데이터베이스로부터 간접적으로 입력될 수 있다.
계측 데이터를 가상 센서 판독치를 획득하기 위한 입력 데이터로서 사용하는 일례에서, 에칭 마스크 패턴 및 하부 필름 두께에 관계된 계측 데이터는 제 1 원리들의 에칭 프로세스 모델 및 후속적으로 수행되는 에칭 프로세스에 대한 입력으로서 동작할 수 있다. 에칭 프로세스를 수행하기 전에, 소정 기판 로트(substrate lot)를 위한 소정 기판상의 하나 이상의 위치들(예를 들어, 중심 및 가장자리)에서의 패턴 임계 치수(들) 및 마스크 필름 두께를 포함하는 마스크 패턴의 측정치들이 에칭 프로세스 모델에 대한 입력으로서 제공될 수 있다. 또한, 하부 필름 두께(즉, 에칭될 필름의 필름 두께)의 측정치들도 에칭 프로세스 모델에 대한 입력으로서 동작할 수 있다. 특정된 프로세스 방법 및 앞서 식별된 계측 입력 데이터를 위 한 제 1 원리들의 에칭 프로세스 모델의 실행에 수반하여, 예를 들어, 중심 및 가장자리에서 에칭 프로세스를 완료하기 위한 시간이 출력으로서 계산될 수 있고, 이 출력은, 예를 들어, 특징 임계 치수들의 중심-대-가장자리를 보존하는데 필요한 과도-에칭 기간(over-etch period) 및 임의의 프로세스 조정을 판정하는데 이용될 수 있다. 그 후, 이 결과들은 현재 또는 임박한 기판 로트를 위해 프로세스 방법을 조정하는데 이용될 수 있다.
데이터가 수동 입력 장치 또는 데이터베이스에 의해 간접적으로 입력될 경우, 데이터는, 앞서 실행된 프로세스로부터의 센서 데이터와 같은, 앞서 실행된 프로세스로부터 기록된 데이터일 수 있다. 다른 방법으로, 데이터는 시뮬레이션 오퍼레이터에 의해 특정 시뮬레이션들을 위해 "가장 잘 공지된 입력 파라미터들"로서 설정될 수도 있는데, 이들은 프로세스 동안에 수집된 데이터에 관련될 수도 그렇지 않을 수도 있다. 프로세싱 도구에 의해 입력되는 입력 데이터의 유형은 대체로, 획득될 원하는 가상 센서 측정치들에 의존한다.
입력 데이터를 입력하는 이외에, 제 1 원리들의 시뮬레이션 프로세서(108)는, 단계 403으로써 나타낸 바와 같이, 물리적 센서를 에뮬레이팅하기 위한 제 1 원리들의 물리적 모델도 입력한다. 단계 403은 모델에 의해 모델링되는 도구의 물리적 속성들 뿐만 아니라 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 물리적 센서 판독치를 대체할 수 있는 가상 센서 판독치를 획득하기 위해 제 1 원리들의 시뮬레이션을 수행하는데 필요한 제 1 원리들의 기본 방정식들을 입력하는 단계를 포함한다. 단계 403의 제 1 원리들의 물리적 모델은 외장형 메모리 또는 프로세서에 통합되어 있는 내장형 메모리 장치로부터 프로세서로 입력될 수 있다. 또한, 단계 403이 도 4에는 단계 401에 수반되는 것으로 도시되어 있지만, 제 1 원리들의 시뮬레이션 프로세서(108)가 이러한 단계들을 동시에 또는 도 4에 도시된 순서의 반대로 수행할 수도 있다는 것을 이해할 수 있어야 한다.
단계 405에서는, 도 1의 프로세서(108)와 같은, 제 1 원리들의 시뮬레이션 프로세서가 단계 401의 입력 데이터 및 단계 403의 제 1 원리들의 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 실행하고 가상 센서 측정치를 제공한다. 단계 405는 반도체 프로세싱 도구에 의해 수행되는 프로세스와 상이한 시간에 또는 동시적으로 수행될 수 있다. 웨이퍼 프로세스와 동시적으로 실행되지 않는 시뮬레이션들은 동일하거나 유사한 프로세스 조건들을 가진 선행 프로세스 실행들로부터 저장된 초기 및 경계 조건들을 사용할 수 있다. 도 2를 참조하여 상기한 바와 같이, 이것은, 시뮬레이션이 웨이퍼 프로세스보다 느리게 실행되는 경우들에서 적합한데, 웨이퍼 카세트들간에서, 그리고 예컨대 시뮬레이션 모듈이 요구되는 측정치들을 풀게 하기 위하여 예방 유지 보수를 위한 도구 셧다운 동안에도 시간이 사용될 수도 있다. 이러한 "측정치들"은 웨이퍼 프로세스 동안에, 이들이 웨이퍼 프로세스와 동시적으로 해결된 것처럼, 그리고 시뮬레이션이 실행된 것과 동일한 프로세스 조건들하에서 실행된 것처럼 이후에 디스플레이될 수 있다.
제 1 원리들의 시뮬레이션이 반도체 도구에 의해 수행되는 프로세스와 동시적으로 실행되는 경우, 단계 401에서 입력되는 데이터는 도구에 의해 실행되는 프로세스 동안에 미리 결정된 파라미터를 감지하기 위해 반도체 프로세싱 도구상에 탑재된 물리적 센서들로부터의 데이터일 수 있다. 이 실시예에서, 정상-상태 시뮬레이션들(steady-state simulations)은 물리적 센서 측정치들을 사용해 제 1 원리들의 시뮬레이션 모델에 대한 경계 조건들을 반복적으로 업데이트하는 것에 의해 프로세스와 동시적으로 반복 실행된다. 생성된 가상 측정 데이터는 도구 오퍼레이터들에 의한 모니터링을 위해 유용하며, 물리적 센서들에 의해 형성된 측정치들과 전혀 다르지 않다. 그러나, 시뮬레이션은 빠르게 실행될 수 있는 것이 바람직하므로, 가상 측정치들은 합당한 속도(예를 들어, "샘플링 속도")에서 업데이트될 수 있다. 또한, 제 1 원리들의 시뮬레이션은 물리적 센서 입력 데이터의 사용없이 동시적으로 실행될 수도 있다. 이 실시예에서, 시뮬레이션을 위한 초기 및 경계 조건들은 도구 프로세스 이전의 도구의 초기 설정 및 실행 이전의 물리적 센서들의 판독치들에 기초해 설정되는데, 그 다음에는, 도구 프로세스 동안에, 하지만 도구 프로세스와 무관하게, 완전한 시간-의존적 시뮬레이션(full time-dependent simulation)이 실행된다. 획득된 가상 측정치들은 실제로 측정된 임의의 다른 도구 파라미터처럼 오퍼레이터에게 디스플레이되고 오퍼레이터에 의해 분석될 수 있다. 시뮬레이션이 웨이퍼 프로세스보다 빠르게 실행된다면, 시뮬레이션 결과들은 웨이퍼 프로세스 동안에 형성되는 대응되는 실제 측정치들에 앞서 공지된다. 측정치들을 시간적으로 미리 아는 것은, 다음에서 부연되는 바와 같이, 이러한 측정치들에 기초한 다양한 피드-포워드 제어 펑션들의 구현을 허용한다.
도 4의 프로세스에 대한 또 다른 실시예에서, 제 1 원리들의 시뮬레이션은 가상 센서 측정치들을 대응되는 물리적 센서 측정치들과 비교하는 것에 의해 자체 정정 모드(self correction mode)로 수행될 수 있다. 예를 들어, 소정의 프로세스 방법/도구 조건으로써 처음으로 실행되는 동안, 도구 오퍼레이터는 모델을 위해 "그때의 가장 잘 공지된 입력 파라미터들"을 사용할 것이다. 각각의 시뮬레이션이 실행되는 동안 그리고 각각의 시뮬레이션이 실행된 후에, 시뮬레이션 모듈(들)은, 물리적 센서들로부터의 실제 측정들이 수행되는 위치들에서, 예측된 "측정치들"을 실제 측정치들과 비교할 수 있다. 상당한 차이가 검출되면, 최적화 및 통계적 방법들이 사용되어, 예측되는 데이터와 실제 측정 데이터의 좀더 양호한 일치가 실현될 때까지, 입력 데이터 및/또는 제 1 원리들의 물리적 모델 자체를 변경할 수 있다. 상황에 따라, 이러한 추가적 정제 시뮬레이션 실행들은 후속의 웨이퍼/웨이퍼 카세트들과 동시적으로, 또는 도구가 오프-라인일 때 이루어질 수 있다. 일단 정제된 입력 파라미터들이 공지되고 나면, 이들은 차후의 사용을 위해 라이브러리에 저장됨으로써, 동일한 프로세스 조건을 위한 후속의 입력 파라미터 및 모델 정제들을 위한 필요를 제거할 수 있다. 또한, 모델 및 입력 데이터의 정제들은 도 3의 네트워크 설정을 통해 다른 도구들로 분배됨으로써, 그러한 다른 도구들에서의 자체-정정 실행들에 대한 필요를 제거할 수 있다.
일단 가상 센서 측정치를 제공하기 위해 시뮬레이션이 실행되고 나면, 가상 센서 측정치는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스를 용이하게 하는데 사용된다. 예를 들어, 가상 센서 측정치들은, 실제 센서 측정치들과의 비교, 프로세스 중의 방법 변화들, 오류 검출 및 오퍼레이터 경보들, 프로세스 조건들, 모델들과 입력 데이터 정제에 대한 데이터베이스들의 생성 등과 같은, 다양한 목적들을 위한 도구 제어 시스템으로의 입력들로서 사용될 수 있다. 이들은 물리적 센서들에 의해 수행되는 측정치들에 기초해 도구 제어 시스템에 의해 수행되는 통상적인 액션들이다. 가상 센서 측정치의 사용은, 후술되는 바와 같이, 프로세스를 특징짓거나 제어하는데 사용될 수도 있다. 또한, 가상 센서 측정치들은 차후의 사용을 위해 컴퓨터 저장 매체들상의 라이브러리들에 저장됨으로써, (예를 들어, 개선 동안) 모델 또는 입력 조건들에 변화가 없다면, 동일한 입력 조건들로써 시뮬레이션 실행들을 반복할 필요를 제거할 수 있다.
가상 센서 판독치들을 제공하는 이외에, 본 발명의 제 1 원리들의 온-툴 시뮬레이션 기능은 반도체 프로세스 개발을 용이하게 한다. 좀더 구체적으로, 프로세스 개발 시도들의 접근 방법에 대한 현재의 설계를 사용하는 것에 의한 도구에서의 프로세스 특성화는 오퍼레이팅 파라미터들에 대한 각각의 변경을 위해 상이한 프로세스 실행을 요하는데, 이것은 시간 소모적이며 값비싼 특성화 프로세스들을 초래한다. 본 발명의 제 1 원리들의 온-툴 시뮬레이션 기능들은 파라미터 변경들을 허용하는데, 도구 자체에 대한 분석이라면, 제 1 원리들의 시뮬레이션에 의해 양호하게 모델링되는 그러한 프로세스 변수들의 변경들을 포함하여 실제의 프로세스 실행들없이 파라미터 변경들을 허용한다. 이로 인해, 도구상의 프로세스를 특징짓는데 필요한 시도들의 수를 크게 감소시킬 수 있다.
도 5는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓기 위한 프로세스를 나타내는 흐름도이다. 도 5에 나타낸 프로세스는, 예를 들어, 도 1의 제 1 원리들의 시뮬레이션 프로세서(108)에서, 또는 도 3의 아키텍처를 사용해 실행될 수 있다. 도 5에 나타낸 바와 같이, 프로세서는 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 관련된 특징화 정보를 획득하기 위해 데이터를 입력하는 단계 501에서 시작한다. 단계 501에서의 데이터 입력은, 입력 데이터가 제 1 원리들의 시뮬레이션으로 하여금 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓는데 사용되는 시뮬레이션 결과들을 제공할 수 있게만 한다면, 도 2의 단계 201을 참조하여 설명된 데이터 유형들 중 하나일 수 있다. 따라서, 입력 데이터는 도구/도구 환경의 물리적 속성들, 도구에 의해 반도체 웨이퍼에서 수행되는 프로세스, 또는 그러한 프로세스의 결과들에 관련된 데이터일 수 있다. 또한, 단계 501의 입력 데이터는 제 1 원리들의 시뮬레이션 프로세서(108)에 연결되어 있는 물리적 센서 또는 계측 도구로부터 직접적으로 입력되거나, 수동 입력 장치 또는 데이터베이스로부터 간접적으로 입력될 수 있다. 또한, 데이터는, 도 4를 참조하여 설명되는 바와 같이, 가상 센서 판독치들을 제공하는 시뮬레이션 모듈로부터 입력될 수도 있다. 데이터가 수동 입력 장치 또는 데이터베이스에 의해 간접적으로 입력될 경우, 데이터는, 앞서 실행된 프로세스로부터의 센서 데이터와 같은, 앞서 실행된 프로세스로부터 기록된 데이터일 수 있다. 다른 방법으로, 데이터는 시뮬레이션 오퍼레이터에 의해 특정한 시뮬레이션을 위한 "가장 잘 공지된 입력 파라미터들"로서 설정될 수도 있는데, 이들은 프로세스 동안에 수집되는 데이터와 관련될 수도 그렇지 않을 수도 있다. 프로세싱 도구에 의해 입력되는 입력 데이터의 유형은 대체로, 획득될 원하는 특징화 데이터에 의존한다.
입력 데이터를 입력하는 이외에, 제 1 원리들의 시뮬레이션 프로세서(108)는, 단계 503으로써 나타낸 바와 같이, 프로세스를 특징짓기 위한 제 1 원리들의 물리적 모델도 입력한다. 단계 503은 모델에 의해 모델링되는 도구의 물리적 속성들 뿐만 아니라, 반도체 프로세싱 도구(102)에 의해 수행되는 프로세스에 대한 특징화 데이터를 획득하기 위해 제 1 원리들의 시뮬레이션을 수행하는데 필요한, 통상적으로 소프트웨어로 체계화되는, 제 1 원리들의 기본 방정식들을 입력하는 단계를 포함한다. 단계 503의 제 1 원리들의 물리적 모델은 외장형 메모리 또는 프로세서에 통합되어 있는 내장형 메모리 장치로부터 프로세서로 입력될 수 있다. 또한, 단계 503이 도 5에는 단계 501에 수반되는 것으로 도시되어 있지만, 제 1 원리들의 시뮬레이션 프로세서(108)가 이러한 단계들을 동시에 또는 도 5에 도시된 순서의 반대로 수행할 수도 있다는 것을 이해할 수 있어야 한다.
단계 505에서는, 도 1의 프로세서(108)와 같은, 제 1 원리들의 시뮬레이션 프로세서가 단계 501의 입력 데이터 및 단계 503의 제 1 원리들의 물리적 모델을 사용해 제 1 원리들의 시뮬레이션을 실행하고 프로세스를 특징짓는데 사용되는 시뮬레이션 결과를 제공한다. 단계 505는 반도체 프로세싱 도구에 의해 수행되는 프로세스와 상이한 시간에, 또는 동시적으로 수행될 수 있다. 도구 프로세스와 비동시적으로 실행되는 시뮬레이션들은 동일하거나 유사한 프로세스 조건들을 가진 선행 프로세스 실행들로부터 저장된 초기 및 경계 조건들을 사용할 수 있다. 도 2를 참조하여 상기한 바와 같이, 이것은, 시뮬레이션이 웨이퍼 프로세스보다 느리게 실행될 때의 경우들에서 적합하고, 웨이퍼 카세트들간에서, 그리고 예컨대 시뮬레이션 모듈이 요구되는 시뮬레이션 결과들을 풀게 하기 위하여 예방 유지 보수를 위한 도구 셧다운 동안에도 시간이 사용될 수도 있다.
제 1 원리들의 시뮬레이션이 반도체 도구에 의해 수행되는 프로세스와 동시적으로 실행될 경우, 제 1 원리들의 시뮬레이션은 시뮬레이션에 의해 수행되는 시험적 프로세스에 의해 테스트되는 동일하거나 상이한 파라미터에 대한 특징화 데이터를 제공할 수 있다. 예를 들어, 제 1 원리들의 시뮬레이션은 반도체 프로세싱 도구에 의해 수행되는 시험적 프로세스의 설계에 의해 테스트되는 파라미터에 대한 변경들을 제공하도록 수행될 수 있다. 다른 방법으로, 제 1 원리들의 시뮬레이션은 반도체 프로세싱 도구에서 수행되는 시도에서 테스트되는 파라미터와는 상이한 파라미터에 대한 특징화 데이터를 제공할 수도 있다.
일단 단계 505에서 시뮬레이션이 실행되고 나면, 시뮬레이션 결과는, 단계 507에 나타낸 바와 같이, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 특징짓기 위한 데이터 세트의 일부로서 사용된다. 상기한 바와 같이, 시뮬레이션 결과들의 특성화 데이터로서의 이러한 사용은 프로세스를 특징짓기 위한 시험적 접근 방법의 설계에 필요한 시간 소모적이며 값비싼 실험들에 대한 필요를 크게 감소시키거나 제거한다. 특징화 데이터 세트는 도구에 의해 수행되는 차후 프로세스에서의 사용을 위해 라이브러리에 저장될 수 있다.
또한, 본 발명의 제 1 원리들의 온-툴 시뮬레이션 기능은 오류 검출 및 프로세스 제어를 제공하는데도 사용될 수 있다. 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 오류 검출 및 프로세스 제어를 위한 기존 방법들은 대부분이 사실상 확률적이다. 이러한 방법들은, 도구의 오퍼레이팅 파라미터들 모두를 변경하면서 다수의 프로세스 실행들을 수행하는 부담을 수반하는 실험적 설계 방법을 요한다. 이러한 프로세스 실행들의 결과들은, 반도체 프로세싱 도구의 프로세스를 감지하거나 제어하기 위해, 룩업, 내삽, 외삽, 민감도 분석 등에 사용되는 데이터베이스에 기록된다.
그러나, 이러한 확률적 방법들이 광범위하게 변하는 동작 조건들하에서 도구를 안전하게 감지하고 제어할 수도 있도록 하기 위해서는, 데이터베이스가 모든 동작 조건들을 커버하기에 충분할 정도로 광범위해야 하는데, 이로 인해, 데이터베이스를 발생시키는 것이 부담이 된다. 본 발명의 제 1 원리들의 온-툴 시뮬레이션 기능은 이러한 데이터베이스의 생성을 전혀 요하지 않는데, 정확한 작업 모델들 및 정확한 입력 데이터가 주어질 경우, 프로세스 조건들에 대한 도구 응답이 물리적인 제 1 원리들로부터 직접적으로 그리고 정확하게 예측되기 때문이다. 그러나, 상이한 동작 조건들에 따른 더 많은 실행-시간 정보가 이용 가능해짐에 따라, 통계적 방법들이 작업 모델들 및 입력 데이터를 정제하는데 여전히 사용될 수도 있지만, 이러한 정보를 갖는 것이 프로세스 감지 및 제어 기능을 위해 본 발명에 의해 요구되는 것은 아니다. 실제로, 프로세스 모델은, 프로세스 모델을 사용해 그러한 공지의 경험적 솔루션들을, 경험적 결과들이 물리적으로 형성되지 않는 "솔루션들"로 확장하는 것에 의해, 프로세스가 경험적으로 제어될 수 있는 기초를 제공할 수 있다. 따라서, 일 실시예의 본 발명은 공지의 (즉, 물리적으로 관찰된) 솔루션들을 제 1 원리들의 시뮬레이션 모듈 솔루션들로써 보완하는 것에 의해 프로세스 도구를 경험적으로 특징짓는데, 시뮬레이션 모듈 솔루션들은 공지의 솔루션들과 모순되지 않는다. 궁극적으로, 좀더 양호한 통계학들이 개발됨에 따라, 시뮬레이션 모듈 솔루션들은 경험적 솔루션들의 데이터베이스에 의해 대체될 수 있다.
본 발명의 일 실시예에서, 제 1 원리들의 온-툴 시뮬레이션은 데이터베이스의 생성 또는 데이터베이스로의 액세스를 요하지 않는데, 프로세스 조건들에 대한 도구 응답이 제 1 원리들로부터 직접적으로 예측되기 때문이다. 상이한 동작 조건들에 따른 더 많은 실행 시간 정보가 이용 가능해짐에 따라, 통계 방법들이 작업 모델들 및 입력 데이터를 정제하는데 여전히 사용될 수도 있지만, 이러한 정보를 갖는 것이 프로세스 감지 및 제어와 오류 정정을 위해 이 실시예에서 요구되는 것은 아니다.
도 6은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 시스템의 블록도이다. 이 도면에서 알 수 있는 바와 같이, 시스템은 APC(advanced process control) 인프라스트럭처(604)에 연결되어 있는 프로세스 도구(602)를 포함하는데, APC 인프라스트럭처(604)는 시뮬레이션 모듈(606), APC 컨트롤러(608), 및 라이브러리(610)를 포함한다. 또한, APC 인프라스트럭처(604)에는 계측 도구(612) 및 원격 컨트롤러(614)도 연결되어 있다. 도 6에서 알 수 있는 바와 같이, 라이브러리(610)는 솔루션 데이터베이스(616) 및 그리드 데이터베이스(618;grid database)를 포함할 수 있다.
프로세스 도구(602)는 도 1을 참조하여 설명된 반도체 프로세싱 도구(102)로서 구현될 수 있다. 따라서, 프로세스 도구(602)는, 예를 들어, 재료 프로세싱 시스템, 에칭 시스템, 포토레지스트 스핀 코팅 시스템, 리소그래피 시스템, 절연 코팅 시스템, 증착 시스템 시스템, 열적 어닐링을 위한 RTP(rapid thermal processing) 시스템, 및/또는 배치 확산로(batch diffusion furnace) 또는 여타의 적합한 반도체 제조 프로세싱 시스템일 수 있다. 도 6에서 알 수 있는 바와 같이, 프로세스 도구(602)는, 다음에서 부연되는 바와 같이, 시뮬레이션 모듈(606)에 데이터를 제공하고 APC 컨트롤러(608)로부터 제어 데이터를 수신한다. 또한, 프로세스 도구(602)는, 프로세스 결과들의 정보를 시뮬레이션 모듈(606)에 제공하는 계측 도구(612)에도 연결되어 있다.
시뮬레이션 모듈(606)은 컴퓨터, 워크스테이션, 또는 도구(602)에 의해 수행되는 프로세스를 제어하기 위해 제 1 원리들의 시뮬레이션 기술들을 실행할 수 있는 여타의 프로세싱 장치이므로, 도 3을 참조하여 설명된 시뮬레이션 모듈(302)로서 구현될 수 있다. 따라서, 시뮬레이션 모듈(602)은 도 1을 참조하여 설명된 제 1 원리들의 물리적 모델(106) 및 제 1 원리들의 시뮬레이션 프로세서(108) 뿐만 아니라, 제 1 원리들의 시뮬레이션들을 실행해 프로세스를 제어하는데 도움이 될 수 있는 임의의 다른 하드웨어 및/또는 소프트웨어를 포함한다. 도 6의 실시예에서, 시뮬레이션 모듈(606)은 도구(602)에서의 하나 이상의 진단들로부터 시뮬레이션 모델 실행 동안의 프로세싱 및 후속 사용을 위한 도구 데이터를 수신하도록 구성된다. 도구 데이터는 상기한 유체의 기계적 데이터, 전기적 데이터, 화학적 데이터, 열 역학적 데이터, 또는 도 1 및 도 2를 참조하여 상술된 임의의 입력 데이터를 포함할 수 있다. 도 6의 실시예에서, 도구 데이터는 시뮬레이션 모듈(606)에서 실행될 모델을 위한 경계 조건들 및 초기 조건들을 판정하는데 이용될 수 있다. 모델은 유동장들, 전-자계들, 온도 필드들, 화학적 성질, 표면의 화학적 성질(즉, 에칭면의 화학적 성질 또는 증착면의 화학적 성질) 등을 계산하기 위해, 예를 들어, 상기한 ANSYS, FLUENT, 또는 CFD-ACE+ 코드들을 포함할 수 있다. 제 1 원리들로부터 개발되는 모델들은, 도구의 프로세스 제어를 위한 입력을 제공하기 위해 프로세싱 시스템내의 세부 사항들을 결정할 수 있다.
APC 컨트롤러(608)는, 시뮬레이션 모듈(606)로부터 시뮬레이션 결과를 수신하고 시뮬레이션 결과를 이용해 도구(602)에서 수행되는 프로세스의 프로세스 조정/정정을 위한 제어 방법을 구현하기 위해, 시뮬레이션 모듈(606)에 연결되어 있다. 예를 들어, 조정은 프로세서의 불균일들을 정정하도록 수행될 수 있다. 본 발명의 일 실시예에서는, 프로세스 도구(602)에서 현재적으로 실행되는 프로세스를 위한 프로세스 솔루션에 집중하여, 시뮬레이션 모듈(606)에서 하나 이상의 섭동 솔루션들(perturbation solutions)이 실행된다. 그 다음, 섭동 솔루션들은 정정을 적용하기 위한 n-차원 공간내에서의 방향을 판정하기 위해, 예를 들어, SD(steepest decent) 방법(Numerical Methods, Dahlquist & Bjorck, Prentice-Hall, Inc., Englewood Cliffs, NJ, 1974, p. 441; Numerial Recipes, Press et al., Cambridge University Press, Cambridge, 1989, pp. 289-306)과 같은 비선형적 최적화 방식에 이용될 수 있다. 그 다음, APC 컨트롤러(608)에 의해 프로세스 도구(602)상에 정정이 구현될 수 있다. 예를 들어, 시뮬레이션의 현재 실행으로부터의 도구 데이터(즉, 물리적 센서 데이터) 또는 결과들 중 하나 이상은, 프로세싱 시스템이, 현재의 초기/경계 조건들이 주어진 기판을 덮는 불균일한 정압 필드를 보인다는 것을 나타낼 수 있다. 따라서, 이러한 불균일은, 계측 도구에 의해, 기판에 대해 측정되는 기판 프로세스의 성능을 정량화하는데 사용되는 메트릭, 즉, 임계 치수, 피쳐 깊이, 필름 두께 등에 대해 관찰되는 불균일에 기여할 수 있다. 시뮬레이션의 현재 실행에 대한 입력 파라미터들을 섭동하는 것에 의해, 정압 불균일을 제거하거나 감소시키기 위해 취해질 최선의 "경로"를 판정하기 위한 한 세트의 섭동 솔루션들이 획득될 수 있다. 예를 들어, 프로세스를 위한 입력 파라미터들은 압력, (플라즈마를 발생시키기 위해 전극으로 전달되는) 전력, 가스 유속 등을 포함할 수 있다. 한번에 하나의 입력 파라미터들을 섭동하면서 다른 모든 입력 파라미터들을 일정하게 유지하는 것에 의해, 앞서 식별된 최적화 방식에 이용되어 프로세스 불균일을 정정하기에 적합한 정정값을 유도할 수 있는 민감도 행렬(sensitivity matrix)이 형성될 수 있다.
본 발명의 다른 실시예에서, 시뮬레이션 결과들은, 그 내용이 여기에 참조로써 포함되어 있는 "Method of detecting, identifying, and correcting process performance"라는 명칭의 계류 중인 미국 특허출원 제 60/343174호에서 설명된 바와 같이 공식화되는 PCA(principal components analysis) 모델과 함께 이용된다. 거기에서는, 다변수 분석(즉, PCA)을 사용해, 시뮬레이션된 서명(즉, 시뮬레이션 모델 결과들의 공간 성분들)과 하나 이상의 제어 가능한 프로세스 파라미터 세트간의 관계가 판정될 수 있다. 이 관계는 프로세스 성능 파라미터(즉, 모델 결과)에 대응되는 데이터 프로파일을 향상시키는데 이용될 수 있다. 원리 성분들의 분석은 반도체 프로세싱 도구의 시뮬레이션에 대한 결과(또는 예측되는 출력)의 공간 성분들과 하나 이상의 제어 변수(또는 입력 파라미터)의 세트간의 관계를 판정한다. 판정된 관계는 시뮬레이션된 결과(또는 이용 가능하다면 측정된 결과)의 불균일을 향상(또는 감소)시키기 위해 공간 성분의 크기를 최소화하기 위한 하나 이상의 제어 변수(또는 입력 파라미터)에 대한 정정을 판정하는데 이용된다.
상기한 바와 같이, 도 6의 시뮬레이션 모듈(606)에 연결되어 있는 라이브러리(610)는 솔루션 데이터베이스(616) 및 그리드 데이터베이스(618)를 포함하도록 구성된다. 솔루션 데이터베이스(616)는 솔루션들의 대략적인 n-차원 데이터베이스를 포함할 수 있으므로, 그에 의해, n-차원 공간의 차수 n은 소정의 솔루션 알고리즘을 위한 독립 파라미터들의 수에 의해 지배된다. 시뮬레이션 모듈(606)이 소정의 프로세스 실행을 위한 도구 데이터를 검색할 때, 라이브러리(610)는 모델 입력에 기초해 가장 근접한 적합한(fitting) 솔루션을 판정하도록 검색될 수 있다. 이 솔루션은 본 발명에 따른 후속의 제 1 원리들의 시뮬레이션을 위한 초기 조건으로서 사용됨으로써, 시뮬레이션 결과를 제공하기 위해 시뮬레이션 모듈에 의해 수행되어야 하는 반복들의 수를 감소시킬 수 있다. 각각의 모델 실행과 함께, 새로운 솔루션이 솔루션 데이터베이스(616)에 추가될 수 있다. 부가적으로, 그리드 데이터베이스(618)는 하나 이상의 그리드 세트들을 포함함으로써, 그에 의해, 각각의 그리드 세트가 소정의 프로세스 도구 또는 프로세스 도구 기하 구조를 어드레싱할 수 있다. 각각의 그리드 세트는 대략적인 것에서부터 미세한 것에 이르는, 상이한 그리드 솔루션들을 갖춘 하나 이상의 그리드들을 포함할 수 있다. 그리드들의 선택은, 멀티-그리드 기술들을 수행하는 것에 의해(즉, 대략적 그리드상의 시뮬레이션 결과를 풀어내고, 좀더 미세한 그리드상의 솔루션이 수반되며, 최고로 미세한 그리드상의 솔루션 등이 수반되는 것에 의해) 솔루션 시간을 감소시키는데 이용될 수 있다.
계측 도구(612)는, 에칭 속도, 증착 속도, 에칭 선택도(제 2 재료가 에칭되는 속도에 대해 제 1 재료가 에칭되는 속도의 비), 에칭 임계 치수(예를 들어, 특징의 길이 또는 너비), 에칭 특징의 이방성(예를 들어, 에칭 특징의 측벽 프로파일), 필름 특성(예를 들어, 필름 스트레스, 다공도 등), 마스크(예를 들어, 포토레지스트)의 필름 두께, 마스크(예를 들어, 포토레지스트)의 패턴 임계 치수, 또는 반도체 프로세싱 도구에 의해 수행되는 프로세스에 대한 임의의 다른 파라미터와 같은 프로세스 성능 파라미터들을 측정하도록 구성될 수 있다. 원격 컨트롤러(612)는 모델 솔버 파라미터들(model solver parameters;즉, 솔버 파라미터 업데이트들), 솔루션 상태, 모델 솔루션들, 및 솔루션 수렴 이력을 포함하는 정보를 시뮬레이션 모듈(606)과 교환한다.
도 7은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 프로세스를 나타내는 흐름도이다. 흐름도는, 프로세스 도구(602)와 같은, 프로세스 도구내에서 기판 또는 기판들의 배치(batch)를 프로세싱하기 위한 단계 702로써 시작하는 것으로 제시된다. 단계 704에서는, 도구 데이터가 입력으로서 측정되어, 시뮬레이션 모듈(606)과 같은, 시뮬레이션 모듈로 제공된다. 다음으로는, 단계 706에 나타 낸 바와 같이, 모델을 설정하기 위한 경계 조건들 및 초기 조건들이 시뮬레이션 모듈에 대한 제 1 원리들의 물리적 모델에 부과된다. 단계 708에서는, 제 1 원리들의 물리적 모델이 실행되어 도 6의 APC 컨트롤러(608)와 같은 컨트롤러로 출력되는 제 1 원리들의 시뮬레이션 결과들을 제공한다. 다음으로, 컨트롤러는, 단계 710에 나타낸 바와 같이, 시뮬레이션 결과로부터 제어 신호를 판정한다. 예를 들어, 실행간 또는 배치(batch)간의 언제든, 오퍼레이터는 APC 컨트롤러(608)내에서 이용될 제어 알고리즘을 선택할 기회를 가진다. 예를 들어, APC 컨트롤러는 프로세스 모델 섭동 결과들 또는 PCA 모델 결과들을 이용할 수 있다. 실행간 또는 배치간에서, 프로세스는, 단계 712에 도시된 바와 같이, 시뮬레이션 결과들을 사용하는 컨트롤러에 의해 조정/정정될 수 있다.
본 발명의 다른 실시예에서는, 프로세스 도구에 의해 수행되는 프로세스의 제어를 제공하기 위해 제 1 원리들의 시뮬레이션과 함께 경험 모델이 사용될 수도 있다. 도 8은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 경험 모델을 사용해, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 시스템의 블록도이다. 이 도면에서 알 수 있는 바와 같이, 시스템은, 시뮬레이션 모듈(806) 및 APC 컨트롤러(808)를 포함하는 APC 인프라스트럭처(804)에 연결되어 있는 프로세스 도구(802)를 포함한다. 또한, APC 인프라스트럭처(804)는 계측 도구(812) 및 원격 컨트롤러(814)에도 연결되어 있다. 이러한 항목들은, 도 8의 항목들이 부가적으로 경험 모델을 고려하여 동작하도록 구성되어 있다는 것을 제외하면, 도 6을 참조하여 논의된 대응 항목들과 유사하다. 따라서, 이러한 유사 항목들은 도 8과 관련하여 설명되지 않는다.
도 8에서 알 수 있는 바와 같이, 시스템은, 시뮬레이션 모듈(806)에 연결되어 모듈(806)로부터 시뮬레이션 결과를 수신하도록 구성되어 있는 모델 분석 프로세서(840)를 포함한다. 도 8의 실시예에서, 모델 분석은 치수화되지 않은 시뮬레이션 결과들(non-dimensionalization of the simulation results)로부터의 경험 모델 구성을 포함한다. 시뮬레이션 결과들이 실행간 또는 배치간 기반으로 수신됨에 따라, 경험 모델이 구성되어 경험 모델 라이브러리(842)에 저장된다. 예를 들어, 프로세스 도구(802)는 수율 램프(yield ramp)를 통한 프로세스 개발에서 대량 생산에 이르는 프로세스 사이클들의 이력을 경험한다. 이러한 프로세스 사이클들 동안, 도구의 프로세스 챔버는 챔버 적격화 및 순화(chamber qualification and seasoning)를 통한 "클린(claen)" 챔버로부터 챔버 클리닝 및 유지 보수에 선행하는 "낡은(aged)" 챔버로 진행한다. 수차례의 유지 보수 사이클들 이후에, 경험 모델은 특정한 프로세스 도구 및 그와 연관된 프로세스에 대응되는 파라미터 공간에 대해 통계적으로 충분한 샘플을 포함하도록 진화할 수 있다. 다시 말해, 클리닝 사이클들, 프로세스 사이클들, 및 유지 보수 사이클들을 통해, 도구(802)는 (시뮬레이션 모듈의 도움으로) 파라미터 공간의 경계들을 근본적으로 판정한다. 궁극적으로, 라이브러리(842)에 저장되어 있는 진화된 경험 모델은 제 1 원리들의 시뮬레이션에 기초하는 일반적으로 좀더 프로세스 집약적인 모델을 대체할 수 있으며, APC 컨트롤러에 프로세스 조정/정정을 위한 입력을 제공할 수 있다.
도 8에서 알 수 있는 바와 같이, 원격 컨트롤러(814)는 경험 모델의 진화를 모니터링하기 위해, 그리고 시뮬레이션 모듈 컨트롤러 입력을 오버라이드하고 경험 모델 컨트롤러 입력을 선택하기 위한 판정들을 수행하기 위해, 경험 모델 라이브러리(842)에 연결될 수 있다. 또한, 계측 도구(814)는, 마찬가지로, 경험 모델 데이터베이스에 교정을 위한 입력을 제공하기 위해 경험 모델 데이터베이스에 연결될 수 있다(접속은 도시되어 있지 않음).
도 9는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 경험 모델을 사용해, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 프로세스를 나타내는 흐름도이다. 흐름도는, 프로세스 도구(802)와 같은, 프로세스 도구내에서 기판 또는 기판들의 배치(batch)를 프로세싱하기 위한 단계 902로써 시작하는 것으로 제시된다. 단계 904에서는, 도구 데이터가 측정되어 시뮬레이션 모듈(806)과 같은 시뮬레이션 모듈로의 입력으로서 제공된다. 다음으로는, 단계 906에서 나타낸 바와 같이, 모델을 설정하기 위해 시뮬레이션 모듈에 대한 제 1 원리들의 물리적 모델에 경계 조건들 및 초기 조건들이 부과된다. 단계 908에서는, 제 1 원리들의 물리적 모델이 실행되어, 단계 910에 도시된 바와 같이, 경험 모델의 분석 및 구성을 위해 출력되는 제 1 원리들의 시뮬레이션 결과들을 수행한다.
예를 들어, 실행간 또는 배치(batch)간의 언제든, 오퍼레이터는 제 1 원리들의 시뮬레이션 또는 경험 모델에 기초해 프로세스 제어를 선택할 기회를 가진다. 경험 모델 구축의 소정 시점에서, 오퍼레이터는, 그 시점에서 데이터의 라이브러리 및 내삽/외삽 방식들을 사용해 도구 데이터의 소정 세트에 대한 컨트롤러 입력을 빠르게 추출할 수 있는 경험 모델을 선호하여, 제 1 원리들의 시뮬레이션 모두를 오버라이드할 것을 선택할 수도 있다. 따라서, 판정 블록(912)은 프로세스를 제어하는데 제 1 원리들의 시뮬레이션을 사용할 것인지 아니면 경험 모델을 사용할 것인지를 판정한다. 단계 912에서 오버라이드가 판정되지 않을 경우, 프로세스는, APC 컨트롤러가 시뮬레이션 결과로부터 제어 신호를 판정하는 단계 914에서 계속된다. 모델 오버라이드가 선택될 경우, APC 컨트롤러는, 단계 916에 나타낸 바와 같이, 경험 모델로부터 제어 신호를 판정한다. 다른 실시예에서는, 제 1 원리들의 시뮬레이션 결과들과 경험 모델링의 조합이 APC 컨트롤러에 의해 프로세스를 제어하는데 사용될 수 있다. 단계 918로써 나타낸 바와 같이, 프로세스는 단계 914에 나타낸 모델 출력 또는 단계 916에 나타낸 경험 모델 출력을 사용해 컨트롤러에 의해 조정/정정될 수 있다. 따라서, 도 9의 프로세스는 경험 모델의 현장 구성(in-situ construction) 방법을 나타내고, 일단 통계적으로 중요하다면, 경험 모델이 계산 집약적인 시뮬레이션 프로세스 모델을 오버라이드할 수 있다. 프로세스 제어 동안, 요청되는 정정의 일부만을 부여하기 위해, EWMA(exponentially weighted moving average) 필터와 같은, 필터가 이용될 수 있다. 예를 들어, 필터의 적용은 Xnew = (1-λ)Xold + λ(Xpredicted-Xold)의 형태를 취할 수 있는데, 여기에서, Xnew는 소정의 입력 파라미터(제어 변수)에 대한 새로운 값이고, Xold는 소정의 입력 파라미터에 대한 선행(또는 앞서 사용된) 값이며, Xpredjcted는 상술된 기술들 중 하나에 기초해 입력 파라미터에 대해 예상되는 값이고, λ는 0에서 1 사이의 필터 계수이다.
본 발명의 또 다른 실시예에서는, 프로세스 도구에 의해 수행되는 프로세스를 제어하기 위해, 제 1 원리들의 시뮬레이션과 함께 오류 검출기/분류기가 사용될 수 있다. 도 10은, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들 및 오류 검출기를 사용해, 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한 시스템의 블록도이다. 도면에서 알 수 있는 바와 같이, 시스템은, 시뮬레이션 모듈(1006), APC 컨트롤러(1008) 및 라이브러리(1010)를 포함하는 APC 인프라스트럭처(1004)에 연결되어 있는 프로세스 도구(1002)를 포함한다. 도 10에 도시되지는 않았지만, 라이브러리(1010)는 솔루션 데이터베이스 및 그리드 데이터베이스를 포함한다. APC 인프라스트럭처(1004)에는 계측 도구(1012) 및 원격 컨트롤러(1014)가 연결되어 있다. 이들 항목들은, 도 10의 항목들이 부가적으로 오류 검출을 고려하여 동작하도록 구성되어 있다는 점을 제외하면, 도 6과 관련하여 논의된 대응 항목들과 유사하다. 따라서, 이들 유사 항목들은 도 10과 관련하여 설명되지 않는다.
도 10에서 알 수 있는 바와 같이, 시스템은 시뮬레이션 모듈(1006)에 연결되어, 모듈(1006)로부터 시뮬레이션 결과를 수신하도록 구성되어 있는 오류 검출기(1040)를 포함한다. 예를 들어, 시뮬레이션 모듈(1006)의 출력은 데이터의 프로파일을 포함할 수 있다. 다음으로, 데이터의 프로파일은 오류 검출 장치(1040)에서 수행되는 PLS(partial least squares)와 같은 다변수 분석에 대한 입력으로서 기능할 수 있다. PLS 분석에서는, 도구 섭동 데이터(
Figure 112009046876037-pct00011
)를 시뮬레이션 결과들(Ysim)과 실제 결과들(Yreal)간의 차이를 설명하는 프로세스 성능 데이터(
Figure 112009046876037-pct00012
)와 관련짓는 한 세트의 로딩(또는 상관) 계수들이 정의될 수 있다.
예를 들어, PLS를 사용하면, 오류 검출기(1040)에 의해 시뮬레이션 모듈로부터 도구 섭동 데이터의 관측 세트들이 수신된다. 도구 섭동 데이터는, 현재의 모델 솔루션을 중심으로 현장에서 판정되거나 프로세스 모델을 사용해 n-차원의 솔루션 공간내에서 미리 판정된다. n-차원 파라미터 공간의 차수(n)는 솔루션 공간의 독립적인 파라미터들(즉, 압력들, 질량 유량들(mass flow rates), 온도들 등; 다음 참고)의 수에 관계된다.
소정 섭동 세트에 대해, 개개의 섭동 미분들(즉, ∂Y/∂v1, ∂Y/∂v2, ∂Y/∂v3; 여기에서, v1, v2, v3는 상이한 독립 파라미터들이다)이 행렬
Figure 112006024055118-pct00013
내에 저장된다. 각각의 관측 세트에 대해, 도구 섭동 데이터는 행렬
Figure 112006024055118-pct00014
의 컬럼으로서 저장될 수 있고, 프로세스 성능 데이터(즉, Ysim-Yreal)는 행렬
Figure 112006024055118-pct00015
의 컬럼으로서 저장될 수 있다. 따라서, 일단 행렬
Figure 112006024055118-pct00016
가 구성되고 나면, 각각의 로우는 상이한 섭동 관측을 표현하고 각각의 컬럼은 상이한 도구 데이터 파라미터를 표현한 다. 일단 행렬
Figure 112006024055118-pct00017
가 구성되고 나면, 각각의 로우는 상이한 관측을 표현하고 각각의 컬럼은 상이한 프로세스 성능 파라미터를 표현한다. 일반적으로, 행렬
Figure 112006024055118-pct00018
는 m×n 행렬일 수 있고, 행렬
Figure 112006024055118-pct00019
는 m×p 행렬일 수 있다. 일단 모든 데이터가 행렬들로 저장되고 나면, 데이터는, 원한다면, 평균-중심화(mean-centered) 및/또는 정규화될 수 있다. 행렬의 컬럼에 저장된 데이터를 평균-중심화하는 프로세스는 컬럼 요소들의 평균값을 계산하고 각 요소에서 평균값을 감산하는 단계를 수반한다. 또한, 행렬의 컬럼에 위치하는 데이터는 컬럼 데이터의 표준 편차에 의해 정규화될 수 있다.
일반적으로, 다변수 분석의 경우, 도구 데이터와 프로세스 성능 데이터간의 관계는 다음의 수학식 1과 같이 표현될 수 있는데,
Figure 112006024055118-pct00020
여기에서,
Figure 112006024055118-pct00021
는 상술된 m×n 행렬을 표현하고,
Figure 112006024055118-pct00022
는 n×p(p < n)의 로딩(또는 상관) 행렬을 표현하며,
Figure 112006024055118-pct00023
는 상술된 m×p 행렬을 표현한다. 일단 데이터 행렬들(
Figure 112006024055118-pct00024
Figure 112006024055118-pct00025
)이 구성되고 나면, PLS 분석을 사용해,
Figure 112006024055118-pct00026
Figure 112006024055118-pct00027
공간들을 최선으로 근사하며
Figure 112006024055118-pct00028
Figure 112006024055118-pct00029
간의 상관을 최대화하도록 설계된 관계가 확립된다.
PLS 분석 모델에서, 행렬들(
Figure 112006024055118-pct00030
Figure 112006024055118-pct00031
)은 다음과 같이 분해되는데,
Figure 112006024055118-pct00032
Figure 112006024055118-pct00033
Figure 112006024055118-pct00034
여기에서,
Figure 112006024055118-pct00035
Figure 112006024055118-pct00036
변수들을 요약하는 스코어들의 행렬이고,
Figure 112006024055118-pct00037
는 행렬
Figure 112006024055118-pct00038
에 대한 로딩들의 행렬이며,
Figure 112006024055118-pct00039
Figure 112006024055118-pct00040
변수들을 요약하는 스코어들의 행 렬이고,
Figure 112006024055118-pct00041
Figure 112006024055118-pct00042
Figure 112006024055118-pct00043
(
Figure 112006024055118-pct00044
)간의 상관을 표현하는 가중치들의 행렬이며,
Figure 112006024055118-pct00045
,
Figure 112006024055118-pct00046
Figure 112006024055118-pct00047
는 나머지들의 행렬들이다. 또한, PLS 분석 모델에서는,
Figure 112006024055118-pct00048
Figure 112006024055118-pct00049
를 상관짓는 가중치들이라고 하는 추가 로딩들의
Figure 112006024055118-pct00050
가 존재하는데, 이것은
Figure 112006024055118-pct00051
를 계산하는데 사용된다.
요약하여, PLS 분석은 기하학적으로, 원래의 데이터 테이블들(
Figure 112006024055118-pct00052
Figure 112006024055118-pct00053
)을 근접하게 근사하며 초평면들(hyper planes)상의 관측 위치들간의 공분산을 최대화할 목적으로, 다차원 공간에서 점들로서 표현되는
Figure 112006024055118-pct00054
Figure 112006024055118-pct00055
데이터 모두에 라인, 평면 또는 초평면을 피팅하는 것에 대응된다.
도 11은 PLS 분석으로의 데이터 입력들(
Figure 112009046876037-pct00056
Figure 112009046876037-pct00057
) 및 대응되는 출력들(
Figure 112009046876037-pct00058
,
Figure 112009046876037-pct00059
,
Figure 112009046876037-pct00060
,
Figure 112009046876037-pct00061
,
Figure 112009046876037-pct00062
,
Figure 112009046876037-pct00063
,
Figure 112009046876037-pct00064
,
Figure 112009046876037-pct00065
)과 VIP(variable importance in the projection)에 대한 개략적인 표현을 제공한다. PLS 분석 모델링을 지원하는 상용 소프트웨어의 일례로는 (The Mathworks, Inc.,Natick, MA로부터 구입 가능한) MATLAB과 함께 제공되는 PLS_Toolbox 또는 (Umetrics, Kinnelon, NJ로부터 구입 가능한) SIMCA-P 8.0을 들 수 있다. 예를 들어, 이러한 소프트웨어에 대한 추가적 세부 사항들은 SIMCA-P 8.0에 대한 User's Manual User Guide에서 제공된다. 다변수 데이터 분석에서의 새로운 표준은 Umetrics AB, Version 8.0인데, 이 또한, 본 발명을 위해 적합하다. 일단 행렬이 공식화되고 나면, 각각의 시뮬레이션 결과에 대해 행렬
Figure 112009046876037-pct00066
가 판정된다. 시뮬레이션된 결과와 실제 결과간에 어떤 차이도, PLA 분석 및 VIP 결과를 사용하여, 판정될 수 있고 특정한 (독립적인) 프로세스 파라미터에 반영될 수 있다. 예를 들어, PLS 모델로부터 출력되는 최대 VIP 값은 차이에 대한 가장 가능성이 높게 책임이 있는 프로세스 파라미터에 해당된다.
도 12는, 본 발명의 실시예에 따른, 제 1 원리들의 시뮬레이션 기술들을 사용해, 오류를 검출하고 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위한프로세스를 나타내는 흐름도이다. 흐름도는, 프로세스 도구(1002)와 같은, 프로세스 도구내에서 기판 또는 기판들의 배치(batch)를 프로세싱하기 위한 단계 1202로써 시작하는 것으로 제시된다. 단계 1204에서는, 도구 데이터가 측정되어 시뮬레이션 모듈(1006)과 같은 시뮬레이션 모듈로의 입력으로서 제공된다. 다음으로는, 단계 1206으로 나타낸 바와 같이, 시뮬레이션 모듈의 물리적 모델에 대한 경계 조건들 및 초기 조건들이 부과되어 모델을 설정한다. 단계 1208에서는, 제 1 원리들의 물리적 모델이 실행되어 도 10의 APC 컨트롤러(1008)와 같은 컨트롤러로 출력되는 제 1 원리들의 시뮬레이션 결과들을 수행한다. 예를 들어, 실행간 또는 배치(batch)간의 언제든, 오퍼레이터는 APC 컨트롤러내에서 이용될 제어 모델을 선택할 기회를 가진다. 예를 들어, APC 컨트롤러는 프로세스 모델 섭동 결과들 또는 PCA 모델 결과들을 이용할 수 있다. 실행간 또는 배치간에서, 프로세스는, 모델 출력을 사용해, 컨트롤러에 의해 조정/정정될 수 있다. 단계 1010에서는, 프로세스 모델 출력이 오류 검출기(1040)의 PLS 모델을 위한 입력으로서 기능하여, 단계 1012에서 오류가 검출되어 분류될 수 있게 한다. 예를 들어, 상술된 바와 같이, 실제 프로세스 성능(Yreal)과 소정 프로세스 조건(즉, 입력 제어 변수들의 세트)에 대해 시뮬레이션된(또는 예측된) 프로세스 성능(Ysim)간의 차이는 프로세스 오류의 존재를 판정하는데 이용될 수 있는데, 여기에서, Yreal은 물리적 센서 또는 계측 도구를 사용해 측정되고, Ysim은 현재의 프로세스 조건 입력에 대해 제공되는 시뮬레이션을 실행하는 것에 의해 판정된다. 실제 결과와 시뮬레이션된 결과간의 차이(또는 편차, 평균 제곱근, 또는 다른 통계치)가 미리 결정된 임계치를 초과하면, 오류가 발생한 것으로 예측될 수 있다. 미리 결정된 임계치는, 예를 들어, 특정 데이터에 대한 평균값의 비(즉, 5%, 10%, 15%)를 구비하거나 데이터의 평균 제곱근에 대한 배수(즉, 1σ, 2σ, 3σ)일 수 있다. 일단 오류가 검출되고 나면, 오류는 PLS 분석을 사용해 분류될 수 있다. 예를 들어, 소정 입력 조건(즉, 입력 제어 변수들의 세트)에 대한 민감도 행렬
Figure 112009046876037-pct00067
이 판정된다(그리고, 가능하게는, 라이브러리(1010)에 저장된다). 도구 섭동 데이터(민감도 행렬)가 현재의 모델 솔루션을 중심으로 현장에서 판정되거나, 프로세스 모델을 사용해 n-차원의 솔루션 공간내에서 미리 판정된다. 민감도 행렬 및 실제 결과와 시뮬레이션된 결과간의 차이를 사용하면, 실제 결과와 시뮬레이션된 결과간의 관측된 차이와 최대 상관을 나타내는 제어 변수들(입력 파라미터들)을 식별하기 위해, PLS 분석을 사용해 수학식 1을 풀어낼 수 있다. 상기 예를 사용하면, 프로세스 성능은 기판을 덮고 있는 공간에 대한 정압 프로파일로써 요약될 수 있다. 실제 결과 Yreal은 압력의 측정된 프로파일을 표현하고, Ysim은 압력의 시뮬레이션된 프로파일을 표현한다. 그러나, 가스 유속(gas flow rate)이 정해져 있다고 가정하면, 질량 유량 컨트롤러(mass flow controller)는 유속을 배가한다(그러나, 설정된 값을 보고한다). 압력의 시뮬레이션 프로파일과 측정된(실제) 프로파일간의 차이, 즉, 유속이 실제와 시뮬레이션 경우들 사이에서 2의 팩터만큼 감소된다는 것을 알 수 있을 것이다. 실제와 시뮬레이션 결과들간의 차이는 미리 결정된 임계치를 초과하기에 충분할 정도로 클 것이다. PLS 분석을 사용하면, 가스 유속과 같은, 압력의 프로파일에 최대의 영향을 미치는 파라미터들이 식별될 것이다. 오류의 존재 및 그것의 특징은 프로세스 도구 오류 상태로서 오퍼레이터에게 보고되거나, APC 컨트롤러로 하여금 오류 검출에 응답하여 (셧다운과 같은) 프로세스 도구의 제어를 수행하게 할 수 있다.
도 13은, 본 발명의 프로세스 제어 실시예가 적용될 수 있는 진공 프로세싱 시스템의 블록도이다. 도 13에 도시된 진공 프로세싱 시스템은 예시적 목적을 위해 제공되며 어떤 식으로도 본 발명의 범위를 제한하지 않는다. 진공 프로세싱 시스템은 기판(1305)을 지지하기 위한 기판 홀더(1304)를 가진 프로세스 도구(1302), 가스 주입 시스템(1306), 및 진공 펌핑 시스템을 포함한다. 가스 주입 시스템(1306)은 가스 주입 플레이트, 가스 주입 플레넘(plenum), 및 가스 주입 플레넘내의 하나 이상의 가스 주입 배플 플레이트들을 포함할 수 있다. 가스 주입 플레넘은 가스 A 및 가스 B와 같은 하나 이상의 가스 공급원들에 연결될 수 있는데, 여기에서, 프로세싱 시스템으로의 가스 A 및 가스 B의 질량 유량은 2개의 질량 유량 컨트롤러들(MFCA(1308) 및 MFCB(1310))에 의해 영향을 받는다. 또한, 압력 P1을 측정하기 위한 압력 센서(1312)가 가스 주입 플레넘에 연결될 수 있다. 기판 홀더는, 예를 들어, 기판과 기판 홀더간의 가스-갭열 전도 계수(gas-gap thermal conductance)를 향상시키기 위한 헬륨 가스 공급원, 정전 클램핑 시스템(electrostatic clamping system), 냉각 소자들과 가열 소자들을 포함하는 온도 제어 소자들, 기판을 기판 홀더의 표면으로 그리고 기판 홀더의 표면으로부터 리프팅하기 위한 리프트 핀들(lift pins)을 포함하지만, 이에 한정되는 것은 아닌, 복수개 컴포넌트들을 포함할 수 있다. 또한, 기판 홀더는 기판 홀더의 온도(T1) 또는 기판 온도를 측정하기 위한 온도 센서(1314) 및 냉매 온도(T3)를 측정하기 위한 온도 센서(1316)를 포함할 수 있다. 상술된 바와 같이, 헬륨 가스가 기판의 후면으로 공급되는데, 여기에서, 가스-갭 압력(P(He))은 하나 이상의 위치들에서 변경될 수 있다. 또한, 다른 압력 센서(1318)가 챔버 압력(P2)을 측정하기 위해 프로세스 도구에 연결될 수 있고, 다른 압력 센서(1320)가 표면 온도(T2)를 측정하기 위해 프로세스 도구에 연결될 수 있고, 다른 압력 센서(1322)가 진공 펌핑 시스템의 유입구에 연결되어 유입구 압력(P3)을 측정할 수 있다.
진단 컨트롤러(1324)는 상술된 센서들 각각에 연결되어 이들 센서들로부터의 측정치들을 상술된 시뮬레이션 모듈에 제공하도록 구성될 수 있다. 도 13의 예시적 시스템의 경우, 시뮬레이션 모듈에서 실행되는 모델은, 예를 들어, 3개의 컴포넌트들, 즉, 열 컴포넌트, 가스 역학 컴포넌트, 및 화학적 성질 컴포넌트를 포함한다. 제 1 컴포넌트에서는, 가스-갭 압력 필드가 판정된 다음, 가스-갭 열 전도 계수가 계산될 수 있다. 그 다음, 기판(및 기판 홀더)에 대해 공간적으로 결정되는 온도 필드가, 경계 온도 또는 경계 열 유속과 같은, 경계 조건들(및 내부 조건들), 저항 가열 소자들에 누적되는 전력, 냉각 소자들에서 제거되는 전력, 플라즈마의 존재로 인한 기판 표면에서의 열 유속 등을 적절하게 설정하는 것에 의해 판정될 수 있다.
본 발명의 일례에서는, ANSYS가 이용되어 온도 필드를 계산한다. 프로세스 모델의 제 2 컴포넌트(즉, 가스 역학 컴포넌트)를 이용하면, 가스 압력 필드 및 속도 필드가 열 컴포넌트에서 계산된 표면 온도들과 상기한 측정치들 중 몇 개를 사용해 판정될 수 있다. 예를 들어, 질량 유량 및 압력(P1)은 유입구 조건을 판정하는데 이용될 수 있고, 압력(P3)은 유출구 조건을 판정하는데 이용될 수 있으며, CFD-ACE+는 가스 압력 및 속도 필드들을 계산하는데 이용될 수 있다. 화학적 성질 모델(즉, 제 3 컴포넌트)를 이용하면, 앞서 계산된 속도, 압력, 및 온도 필드들이, 예를 들어, 에칭 속도를 계산하기 위한 화학적 성질 모델로의 입력들로서 이용될 수 있다. 프로세스 도구의 기하 구조에 대한 복잡도에 따라, 이러한 모델 컴포넌트들 각각은 배치간 프로세스 사이클(batch-to-batch process cycle)내의 타임 스케일로 실행될 수 있다. 이러한 컴포넌트들 중 어느 하나는, 예를 들어, 공간 균일성 데이터를 프로세스 제어, 방법 연구, 프로세스 특성화, 및/또는 오류 검출/정정을 위한 입력으로서 제공하는데 이용될 수 있다.
프로세싱 조건들 및/또는 리액터 노화와 같은 영향들의 변화들에 응답하여 유도된 모델들과 프로세스 분석으로부터, 경험 모델은 시간에 걸쳐 동화될 수 있다. 그에 따라, 표준 통계 분석 프로그램들에 의해 판정되는, 리액터에 대한 반복 횟수가 통계적으로 중요해질 때, 프로세스 제어는, 본질적으로 선행 실행 연산들의 "반복들"인 프로세스들을 위해 경험에 기초하는 제어로 발전한다. 그러나, 본 발명에 따르면, 프로세스 제어는, 새로운 프로세스들 또는 프로세스 기하 구조의 변경들을 수용하는데 필요하다면, 제 1 원리들의 시뮬레이션을 수행하는 기능으로 복귀한다.
도 14는 본 발명의 실시예가 구현될 수 있는 컴퓨터 시스템(1401)을 도시한다. 컴퓨터 시스템(1401)은 상술된 제 1 원리들의 시뮬레이션 프로세서에 대한 펑션들 중 어느 하나 또는 전부를 수행하기 위한 제 1 원리들의 시뮬레이션 프로세서(108)로서 사용되거나, 도 1 내지 도 13과 관련하여 설명된 임의의 프로세스 단계를 수행하기 위한 임의의 다른 장치로서 사용될 수 있다. 컴퓨터 시스템(1401)은 정보를 전달하기 위한 버스(1402) 또는 다른 통신 메커니즘과 정보를 프로세싱하기 위해 버스(1402)로써 연결되어 있는 프로세서(1403)를 포함한다. 또한, 컴퓨터 시스템(1401)은 프로세서(1403)에 의해 실행될 정보 및 명령어들을 저장하기 위해 버스(1402)에 연결되어 있는, RAM(random access memory) 또는 다른 동적 저장 장치(예를 들어, DRAM(dynamic RAM), SRAM(static RAM), 및 SDRAM( synchronous DRAM))와 같은, 메인 메모리도 포함한다. 또한, 메인 메모리(1404)는 프로세서(1403)에 의한 명령어들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하는데 사용될 수도 있다. 컴퓨터 시스템(1401)은 프로세서(1403)를 위한 정적 정보 및 명령어들을 저장하기 위해 버스(1402)에 연결되어 있는 ROM(read only memory;1405) 또는 다른 정적 저장 장치(예를 들어, PROM(programmable ROM), EPROM(erasable PROM), 및 EEPROM(electrically erasable PROM))를 더 포함한다.
또한, 컴퓨터 시스템(1401)은 정보 및 명령어들을 저장하기 위한, 자기 하드 디스크(1407) 및 분리형 미디어 드라이브(1408;예를 들어, 플로피 디스크 드라이브, 판독 전용 CD 드라이브, 판독/기록 CD 드라이브, CD 쥬크박스, 테이프 드라이브, 및 분리형 자기-광학 드라이브)와 같은, 하나 이상의 저장 장치들을 제어하기 위해 버스(1402)에 연결되어 있는 디스크 컨트롤러(1406)도 포함한다. 저장 장치들은 적절한 장치 인터페이스(예를 들어, SCSI(small computer system interface), IDE(integrated device electronics), E-IDE(enhanced-IDE), DMA(direct memory access), 또는 ultra-DMA)를 사용해 컴퓨터 시스템(1401)에 추가될 수도 있다.
또한, 컴퓨터 시스템(1401)은 특수 목적의 논리 장치들(예를 들어, ASIC들(application specific integrated circuits)) 또는 구성 가능한 논리 장치들(예를 들어, SPLD들(simple programmable logic devices), CPLD들(complex programmable logic devices), 및 FPGA들(field programmable gate arrays))을 포함할 수도 있 다.
또한, 컴퓨터 시스템(1401)은, 컴퓨터 사용자에게 정보를 디스플레이하기 위한, CRT(cathode ray tube)와 같은, 디스플레이(1410)를 제어하기 위해 버스(1402)에 연결되어 있는 디스플레이 컨트롤러(1409)도 포함할 수 있다. 컴퓨터 시스템은 컴퓨터 사용자와 상호 작용하고 프로세서(1403)에 정보를 제공하기 위해, 키보드(1411) 및 포인팅 장치(1412)와 같은, 입력 장치들을 포함한다. 포인팅 장치(1412)는, 예를 들어, 지시 정보 및 명령 선택들을 프로세서(1403)에 전달하고 디스플레이(1410)에서 커서 이동을 제어하기 위한 마우스, 트랙볼, 또는 포인팅 스틱일 수 있다. 또한, 프린터가 컴퓨터 시스템(1401)에 의해 저장된 그리고/또는 발생된 데이터의 인쇄된 리스트들을 제공할 수도 있다.
컴퓨터 시스템(1401)은, 메인 메모리(1404)와 같은, 메모리에 포함되어 있는 하나 이상의 명령어들의 하나 이상의 시퀀스들을 실행 중인 프로세서(1403)에 응답하여 본 발명의 프로세싱 단계들 중 일부 또는 전부를 수행한다. 이러한 명령어들은, 하드 디스크(1407) 또는 분리형 미디어 드라이브(1408)와 같은, 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(1404)로 판독될 수 있다. 멀티-프로세싱 구성의 하나 이상의 프로세서들이 메인 메모리(1404)에 포함된 명령어들의 시퀀스들을 실행하는데 이용될 수도 있다. 다른 실시예들에서는, 소프트웨어 명령어들을 대신하여 또는 소프트웨어 명령어들과 함께 유선 회로가 사용될 수도 있다. 이와 같이, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
상기한 바와 같이, 컴퓨터 시스템(1401)은 본 발명의 내용들에 따라 프로그램된 명령어들을 보유하고 여기에서 설명되는 데이터 구조들, 테이블들, 기록들, 또는 다른 데이터를 포함하기 위해 하나 이상의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능 매체들의 예들로는 CD들, 하드 디스크들, 플로피 디스크들, 테이프, 자기-광학 디스크들, PROM들(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, CD들(예를 들어, CD-ROM), 또는 임의의 다른 광학 매체, 천공 카드들, 종이 테이프, 또는 홀들의 패턴들을 가진 다른 물리 매체, (후술되는) 반송파, 또는 컴퓨터가 판독해 낼 수 있는 임의의 다른 매체를 들 수 있다.
본 발명은, 컴퓨터 판독 가능 매체들 중 어느 하나 또는 이들의 조합에 저장되어 있는, 컴퓨터 시스템(1401)을 제어하기 위한, 발명을 구현하기 위한 장치 또는 장치들을 구동하기 위한, 그리고 컴퓨터 시스템(1401)이 인간 사용자(예를 들어, 인쇄물 제작 담당자)와 상호 작용할 수 있게 하기 위한 소프트웨어를 포함한다. 이러한 소프트웨어로는 장치 드라이버들, 오퍼레이팅 시스템들, 개발 도구들, 및 애플리케이션 소프트웨어를 들 수 있지만, 이에 한정되는 것은 아니다. 이러한 컴퓨터 판독 가능 매체들은 발명을 구현할 때 수행되는 프로세싱의 전부 또는 (프로세싱이 분산된다면) 일부를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치들은, 스크립트들, 해석 가능한 프로그램들, DLL들(dynamic link libraries), 자바 클래스들, 및 완전 실행 가능 프로그램들 (complete executable programs)을 포함하지만, 이에 한정되는 것은 아닌, 임의의 해석 가능하거나 실행 가능한 코드 메커니즘일 수 있다. 또한, 본 발명의 프로세싱 중 일부는 좀더 양호한 성능, 신뢰도, 및/또는 비용을 위해 분산될 수도 있다.
여기에서 사용되는 "컴퓨터 판독 가능 매체"라는 용어는 실행을 위한 프로세서(1403)에 명령어들을 제공하는데 관여하는 임의의 매체를 칭하는데 사용된다. 컴퓨터 판독 가능 매체는, 비-휘발성 매체들, 휘발성 매체들, 및 전송 매체들을 포함하여 많은 형태를 취할 수도 있으나, 이들에 한정되지 않는다. 비-휘발성 매체들은, 예를 들어, 하드 디스크(1407) 또는 분리형 미디어 드라이브(1408)와 같은, 광학, 자기 디스크들, 및 자기-광학 디스크들을 포함한다. 휘발성 매체들은, 메인 메모리(1404)와 같은, 동적 메모리를 포함한다. 전송 매체들은, 버스(1402)를 구성하는 배선들을 포함하여, 동축 케이블들, 구리선 및 광섬유들을 포함한다. 전송 매체들은, 전파 및 적외선 데이터 통신 동안에 발생되는 것들과 같은, 음파 또는 광파들의 형태를 취할 수도 있다.
컴퓨터 판독 가능 매체들의 다양한 형태들은 실행을 위한 프로세서(1403)로 하나 이상의 명령어들의 하나 이상의 시퀀스들을 전달하는 것과 관련될 수 있다. 예를 들어, 명령어들이 처음에는 원격 컴퓨터의 자기 디스크로 전달될 수 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 구현하기 위한 명령어들을 동적 메모리로 원격적으로 로드하고 모뎀을 사용해 전화선을 통해 명령어들을 송신할 수 있다. 컴퓨터 시스템(1401)에 속하는 모뎀은 전화선을 통해 데이터를 수신하고 적외선 전송기를 사용해 데이터를 적외선 신호로 변환할 수 있다. 버스(1402)에 연결되어 있는 적외선 검출기는 적외선 신호로 전달되는 데이터를 수신하여 데이터를 버스(1402)에 배치할 수 있다. 버스(1402)는, 프로세서(1403)가 명령어들을 검색하고 실행하는 메인 메모리(1404)로 데이터를 전달한다. 메인 메모리(1404)에 의해 수신된 명령어들은 프로세서(1403)에 의한 실행 이전 또는 이후에 저장 장치(1407 또는 1408)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(1401)은 버스(1402)에 연결되어 있는 통신 인터페이스(1413)도 포함한다. 통신 인터페이스(1413)는, 예를 들어, LAN(local area network;1415) 또는 인터넷과 같은 다른 통신 네트워크(1416)에 접속되는 네트워크 링크(1414)로의 양방향 데이터 통신 연결을 제공한다. 예를 들어, 통신 인터페이스(1413)는 임의의 패킷 스위치형 LAN에 부착하기 위한 네트워크 인터페이스 카드일 수 있다. 다른 예로서, 통신 인터페이스(1413)는 대응되는 유형의 통신 라인으로의 데이터 통신 접속을 제공하기 위한 ADSL(asymmetrical digital subscriber line) 카드, ISDN(integrated services digital network) 카드 또는 모뎀일 수 있다. 무선 링크들이 구현될 수도 있다. 임의의 이러한 구현에서, 통신 인터페이스(1413)는 정보의 다양한 유형들을 표현하는 디지털 데이터 스트림들을 전달하는 전자, 전자기 또는 광학 신호들을 송수신한다.
네트워크 링크(1414)는 통상적으로 하나 이상의 네트워크들을 통해 다른 데이터 장치들에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(1414)는 로컬 네트워크(1415;예를 들어, LAN)를 통해 또는, 통신 네트워크(1416)를 통해 통신 서비스들을 제공하는 서비스 제공자에 의해 조작되는 장비를 통해 다른 컴퓨터로의 접속을 제공할 수도 있다. 로컬 네트워크(1414) 및 통신 네트워크(1416)는 디지털 데이터 스트림들을 전달하는, 예를 들어, 전기, 전자기, 또는 광학 신호들 및 연관된 물리 계층(예를 들어, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 컴퓨터 시스템(1401)으로 그리고 컴퓨터 시스템(1401)으로부터 디지털 데이터를 전달하는, 다양한 네트워크들을 통과하는 신호들 및 네트워크 링크(1414)에서 통신 인터페이스(1413)를 통과하는 신호들은 기저 대역 신호들 또는 반송파 기반 신호들로 구현될 수 있다. 기저 대역 신호들은 디지털 데이터를 디지털 데이터 비트들의 스트림을 기술하는 비변조 전기 펄스들로서 전달하는데, 여기에서, "비트들"이라는 용어는 심볼을 의미하는 것으로 광범위하게 해석되어야 하고, 각각의 심볼은 적어도 하나 이상의 정보 비트들을 전달한다. 디지털 데이터는, 전도 매체들을 통해 전파되거나 전파 매체를 통해 전자파로서 전송되는 진폭, 위상 및/또는 주파수 편이 방식 신호들을 사용하는 것과 같이, 반송파를 변조하는데 사용될 수도 있다. 이와 같이, 디지털 데이터는 "유선" 통신 채널을 통해 비변조 기저 대역 데이터로서 송신되거나 그리고/또는, 반송파를 변조하는 것에 의해, 기저 대역과는 상이한 소정의 주파수 대역내에서 송신될 수 있다. 컴퓨터 시스템(1401)은, 네트워크(들)(1415 및 1416), 네트워크 링크(1414), 및 통신 인터페이스(1413)를 통해, 프로그램 코드를 포함하는 데이터를 송수신할 수 있다. 또한, 네트워크 링크(1414)는 LAN(1415)을 통해 PDA(personal digital assistant) 랩탑 컴퓨터 또는 셀룰러 전화기와 같은 모바일 장치(1417)로의 접속을 제공할 수도 있다.
상기 내용들의 관점에서 본 발명에 대한 다수의 변경들 및 변형들이 가능하 다. 따라서, 첨부된 청구항들의 범위내에서, 본 발명은 여기에서 구체적으로 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 예를 들어, 여기에서 설명되고 청구항들에서 언급되는 프로세스 단계들은 동시에 또는 프로세스 단계들이 여기에서 설명되거나 열거되는 시퀀스가 아닌 시퀀스로 수행될 수도 있다. 당업자라면, 후속 프로세스 단계들의 성능을 위해 필요한 프로세스 단계들은 후속 프로세스 단계가 수행되기 전에 수행되기만 하면 된다는 것을 이해할 수 있어야 한다.

Claims (110)

  1. 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법으로서,
    상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 프로세스 데이터를 입력하는 단계;
    미분 방정식들의 세트를 포함하는 제 1 원리들의 물리적 모델을 입력하는 단계로서, 상기 제 1 원리들의 물리적 모델은 상기 반도체 프로세싱 도구의 기본적인 물리적 또는 화학적 속성(attribute) 중 하나 이상을 기술하는 것인, 상기 제 1 원리들의 물리적 모델을 입력하는 단계;
    상기 수행되고 있는 실제 프로세스를 시뮬레이션하기 위하여, 상기 수행되고 있는 실제 프로세스에 관련된 상기 프로세스 데이터에 따른 가상 센서 측정치를 제공하도록, 상기 물리적 모델을 사용하여 상기 실제 프로세스를 수행하는 동안 상기 수행되고 있는 실제 프로세스에 대한 제1 원리들의 시뮬레이션을 수행하는 단계로서, 상기 제 1 원리들의 시뮬레이션의 결과는 상기 실제 프로세스가 수행되는 시간(time)보다 짧은 시간(time frame) 내에 생성되는 것인, 상기 제1 원리들의 시뮬레이션을 수행하는 단계; 및
    상기 반도체 프로세싱 도구에 의해 수행되는 상기 실제 프로세스를 용이하게 하기 위해 상기 실제 프로세스를 수행하는 동안 획득되는 상기 가상 센서 측정치를 사용하는 단계
    를 포함하는 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법.
  2. 제 1 항에 있어서, 상기 프로세스 데이터를 입력하는 단계는, 상기 반도체 프로세싱 도구상에 물리적으로 탑재되어 있는 물리적 센서 및 계측 도구 중 하나 이상으로부터 상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 데이터를 직접적으로 입력하는 단계를 포함하는 것인 방법.
  3. 제 1 항에 있어서, 상기 프로세스 데이터를 입력하는 단계는, 수동 입력 장치 및 데이터베이스 중 하나 이상으로부터 상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 데이터를 간접적으로 입력하는 단계를 포함하는 것인 방법.
  4. 제 3 항에 있어서, 상기 간접적으로 입력하는 단계는, 상기 반도체 프로세싱 도구에 의해 이전에(previously) 수행된 프로세스로부터 기록된 데이터를 입력하는 단계를 포함하는 것인 방법.
  5. 제 3 항에 있어서, 상기 간접적으로 입력하는 단계는, 시뮬레이션 오퍼레이터에 의해 설정된 데이터를 입력하는 단계를 포함하는 것인 방법.
  6. 제 1 항에 있어서, 상기 프로세스 데이터를 입력하는 단계는, 상기 반도체 프로세싱 도구 및 상기 반도체 프로세싱 도구 환경의 물리적 특징들 중 하나 이상에 관련된 데이터를 입력하는 단계를 포함하는 것인 방법.
  7. 제 1 항에 있어서, 상기 프로세스 데이터를 입력하는 단계는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스의 특징 및 결과 중 하나 이상에 관련된 데이터를 입력하는 단계를 포함하는 것인 방법.
  8. 제 1 항에 있어서, 상기 제 1 원리들의 물리적 모델을 입력하는 단계는, 상기 반도체 프로세싱 도구의 기하학적 구조에 대해 공간적 분해 모델(spatially resolved model)을 입력하는 단계를 포함하는 것인 방법.
  9. 제 1 항에 있어서, 상기 제 1 원리들의 물리적 모델을 입력하는 단계는, 가상 센서 판독치를 획득하기 위해 제 1 원리들의 시뮬레이션을 수행하는데 필요한 기본 방정식들을 입력하는 단계를 포함하는 것인 방법.
  10. 제 1 항에 있어서, 상기 제 1 원리들의 시뮬레이션을 수행하는 단계는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스와 동시적으로 제 1 원리들의 시뮬레이션을 수행하는 단계를 포함하는 것인 방법.
  11. 제 10 항에 있어서,
    상기 반도체 프로세스 동안 상기 물리적 센서 또는 계측 도구로부터의 데이터를 반복적으로 업데이트하는 단계;
    상기 반도체 프로세스 동안 상기 업데이트된 데이터를 사용하여 상기 제 1 원리들의 시뮬레이션을 반복적으로 수행하는 단계; 및
    상기 반도체 프로세스 동안에 획득되는 가상 센서 측정치들에 기초하여, 상기 반도체 프로세스를 실행하는 것과 동시에 상기 반도체 프로세스를 용이하게 하는 단계
    를 더 포함하는 방법.
  12. 제 10 항에 있어서,
    상기 반도체 프로세스를 시작하기 전에 상기 제 1 원리들의 시뮬레이션을 위한 경계 조건들을 설정하는 단계;
    상기 반도체 프로세스 동안 그리고 상기 반도체 프로세스로부터의 직접적인 입력없이, 상기 반도체 프로세스의 시간 의존적인 시뮬레이션을 수행하는 단계; 및
    상기 반도체 프로세스 동안에 획득되는 가상 센서 측정치들에 기초하여, 상기 반도체 프로세스를 실행하는 것과 동시에 상기 반도체 프로세스를 용이하게 하는 단계
    를 더 포함하는 방법.
  13. 제 1 항에 있어서, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스와 비동시적으로 제 1 원리들의 시뮬레이션을 수행하는 단계를 더 포함하는 방법.
  14. 제 13 항에 있어서, 상기 프로세스 데이터를 입력하는 단계는, 이전에 수행된 프로세스로부터 기록된 상기 제 1 원리들의 시뮬레이션에 대한 초기 및 경계 조건들 중 하나 이상을 입력하는 단계를 포함하는 것인 방법.
  15. 제 3 항에 있어서, 상기 간접적으로 입력하는 단계는, 상기 물리적 모델에 대해 가장 잘 공지된 입력 파라미터들(best known input parameters)을 입력하는 단계를 포함하는 것인 방법.
  16. 제 15 항에 있어서,
    상기 가상 센서 측정치들을 실제 센서 측정치들과 비교하는 단계; 및
    상기 가상 센서 측정치들과 상기 실제 센서 측정치들간의 보다 양호한 일치를 획득하기 위해, 상기 가장 잘 공지된 입력 파라미터들 및 상기 물리적 모델 중 하나 이상을 정제(refining)하는 단계
    를 더 포함하는 방법.
  17. 삭제
  18. 제 1 항에 있어서, 상기 가상 센서 측정치를 사용하는 단계는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하기 위해 상기 가상 센서 측정치를 사용하는 단계를 포함하는 것인 방법.
  19. 제 1 항에 있어서, 상기 가상 센서 측정치를 사용하는 단계는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스에서의 오류를 검출하기 위해 상기 가상 센서 측정치를 사용하는 단계를 포함하는 것인 방법.
  20. 제 1 항에 있어서, 제 1 원리들의 시뮬레이션에서의 차후의(subsequent) 사용을 위해 상기 가상 센서 측정치를 라이브러리에 저장하는 단계를 더 포함하는 방법.
  21. 제 1 항에 있어서, 제 1 항에 기재된 상기 제1 원리들의 시뮬레이션을 수행하기 위해, 반도체 장치 제조 설비 내부의 상호 접속된 리소스들의 네트워크를 사용하는 단계를 더 포함하는 방법.
  22. 제 21 항에 있어서, 상기 제 1 원리들의 시뮬레이션의 계산 부하를 분담하기 위해, 상호 접속된 계산 리소스들 사이에서 코드 병렬화(code parallelization)를 사용하는 단계를 더 포함하는 방법.
  23. 제 21 항에 있어서, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해, 상호 접속된 리소스들 사이에서 시뮬레이션 정보를 공유하는 단계를 더 포함하는 방법.
  24. 제 23 항에 있어서, 상기 시뮬레이션 정보를 공유하는 단계는, 상이한 리소스들에 의한 유사한 제 1 원리들의 시뮬레이션들의 중복(redundant) 실행을 감소시키기 위해, 상기 상호 접속된 리소스들 사이에서 시뮬레이션 결과들을 분배하는 단계를 포함하는 것인 방법.
  25. 제 23 항에 있어서, 상기 시뮬레이션 정보를 공유하는 단계는, 상이한 리소스들에 의한 제 1 원리들의 시뮬레이션들의 중복 정제들(redundant refinement)을 감소시키기 위해, 상기 상호 접속된 리소스들 사이에서 모델 변화들을 분배하는 단계를 포함하는 것인 방법.
  26. 제 1 항에 있어서, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해, WAN(wide area network)을 경유하여 원격 리소스들을 사용하는 단계를 더 포함하는 방법.
  27. 제 26 항에 있어서, 상기 원격 리소스들을 사용하는 단계는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해, WAN을 경유하여 원격 계산 및 저장 리소스들 중 하나 이상을 사용하는 단계를 포함하는 것인 방법.
  28. 프로세스를 수행하도록 구성되어 있는 반도체 프로세싱 도구;
    상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 프로세스 데이터를 입력하도록 구성되어 있는 입력 장치; 및
    제 1 원리들의 시뮬레이션 프로세서로서,
    미분 방정식들의 세트를 포함하고, 상기 반도체 프로세싱 도구의 기본적인 물리적 또는 화학적 속성 중 하나 이상을 기술하는 제 1 원리들의 물리적 모델을 입력하고;
    상기 수행되고 있는 실제 프로세스를 시뮬레이션하여 상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 가상 센서 측정치를 제공하기 위해, 상기 수행되고 있는 실제 프로세스에 관련된 상기 프로세스 데이터에 따른 상기 제 1 원리들의 시뮬레이션의 결과를 제공하도록, 상기 물리적 모델을 사용하여 상기 실제 프로세스를 수행하는 동안 상기 수행되고 있는 실제 프로세스에 대한 제 1 원리들의 시뮬레이션을 수행하도록 구성되며,
    상기 실제 프로세스를 수행하는 동안 획득되는 상기 가상 센서 측정치는 상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스를 용이하게 하는데 사용되고, 상기 제 1 원리들의 시뮬레이션의 결과는 상기 실제 프로세스가 수행되고 있는 시간보다 짧은 시간 내에 생성되는 것인, 상기 제 1 원리들의 시뮬레이션 프로세서
    를 포함하는 시스템.
  29. 제 28 항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 도구상에 물리적으로 탑재되어 있는 물리적 센서 및 계측 도구 중 하나 이상을 포함하는 것인 시스템.
  30. 제 28 항에 있어서, 상기 입력 장치는 수동 입력 장치 및 데이터베이스 중 하나 이상을 포함하는 것인 시스템.
  31. 제 30 항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 도구에 의해 이전에 수행된 프로세스로부터 기록된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  32. 제 30 항에 있어서, 상기 입력 장치는 시뮬레이션 오퍼레이터에 의해 설정된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  33. 제 28 항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 도구 및 상기 반도체 프로세싱 도구 환경의 물리적 특징들 중 하나 이상에 관련된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  34. 제 28 항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스의 특징 및 결과 중 하나 이상에 관련된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  35. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는 상기 반도체 프로세싱 도구의 기하학적 구조에 대한 공간적 분해 모델을 포함하는 제 1 원리들의 물리적 모델을 입력하도록 구성되어 있는 것인 시스템.
  36. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는 가상 센서 판독치를 획득하기 위해 제 1 원리들의 시뮬레이션을 수행하는데 필요한 기본 방정식들을 포함하는 제 1 원리들의 물리적 모델을 입력하도록 구성되어 있는 것인 시스템.
  37. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스와 동시적으로 상기 제 1 원리들의 시뮬레이션을 수행하도록 구성되어 있는 것인 시스템.
  38. 제 37 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는,
    상기 반도체 프로세스 동안 상기 물리적 센서 또는 계측 도구로부터의 데이터를 반복적으로 업데이트하고;
    상기 반도체 프로세스 동안 상기 업데이트된 데이터를 사용하여 상기 제 1 원리들의 시뮬레이션을 반복적으로 수행하며, 상기 반도체 프로세스 동안에 획득되는 가상 센서 측정치들에 기초하여, 상기 반도체 프로세스를 실행하는 것과 동시에 상기 반도체 프로세스가 용이화되도록
    더 구성되는 것인 시스템.
  39. 제 37 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는,
    상기 반도체 프로세스를 시작하기 전에 상기 제 1 원리들의 시뮬레이션에 대한 경계 조건들을 설정하고;
    상기 반도체 프로세스 동안 그리고 상기 반도체 프로세스로부터의 직접적인 입력없이, 상기 반도체 프로세스의 시간 의존적인 시뮬레이션을 수행하며, 상기 반도체 프로세스 동안에 획득되는 가상 센서 측정치들에 기초하여, 상기 반도체 프로세스를 실행하는 것과 동시에 상기 반도체 프로세스가 용이화되도록
    더 구성되어 있는 것인 시스템.
  40. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스와 비동시적으로 상기 제 1 원리들의 시뮬레이션을 수행하도록 더 구성되어 있는 것인 시스템.
  41. 제 40 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는, 적어도, 이전에 수행된 프로세스로부터 기록된 상기 제 1 원리들의 시뮬레이션의 초기 및 경계 조건들 중 하나 이상을 설정하기 위한 입력 데이터를 사용함으로써, 상기 제 1 원리들의 시뮬레이션을 수행하도록 구성되어 있는 것인 시스템.
  42. 제 30 항에 있어서, 상기 입력 장치는 상기 물리적 모델에 대해 가장 잘 공지된 입력 파라미터들을 입력하도록 구성되어 있는 것인 시스템.
  43. 제 42 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는,
    상기 가상 센서 측정치들을 실제 센서 측정치들과 비교하고;
    상기 가상 센서 측정치들과 상기 실제 센서 측정치들간의 보다 양호한 일치를 획득하기 위해, 상기 가장 잘 공지된 입력 파라미터들 및 상기 물리적 모델 중 하나 이상을 정제하도록 구성되어 있는 것인 시스템.
  44. 삭제
  45. 제 28 항에 있어서, 상기 가상 센서 측정치는 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 제어하는데 사용되는 것인 시스템.
  46. 제 28 항에 있어서, 상기 가상 센서 측정치는 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스에서의 오류를 검출하는데 사용되는 것인 시스템.
  47. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는 제 1 원리들의 시뮬레이션에서의 차후의 사용을 위해 상기 가상 센서 측정치를 라이브러리에 저장하도록 더 구성되어 있는 것인 시스템.
  48. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서에 접속되고, 상기 제 1 원리들의 시뮬레이션 프로세서가 제 1 원리들의 시뮬레이션 모델을 입력하는 단계 및 제 1 원리들의 시뮬레이션을 수행하는 단계 중 하나 이상을 수행하는 것을 지원하도록 구성되어 있는, 반도체 장치 제조 설비 내부의 상호 접속된 리소스들의 네트워크를 더 포함하는 시스템.
  49. 제 48 항에 있어서, 상기 상호 접속된 리소스들의 네트워크는, 상기 제 1 원리들의 시뮬레이션의 계산 부하를 분담하기 위해, 상기 프로세서와의 코드 병렬화를 사용하도록 구성되어 있는 것인 시스템.
  50. 제 48 항에 있어서, 상기 상호 접속된 리소스들의 네트워크는, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하기 위해, 상기 제 1 원리들의 시뮬레이션 프로세서와 시뮬레이션 정보를 공유하도록 구성되어 있는 것인 시스템.
  51. 제 50 항에 있어서, 상기 상호 접속된 리소스들의 네트워크는, 유사한 제 1 원리들의 시뮬레이션들의 중복 실행을 감소시키기 위해, 시뮬레이션 결과들을 상기 제 1 원리들의 시뮬레이션 프로세서로 분배하도록 구성되어 있는 것인 시스템.
  52. 제 50 항에 있어서, 상기 상호 접속된 리소스들의 네트워크는, 제 1 원리들의 시뮬레이션들의 중복 정제들을 감소시키기 위해, 모델 변화들을 상기 제 1 원리들의 시뮬레이션 프로세서로 분배하도록 구성되어 있는 것인 시스템.
  53. 제 28 항에 있어서, WAN을 경유하여 상기 제 1 원리들의 시뮬레이션 프로세서에 접속되고, 상기 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하도록 구성되어 있는 원격 리소스들을 더 포함하는 시스템.
  54. 제 53 항에 있어서, 상기 원격 리소스들은 계산 및 저장 리소스들 중 하나 이상을 포함하는 것인 시스템.
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 프로세서에서의 실행을 위한 프로그램 명령들을 포함하는 컴퓨터 프로그램이 기록된 컴퓨터 판독가능 기록매체로서, 컴퓨터 시스템에 의하여 실행될 때, 상기 프로세서가,
    반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스에 관련된 프로세스 데이터를 입력하는 단계;
    미분 방정식들의 세트를 포함하는 제 1 원리들의 물리적 모델을 입력하는 단계로서, 상기 제 1 원리들의 물리적 모델은 상기 반도체 프로세싱 도구의 기본적인 물리적 또는 화학적 속성 중 하나 이상을 기술하는 것인, 상기 제 1 원리들의 물리적 모델을 입력하는 단계;
    상기 수행되고 있는 실제 프로세스를 시뮬레이션하기 위하여, 상기 수행되고 있는 실제 프로세스에 관련된 상기 프로세스 데이터에 따른 가상 센서 측정치를 제공하도록, 상기 물리적 모델을 사용하여 상기 실제 프로세스를 수행하는 동안 상기 수행되고 있는 실제 프로세스에 대한 제 1 원리들의 시뮬레이션을 수행하는 단계로서, 상기 제 1 원리들의 시뮬레이션의 결과는 상기 실제 프로세스가 수행되는 시간보다 짧은 시간 내에 생성되는 것인, 상기 제 1 원리들의 시뮬레이션을 수행하는 단계; 및
    상기 반도체 프로세싱 도구에 의해 수행되고 있는 실제 프로세스를 용이하게 하기 위해 상기 실제 프로세스를 수행하는 동안 획득되는 상기 가상 센서 측정치를 사용하는 단계
    를 수행하도록 하는 프로그램 명령들을 포함하는 컴퓨터 프로그램이 기록된 컴퓨터 판독가능한 기록매체.
  63. 제 1 항에 있어서, 상기 제 1 원리들의 시뮬레이션을 수행하는 단계는, 상기 제1 원리들의 시뮬레이션을 위해, 상기 제 1 원리들의 시뮬레이션에 대한 초기 조건들로서 공지된 해들의 재사용을 제공하는 단계를 포함하는 것인 방법.
  64. 제 28 항에 있어서, 상기 제 1 원리들의 시뮬레이션 프로세서는, 상기 제1 원리들의 시뮬레이션을 위해, 상기 제 1 원리들의 시뮬레이션에 대한 초기 조건들로서 공지된 해들의 재사용을 제공하도록 구성되는 것인 시스템.
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 삭제
  107. 삭제
  108. 삭제
  109. 삭제
  110. 삭제
KR1020067006632A 2003-09-30 2004-09-30 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체 KR101094620B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/673,501 2003-09-30
US10/673,583 2003-09-30
US10/673,501 US8014991B2 (en) 2003-09-30 2003-09-30 System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US10/673,583 US8050900B2 (en) 2003-09-30 2003-09-30 System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
PCT/US2004/028819 WO2005034185A2 (en) 2003-09-30 2004-09-30 System and method for on-tool semiconductor simulation

Publications (2)

Publication Number Publication Date
KR20070001872A KR20070001872A (ko) 2007-01-04
KR101094620B1 true KR101094620B1 (ko) 2011-12-15

Family

ID=34426369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067006632A KR101094620B1 (ko) 2003-09-30 2004-09-30 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체

Country Status (5)

Country Link
US (2) US8014991B2 (ko)
JP (1) JP5032118B2 (ko)
KR (1) KR101094620B1 (ko)
CN (1) CN100476733C (ko)
WO (1) WO2005034185A2 (ko)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US7251535B2 (en) * 2004-02-06 2007-07-31 Rockwell Automation Technologies, Inc. Location based diagnostics method and apparatus
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
TWI267012B (en) * 2004-06-03 2006-11-21 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US20060129257A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Novel method and apparatus for integrating fault detection and real-time virtual metrology in an advanced process control framework
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
US20070027669A1 (en) * 2005-07-13 2007-02-01 International Business Machines Corporation System and method for the offline development of passive simulation clients
DE102005046972A1 (de) 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7359759B2 (en) * 2005-10-31 2008-04-15 Taiwan Semiconductor Manufacturing Company Method and system for virtual metrology in semiconductor manufacturing
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP4917648B2 (ja) * 2006-10-05 2012-04-18 テレフオンアクチーボラゲット エル エム エリクソン(パブル) チャネル品質指標(cqi)値の予測方法
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
US8103990B2 (en) * 2008-02-28 2012-01-24 Arm Limited Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
JP4555881B2 (ja) * 2008-03-18 2010-10-06 株式会社日立国際電気 基板処理装置及び表示方法
US8965539B2 (en) * 2008-09-27 2015-02-24 Jda Software Group, Inc. System and method for a demand driven lean production control system
US8989879B2 (en) * 2008-09-27 2015-03-24 Jda Software Group, Inc. System and method for a demand driven lean production control system
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
WO2011002798A2 (en) * 2009-06-30 2011-01-06 Lam Research Corporation Automatic fault detection and classification in a plasma processing system and methods thereof
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
US20120084068A1 (en) * 2010-09-30 2012-04-05 University Of Utah Research Foundation Incorporation of Uncertainty in Simulation Analysis
US8938314B2 (en) * 2010-11-16 2015-01-20 International Business Machines Corporation Smart energy consumption management
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
CN103809582B (zh) * 2012-11-14 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 半导体制造工艺中控制系统仿真测试方法和系统及装置
EP2784704A1 (en) * 2013-03-26 2014-10-01 Fujitsu Limited Multi-component computational fluid dynamics simulations
WO2015049087A1 (en) 2013-10-02 2015-04-09 Asml Netherlands B.V. Methods & apparatus for obtaining diagnostic information relating to an industrial process
US9420639B2 (en) * 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
KR102238648B1 (ko) 2014-06-03 2021-04-09 삼성전자주식회사 반도체 공정 관리 시스템, 이를 포함하는 반도체 제조 시스템 및 반도체 제조 방법
TWI690009B (zh) 2015-11-20 2020-04-01 財團法人工業技術研究院 設備的故障評估方法與故障評估裝置
KR102190292B1 (ko) 2015-12-31 2020-12-14 에이에스엠엘 네델란즈 비.브이. 패터닝 공정들을 위한 측정 위치들의 선택
US10962966B2 (en) * 2016-02-11 2021-03-30 Intel Corporation Equipment process monitoring system with automatic configuration of control limits and alert zones
CN109071769B (zh) 2016-03-29 2021-04-02 旭化成株式会社 封端多异氰酸酯组合物、单液型涂覆组合物、涂膜、及涂装物品
CN108445777A (zh) * 2017-01-14 2018-08-24 费德姆技术公司 虚拟资产的数据转换
CN107807539B (zh) * 2017-10-17 2018-08-31 广东工业大学 一种玻璃深加工生产线分布式集成方法及其系统
SG11202010209PA (en) 2018-05-24 2020-12-30 Applied Materials Inc Virtual sensor for spatially resolved wafer temperature control
CN108984918B (zh) * 2018-07-20 2023-04-18 辽宁石油化工大学 一种电渣重熔自耗电极熔化速率的预测方法
WO2020105517A1 (ja) * 2018-11-21 2020-05-28 東京エレクトロン株式会社 基板処理の条件設定支援方法、基板処理システム、記憶媒体及び学習モデル
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11480868B2 (en) 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures
WO2021040706A1 (en) * 2019-08-28 2021-03-04 Siemens Aktiengesellschaft Qualitative mechanics based system modeling
IT201900015953A1 (it) * 2019-09-10 2021-03-10 Gd Spa Procedimento per la risoluzione di un malfunzionamento ignoto di almeno una parte di una macchina automatica per la produzione o l’impacchettamento di prodotti di consumo
JP7325356B2 (ja) * 2020-02-20 2023-08-14 東京エレクトロン株式会社 情報処理システム及びシミュレーション方法
US20220084842A1 (en) * 2020-09-11 2022-03-17 Applied Materials, Inc. Antifragile systems for semiconductor processing equipment using multiple special sensors and algorithms
US11989495B2 (en) * 2020-12-31 2024-05-21 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology
US20230315953A1 (en) * 2022-04-05 2023-10-05 Applied Materials, Inc. Using deep reinforcement learning for time constraint management at a manufacturing system
WO2024074673A1 (en) * 2022-10-06 2024-04-11 Evonik Operations Gmbh A computer-implemented method, a computer program and a computer for generating a digital twin for a chemical process or an apparatus or a system of a chemical plant

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176906A (ja) 1997-12-16 1999-07-02 Toshiba Corp 電子部品の製造方法、製造システム、設計方法、及び記録媒体
US20020032495A1 (en) 2000-07-12 2002-03-14 Mitsubishi Denki Kabushiki Kaisha Production management system

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5377116A (en) * 1991-07-01 1994-12-27 Valenite Inc. Method and system for designing a cutting tool
JP3001351B2 (ja) * 1993-06-24 2000-01-24 日本電気株式会社 シミュレーション方法
US5474381A (en) 1993-11-30 1995-12-12 Texas Instruments Incorporated Method for real-time semiconductor wafer temperature measurement based on a surface roughness characteristic of the wafer
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5555474A (en) 1994-12-21 1996-09-10 Integrated Process Equipment Corp. Automatic rejection of diffraction effects in thin film metrology
US5583780A (en) * 1994-12-30 1996-12-10 Kee; Robert J. Method and device for predicting wavelength dependent radiation influences in thermal systems
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US6185472B1 (en) 1995-12-28 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing apparatus, simulation method and simulator
US6628809B1 (en) * 1999-10-08 2003-09-30 Lumidigm, Inc. Apparatus and method for identification of individuals by near-infrared spectrum
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6161051A (en) * 1998-05-08 2000-12-12 Rockwell Technologies, Llc System, method and article of manufacture for utilizing external models for enterprise wide control
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
JPH11330449A (ja) 1998-05-20 1999-11-30 Toshiba Corp 半導体装置の製造方法、シミュレーション装置、シミュレーション方法、シミュレーションプログラムを記録した記録媒体、及びシミュレーション用データを記録した記録媒体
JP3660137B2 (ja) * 1998-09-25 2005-06-15 株式会社東芝 シミュレーション方法、シミュレータ、シミュレーションプログラムを記録した記録媒体および半導体装置の製造方法
US6198980B1 (en) * 1998-11-06 2001-03-06 John Costanza Institute Of Technology System and method for designing a mixed-model manufacturing process
JP2000269105A (ja) 1999-03-12 2000-09-29 Toshiba Corp プロセスシミュレータ、プロセスシミュレーション方法、デバイスシミュレータおよびデバイスシミュレーション方法
US6581029B1 (en) * 1999-05-11 2003-06-17 International Business Machines Corporation Method and system for optimizing execution of a collection of related module sequences by eliminating redundant modules
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
JP2003502771A (ja) 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6560503B1 (en) * 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6643616B1 (en) * 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
CA2404817A1 (en) * 2000-04-12 2001-10-18 Janssen Pharmaceutica N.V. Method and apparatus for detecting outliers in biological/pharmaceutical screening experiments
JP2001297955A (ja) 2000-04-14 2001-10-26 Toshiba Corp シミュレーション方法、シミュレータ及びシミュレーションプログラムを記録した記録媒体
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
CN1154045C (zh) 2000-07-25 2004-06-16 华为技术有限公司 一种跨平台的联合仿真系统
US6937967B2 (en) * 2001-02-28 2005-08-30 Tdk Corporation Method and system for finite element modeling and simulation of enhanced magnetoresistance in thin film semiconductors with metallic inclusions
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
GB2393852B (en) 2001-02-14 2005-04-13 Advanced Micro Devices Inc Method and apparatus for controlling etch selectivity
US6615098B1 (en) 2001-02-21 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for controlling a tool using a baseline control script
JP2004527117A (ja) 2001-03-23 2004-09-02 東京エレクトロン株式会社 部分最小自乗を使用したエンドポイント検知方法と装置
JP3993396B2 (ja) 2001-03-30 2007-10-17 株式会社東芝 半導体装置の製造方法
US6802045B1 (en) * 2001-04-19 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for incorporating control simulation environment
JP2002367875A (ja) 2001-06-07 2002-12-20 Matsushita Electric Ind Co Ltd プロセス工程管理システムおよびプロセス工程管理方法
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6763277B1 (en) * 2001-07-16 2004-07-13 Advanced Micro Devices, Inc. Method and apparatus for proactive dispatch system to improve line balancing
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US20030101251A1 (en) * 2001-11-27 2003-05-29 Varros Telecom Customizable element management system and method using element modeling and protocol adapters
AU2002359577A1 (en) * 2001-12-04 2003-06-17 Ravi Shankar Method of concurrent visualization of process module outputs
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
WO2003058515A1 (en) * 2001-12-28 2003-07-17 Electro Scientific Industries, Inc. Method for automatically defining a part model
AU2002364719A1 (en) 2001-12-31 2003-07-24 Tokyo Electron Limited Method of fault detection for material process system
DE10297636B4 (de) 2002-01-10 2008-05-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern von Prozessanlagen in einer Halbleiterfertigungsfabrik ####
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6905895B1 (en) * 2002-06-28 2005-06-14 Advanced Micro Devices, Inc. Predicting process excursions based upon tool state variables
JP2004094738A (ja) * 2002-09-02 2004-03-25 Toshiba Corp 分散型シミュレーションシステム
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
US6893800B2 (en) 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US7752099B2 (en) * 2002-10-17 2010-07-06 Itg Software Solutions, Inc. Factor risk model based system, method, and computer program product for generating risk forecasts
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7047095B2 (en) 2002-12-06 2006-05-16 Tokyo Electron Limited Process control system and process control method
US7333871B2 (en) * 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US20050010319A1 (en) * 2003-07-09 2005-01-13 Sukesh Patel System and method for validating and visualizing APC assisted semiconductor manufacturing processes
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
JP3828104B2 (ja) * 2003-10-02 2006-10-04 株式会社東芝 模擬回路パターン評価方法、半導体集積回路の製造方法、テスト基板、及びテスト基板群
US7356377B2 (en) * 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
JP2007053166A (ja) * 2005-08-16 2007-03-01 Toshiba Corp 出来栄え予測装置、出来栄え予測方法及び半導体装置の製造方法
JP2009021378A (ja) * 2007-07-11 2009-01-29 Nec Electronics Corp 半導体集積回路の生産方法、設計方法及び設計システム
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
US8117568B2 (en) * 2008-09-25 2012-02-14 International Business Machines Corporation Apparatus, method and computer program product for fast simulation of manufacturing effects during integrated circuit design

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176906A (ja) 1997-12-16 1999-07-02 Toshiba Corp 電子部品の製造方法、製造システム、設計方法、及び記録媒体
US20020032495A1 (en) 2000-07-12 2002-03-14 Mitsubishi Denki Kabushiki Kaisha Production management system

Also Published As

Publication number Publication date
US8014991B2 (en) 2011-09-06
JP2007507890A (ja) 2007-03-29
US20050071036A1 (en) 2005-03-31
WO2005034185A3 (en) 2005-10-20
CN1860440A (zh) 2006-11-08
WO2005034185A2 (en) 2005-04-14
KR20070001872A (ko) 2007-01-04
CN100476733C (zh) 2009-04-08
JP5032118B2 (ja) 2012-09-26
US20050071039A1 (en) 2005-03-31
US8050900B2 (en) 2011-11-01

Similar Documents

Publication Publication Date Title
KR101094620B1 (ko) 반도체 프로세싱 도구에 의해 수행되는 프로세스를 용이하게 하는 방법 및 시스템, 시스템, 및 컴퓨터 판독가능한 매체
US8296687B2 (en) System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8036869B2 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8073667B2 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process
US8032348B2 (en) System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
TWI839396B (zh) 高階半導體製程優化及製造期間適應性控制
JP7137943B2 (ja) 探索装置、探索方法及びプラズマ処理装置
WO2021154747A1 (en) Performance predictors for semiconductor-manufacturing processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee