KR20220164786A - 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법 - Google Patents

스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법 Download PDF

Info

Publication number
KR20220164786A
KR20220164786A KR1020227038843A KR20227038843A KR20220164786A KR 20220164786 A KR20220164786 A KR 20220164786A KR 1020227038843 A KR1020227038843 A KR 1020227038843A KR 20227038843 A KR20227038843 A KR 20227038843A KR 20220164786 A KR20220164786 A KR 20220164786A
Authority
KR
South Korea
Prior art keywords
training data
scatterometry
process control
post
control knob
Prior art date
Application number
KR1020227038843A
Other languages
English (en)
Inventor
바락 브린골츠
랜 야코비
놈 탈
쉐이 요제브
보아즈 스터레시
오데드 코헨
Original Assignee
노바 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노바 엘티디. filed Critical 노바 엘티디.
Publication of KR20220164786A publication Critical patent/KR20220164786A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Biophysics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Biomedical Technology (AREA)
  • Automation & Control Theory (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Medical Informatics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

반도체 제조 어드밴스 프로세스 제어(APC) 시스템 및 방법이, 복수의 웨이퍼 사이트 각각에 대해, 프로세싱 단계의 구현 전에 측정된, 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트를 수신하는 단계, 프로세스 단계의 구현 후에 측정된, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트를 수신하는 단계, 그리고 상기 프로세스 단계의 구현 동안 적용된 프로세스 제어 노브 설정을 나타내는 프로세스 제어 노브 트레이닝 데이터 세트를 수신하는 단계를 포함하며, 그리고 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트 및 대응하는 프로세스 제어 노브 트레이닝 데이터에서의 변화를 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트와 연관시키는 머신 러닝 모델을 생성하여, 사전 프로세스 스캐터로메트리 데이터의 변동을 보상하기 위해 프로세스 제어 노브 설정에 대한 변경을 추천하도록 머신 러닝 모델을 트레이닝하도록 하는 단계를 포함한다.

Description

스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법
본 발명은 일반적으로 집적 회로 웨이퍼 패턴의 광학 검사 분야, 특히 실리콘 웨이퍼 제조를 위한 알고리즘에 관한 것이다.
집적 회로(IC)는 박막을 증착, 변경 및 제거하는 여러 단계를 통해 반도체 웨이퍼 상에 생성된다. 현대 반도체 제조 프로세스에는 이러한 처리 단계가 천 개 이상 포함될 수 있다. 고급 프로세스 제어(APC)는 제조의 전체 변동성을 줄이기 위해 처리 도구 설정을 최적화하는 것을 타겟으로 한다. 처리 도구 설정은 이하에서 처리 "노브"라고도 하며, 스핀온 필름, 열 산화물 성장, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 전기도금, 웨이퍼 온도, 챔버 압력, 연마 압력 등을 위한 처리 세팅을 포함하는 임의의 처리 제어 특징을 포함한다.
특정 조건 하에서, 전통적인 프로세스 제어 방법은 더 이상 반도체 제조에서 요구되는 계속 증가하는 수준의 정확도를 만족시키지 못한다. 최근 하드웨어 개발의 발전으로 인해 더 넓은 범위의 처리 노브가 도입되어, 기존 APC 솔루션을 고차원 노브 공간에 적용되는 때 단점을 갖는다. 또 다른 APC 과제는 여러 생산 라인이 여러 제조 경로를 사용할 수 있고, 시간 규모의 편차가 생산 결과의 변동성을 증가시킬 수 있다는 것이다. 머신 학습 기술을 적용하여 APC를 개선하려는 시도가 설명되었다. 예를 들어, 국제 특허 출원 WO2021/030833(Drori, 등에 의한., "Model Based Control of Wafer Non-Conformity")은 처리 파라미터 및 계측 데이터를 상관시키는 여러 유형의 신경망의 여러 생성을 설명한다.
반도체 제조의 멀티플 처리 단계는 적층 구조(“스택”)를 생성하며, 이러한 적층 구조 또는 "스택"은 일반적으로 회절 격자와 같이 광학 특성을 갖는다. 광학 임계 치수(OCD)측량학은 이들 광학 특성을 이용함에 의해 웨이퍼 상의 사이트(“웨이퍼 사이트”)에서 패턴의 임계 치수(CDs) 및 재료 특성을 측정함을 포함할 수 있다. (이하, CD 및 재료 특성을 "패턴 파라미터" 또는 간단히 "파라미터"라고도 한다.) CD에는 스택의 높이, 너비 및 피치가 포함될 수 있다. 디시트(Dixit) 등이 설명하는 바, 본원 명세서에서 참조로 포함된 "Mueller 매트릭스 분광 타원 측정법 기반 광학 임계 치수 계측을 사용한 28nm 피치 실리콘 핀의 감도 분석 및 라인 에지 거칠기 측정" J. Micro/Nanolith. MEMS MOEMS. 14(3), 031208 (2015)에서, CD는 측벽 각도(SWA), 스페이서 너비, 스페이서 풀다운, 에피택셜 근접성, 푸팅/언더컷, 2차원(HKMG), 3차원 프로파일(FinFET) 및 라인 에지 거칠기(LER) 오버필/언더필을 포함할 수도 있다.
스캐터로메트리 데이터(본 명세서에서 "스펙트럼 데이터"로도 지칭됨)는 일반적으로 웨이퍼 사이트에서 패턴의 광학적 특성을 나타내는 반사광 복사로서 통상 획득된다. Scheiner 및 Machavariani에게 허여된 미국 특허 제6,476,920호, "패턴화된 구조의 측정을 위한 방법 및 장치"는 본 명세서에 참고로 포함되며, "광학 모델"("물리적 모델"이라고도 함)의 개발을 설명한다. 즉, 광학 모델은 반사된 방사선과 웨이퍼의 물리적 구조 사이의 관계를 정의하는 함수(즉, 알고리즘 세트)이며, 이러한 광학 모델은 알려진 패턴 파라미터 세트로부터 분광학적 테스트 동안 측정될 스캐터로메트리 데이터를 추정한다. 광학 모델은 측정된 스캐터로메트리 데이터를 기반으로 패턴 파라미터를 추정하는 역(또는 "인버스") 기능을 수행하도록 설계할 수도 있다. 광학 모델은 일반적으로 OCD 계측에서 적용되어, 웨이퍼 사이트에서의 패턴이 올바른 사양으로 제작되고 있는지 여부를 결정한다. 이하에서, 보다 일반적인 용어 "OCD 모델"은 광학 원리로부터 개발된 물리적 모델 및 당업계에 공지된 머신 학습 모델 모두를 지칭한다.
스캐터로메트리 데이터(예를 들어, 스펙트로그램)를 측정(획득)하기 위한 예시적인 스캐터로메트리 툴은 분광 타원계(SE), 분광 반사계(SR), 편광 스펙트럼 반사계뿐만 아니라 다른 광학 임계 치수(OCD) 계측 도구를 포함할 수 있다. 이러한 툴은 현재 사용 가능한 OCD 계측 시스템에 통합되어 있다. 이러한 OCD 계측 시스템 중 하나는 이스라엘 Rehovot의 Nova Measuring Instruments Ltd.로부터 상업적으로 입수할 수 있는 NOVA T600 ® Advanced OCD 계측 툴이며, 지정된 웨이퍼 사이트, 즉 "인-다이(in-die)"에 있을 수 있는 패턴 파라미터를 측정한다. 임계 치수(CD)를 측정하는 추가 방법에는 간섭계, X선 라만 분광계(XRS), X선 회절(XRD), 펌프 프로브 툴 등이 있다. 이러한 툴의 일부 예는 미국 특허 제10,161,885호, 미국 특허 제10,054,423호, 미국 특허 제9,184,102호 및 미국 특허 제10,119,925호, 그리고 PCT 특허 W02018/211505에 개시되어 있으며, 이들 모두는 출원인에게 양도되고 그 전체가 참조로 본원 명세서에 포함된다.
위에서 설명한 광학 모델에 의존하지 않는 패턴 파라미터를 측정하는 고정밀 방법에는 CD 주사 전자 현미경(CD-SEM), 원자간력 현미경(AFM), 단면 터널링 전자 현미경(TEM) 또는 X선 계측 툴과 같은 장비를 사용한 웨이퍼 측정이 포함된다. 이러한 방법은 일반적으로 광학 및 머신러닝(ML) 모델링 방법보다 비용과 시간이 많이 소요된다. 이하에서는, 이 같은 툴로 측정된 패턴 파라미터는 “참조 파라미터”로 칭하여진다.
하기에 개시되는 본 발명의 실시예는 현재의 APC 방법의 단점을 극복하는 데 도움이 된다. 본원 명세서에 포함된 배경 및 문맥 설명은 본 명세서 개시의 문맥을 일반적으로 제시하기 위한 목적으로만 제공되는 것으로 이해되어야 한다. 본 명세서 개시의 대부분은 본원발명 발명자들의 작업을 나타내며, 단순히 그러한 작업이 배경 섹션에서 설명되거나 본 명세서의 다른 곳에서 컨텍스트로 제시되기 때문에 그것이 선행 기술로 인정된다는 것을 의미하지는 않는다.
본 발명의 실시예는 반도체 제조에서 머신 학습 기반 어드밴스 프로세스 제어(APC)를 위한 시스템 및 방법을 제공하며, 이는 복수의 웨이퍼 사이트 각각에 대해, 프로세싱 단계의 구현 전에 측정된, 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트를 수신하는 단계, 프로세스 단계의 구현 후에 측정된, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트를 수신하는 단계, 그리고
상기 프로세스 단계의 구현 동안 적용된 프로세스 제어 노브 설정을 나타내는 프로세스 제어 노브 트레이닝 데이터 세트를 수신하는 단계를 포함한다. 그런 다음 머신 러닝 모델은 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트 및 대응하는 프로세스 제어 노브 트레이닝 데이터에서의 변화를 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트와 연관시켜 트레이닝될 수 있으므로, 머신 러닝 모델은 사전 프로세스 스캐터로메트리 데이터의 변동을 보상하기 위해 프로세스 제어 노브 설정에 대한 변경을 추천하도록 트레이닝 된다.
본 발명의 실시예는 머신 학습 모델을 적용하여 반도체 제조 동안 프로세스 제어 노브를 추천하는 단계를 더욱 포함할 수 있다.
또 다른 실시예에서, 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트는 광학 모델에 의해 하나 이상의 타겟, 포스트 프로세스 패턴 파라미터와 상관될 수 있다. 추가로 또는 대안적으로, 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트는 제2 머신 학습 모델에 의해 하나 이상의 타겟, 포스트 프로세스 패턴 파라미터와 상관될 수 있다.
프로세스 제어 노브 설정은 프로세스 단계의 지속 시간, 페데스탈 에지 링의 높이, 페데스탈의 다중 제어 구역에 대한 온도 분포, 및 프로세스 챔버 압력 중 하나 이상에 대한 설정을 포함할 수 있다.
상기 스캐터로메트리 데이터의 사전 프로세스 및 포스트 프로세스 세트는 임계 치수, 피처 깊이(feature depth), 피처 높이 및 피처 피치 중 하나 이상을 포함하여, 웨이퍼 사이트 각각에서 하나 이상의 패턴 파라미터를 나타낼 수 있다.
상기 프로세스 단계는 증착, 에칭 또는 폴리싱 작업 중 하나 이상일 수 있다.
머신 학습 모델을 생성하는 것은 병목 현상 잠재 층으로 이어지며, 이는 차례로 적어도 하나의 디코더 층으로 이어지는 다중 인코더 층을 포함하는 신경망(NN)을 트레이닝함을 포함할 수 있으며, 여기서 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트가 모델 입력으로서 적용되고, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트-프로세스 세트는 모델 출력으로서 적용되며, 다중 프로세스 제어 노브 트레이닝 데이터는 다중 인코더 층 중 임의의 하나에서 NN을 인터섹트하는 보조 입력으로서 적용되고, 상기 다중 프로세스 제어 노브 트레이닝 데이터는 적어도 하나의 디코더 층 중 어느 하나에 연결된 보조 출력으로 적용된다.
상기 NN의 역 전파를 위한 손실 함수는 NN의 출력과 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트 간의 유사성을 최대화하도록 구성될 수 있다. 이 같은 손실 함수는 제곱 오차 손실 함수일 수 있다. 상기 머신 학습 모델은 또한 예측된 포스트 프로세스 패턴 파라미터에 대한 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트의 보정(캘리브레이션)하는 NN에 이어지는 보정 단계를 또한 포함할 수 있다. 이 같은 보정은 OCD 모델에 의해 수행될 수 있다.
추가 실시예에서, 머신 학습 모델의 최적화 단계는 타겟, 포스트 프로세스 패턴 파라미터와 예측된 포스트 프로세스 패턴 파라미터 사이의 차이를 최소화함을 포함할 수 있다.
상기 NN개의 보조 출력의 역 전파에 대한 손실 함수는 보조 출력과 프로세스 노브 트레이닝 데이터 사이의 유사성 품질을 표현할 수 있다. 이 같은 손실 함수는 제곱 오차 손실 함수이다.
추가 실시예에서, 머신 학습 모델을 생성하는 단계는, 잠재 변수를 생성하기 위해 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트와 대응하는 프로세스 제어 노브 트레이닝 데이터 세트 사이의 최대 공분산을 결정하는 단계; 상기 포스트 프로세스 스캐터로메트리 트레이닝 데이터의 변화에 대한 상기 프로세스 제어 노브 트레이닝 데이터의 변화 기여도를 나타내는 대응하는 잔차(residuals)를 생성하기 위해, 상기 잠재 변수로부터 상기 프로세스 제어 노브 트레이닝 데이터를 감산하는 단계; 사전 프로세스 스캐터로메트리 데이터의 변동에 대한 노브 값 추정기(estimators)를 결정하기 위해, 사전 프로세스 스캐터로메트리 데이터 세트를 대응하는 잔차로 보정하는 단계; 그리고 노브 값 추정기로부터 프로세스 제어 노브 권장 사항을 결정하기 위해, 머신 학습 모델을 최적화하는 단계를 포함한다.
일부 실시예에서, 다중 웨이퍼 사이트는 다중 웨이퍼 상에 위치한다. 상기 사전 프로세스 및 포스트 프로세스 스캐터로메트리 트레이닝 데이터의 다중 세트는 또한 2개 이상의 측정 채널에 의해 측정될 수 있다.
본 발명의 다양한 실시 예의 더 나은 이해를 위해 그리고 그것이 어떻게 실행될 수 있는지를 보여주기 위해, 예로서 첨부 도면을 참조한다. 본 발명의 구조적 세부사항은 본 발명의 기본적인 이해를 제공하기 위해 도시되어 있으며, 도면과 함께 취해진 설명은 본 발명의 여러 형태가 실제로 구현될 수 있는 방법을 당업자에게 명백하게 한다.
도 1은 본 발명의 일 실시 예에 따른, 셀프-감독 대표 학습에 의해 머신 학습 기반 APC를 위한 시스템의 개략도이다.
도 2는 본 발명의 일 실시 예에 따른, 셀프-감독 대표 학습에 의해 머신 학습 기반 APC를 위한 프로세스를 도시하는 흐름도이다.
도 3 - 6은 본 발명의 일 실시 예에 따른, 머신 학습 모델을 트레이닝하고 적용하는 프로세스의 개략도이다.
본 발명의 실시예는 반도체 제조의 APC(Advanced Process Control)를 위한 머신 학습(ML) 모델을 생성하기 위한 시스템 및 방법을 제공한다. 딥 러닝(DL) 알고리즘을 포함한 머신 학습(ML)은 APC 및 계측 시스템 설계에서 잠재적으로 강력한 툴이다. 이러한 알고리즘은 다차원 공간에 적합하며 프로세스가 필요하면 자동으로 업데이트할 수 있다. 그러나 모든 데이터 기반 제어 시스템의 성공은 트레이닝을 위한 정확한 데이터의 가용성에 달려 있다. 반도체 제조에서 위에서 설명한 "참조 파라미터"는 값비싼 자원이다. 이하, 이러한 참조 파라미터에 의존하지 않고 ML 및 DL 기술을 용이하게 하는 APC를 위한 방법이 설명된다.
도 1은 본 발명의 실시예에 따른 APC(Advanced Process Control)를 포함하는 반도체 제조용 시스템(10)의 개략도이다. APC의 타겟은 웨이퍼의 사이트("웨이퍼 사이트")에서 제조된 파라미터의 변동을 줄이는 것이다.
시스템(10)은 웨이퍼(12)의 생산 및 모니터링을 위한 생산 라인일 수 있다. 웨이퍼(12)는 웨이퍼 사이트(14)에서 생산될 수 있으며, 이러한 웨이퍼 사이트는 임계치, 특징 깊이, 특징 높이, 특징 피치뿐만 아니라 상기 배경기술에서 설명된 다른 파라미터와 같은, 파라미터를 갖는다. 일반적으로 웨이퍼에는 동일한 패턴을 갖도록 설계된 다중 사이트, 또는 “다이들”이 있다(즉, 모든 패턴을 제조하기 위해 동일한 패턴 디자인이 사용됨). 일반적으로 웨이퍼 사이트(14) 각각에 대해 여러 패턴 파라미터를 측정할 수 있다. 이하에서는, 이 같은 다중 파라미터들의 세트가 또한 패턴 벡터 vector
Figure pct00001
로 지칭되며, 상기 벡터 요소 각각이 여러 파라미터(CD) 중 하나 일 수 있다. .
상기 시스템(10)은 프로세스 조건을 제어하는, 프로세스 제어 노브 설정(16)으로 표시된 광범위 프로세스 제어 “툴” 을 포함할 수 있다. 프로세스 제어 노브 설정(본 명세서에서 "노브 설정" 또는 "노브 값"으로도 지칭됨)은 예를 들어 처리 중인 웨이퍼가 장착되는 받침대(18)에 대한 온도 분포를 제어할 수 있다. 처리 단계의 지속 시간, 받침대 에지 링의 높이, 받침대의 다중 제어 구역에 걸친 온도 분포, 및 처리 챔버 압력을 포함하는 추가 처리 파라미터를 제어하기 위해 추가 노브 설정도 일반적으로 제공된다. 제조 변동은 패턴 파라미터에 약간의 변동을 일으키므로, 이러한 패턴 파라미터는 각 프로세스 단계 후에 웨이퍼 간에 그리고 단일 웨이퍼에 걸쳐 사이트에서 변한다. 아래에서 추가로 설명되는 바와 같이, 본 발명의 실시예는 웨이퍼 내 및 웨이퍼 사이의 사이트에서 파라미터의 변동을 줄이기 위해 노브 설정에 대해 이루어져야 하는 변경을 결정하기 위한 방법 및 시스템을 제공한다. 노브 설정을 변경하면 이전 프로세스 단계에 의해 발생하는 파라미터의 변동을 수정한다. 모델 트레이닝에 사용되는 데이터 세트를 풍부하게 하기 위해 설정된 노브 설정은 "실험 설계"(DOE) 노브 설정이라고 할 수 있다. 노브 설정에 대한 권장 변형이 결정되면, 이러한 권장 노브 설정은 이하
Figure pct00002
recommended . 라 한다.
시스템(10)은 미리 결정된 파장 범위의 광 빔(22)을 생성하는 광원(20)을 포함한다. 광 빔(22)은 웨이퍼 사이트(14)(반사된, 또는 "스캐터된" 광(24)으로 표시됨)에서의 웨이퍼 패턴으로부터 분광광도계 검출기(26)를 향해 반사된다. 일부 구성에서, 광원 및 분광광도계 검출기는 OCD 계측 시스템(30)(예를 들어, 엘립소미터 또는 분광 광도계)에 포함된다. 계측 시스템(30)의 구성 및 작동은, 예를 들어, 미국 특허 제5,517,312호, 제 6,657,736호, 및 제 7,169,015호, 그리고 국제특허출원 공보 WO2018/211505에서 개시된 것과 같은 임의의 공지된 것일 수 있으며, 이 같은 공지 기술은 본원 출원인에게 모두 양도되며 본원 명세서에서 그 전체가 참조로 인용한다. 일반적으로 계측 시스템(30)은 대물 렌즈, 빔 스플리터 및 미러를 갖는 빔 편향기를 포함할 수 있는 광 지향 광학계와 같은 도시되지 않은 추가 구성요소를 포함한다. 이러한 시스템의 추가 구성 요소에는 이미징 렌즈, 편광 렌즈, 가변 조리개 및 모터가 포함될 수 있다. 이러한 요소의 작동은 일반적으로 I/O 장치를 포함할 수 있고 스캐터로메트리 데이터(32)(“계측 신호”라 하기도 함) 생성과 같은 데이터 처리 작업을 수행하도록 구성될 수도 있는 컴퓨터 컨트롤러에 의해 자동화된다.
계측 시스템(30)에 의해 생성된 스캐터로메트리 데이터(32)는 일반적으로 벡터 형태(예를 들어, 데이터 포인트가 상이한 광 파장으로 반사광 강도의 측정값인 스펙트로그램)로 표현될 수 있는 다양한 유형의 플롯된 데이터(34)를 포함한다. 위에서 설명한 바와 같이, 측정된 스캐터로메트리 데이터 세트들 간의 변경은 각각의 웨이퍼 사이트에서 패턴 파라미터의 차이를 나타낸다. 일반적인 OCD 계측에서, 측정되는 광의 범위는 가시광선 스펙트럼을 커버할 수 있으며, 자외선 및 적외선 영역의 파장도 포함할 수 있다.
OCD 계측을 위한 일반적인 스펙트로그램 출력에는 200~970nm의 파장 범위를 커버하는 245개의 데이터 포인트가 있을 수 있다.
계측 신호(즉, 스캐터로메트리 데이터)는 서로 다른 소스에서 발생하는 노이즈를 포함한다. 이러한 노이즈는 측정 프로세스 동안 발생하는 온도 변동 및 기압 변동뿐만 아니라, 웨이퍼 샘플 상의 주어진 웨이퍼 사이트의 위치 결정에서 광학 시스템 정렬의 변동과 같은, 그리고 제조업체에서 사용 중인 다양한 계측 시스템의 물리적 상태와 광학적 상태 간의 차이에서, 계측 시스템(30) 상태의 변동의 결과일 수 있다. 스캐터로메트리 데이터에 이러한 노이즈 소스의 존재는 결정에 영향을 미치며, 이는 결과적으로 원하는 대상 파라미터의 불일치로 이어진다.
본 발명의 실시 예에서, 머신 학습(ML) 모델링 시스템(40)으로 지칭되는 당업계에 공지된 머신 학습(ML) 툴을 포함하는 컴퓨터 시스템은 OCD 계측을 위한 ML 모델을 트레이닝하도록 구성될 수 있다. ML 모델링 시스템에 의해 사용되는 트레이닝 특성 세트(특성 입력이라고도 함)는 주어진 프로세스 단계가 구현되기 전후에 스캐터로메트리 데이터(34) 세트 및 프로세스 단계 동안 적용된 프로세스 제어 노브 설정(16)을 나타내는 데이터를 포함할 수 있다. 트레이닝 후 ML 모델은 타겟 패턴 파라미터를 달성하기 위해 프로세스 제어 노브 설정을 권장하는 데 사용된다.
프로세스 단계는 에칭, 증착 또는 폴리싱과 같은 웨이퍼 패턴에 영향을 미치는 임의의 유형의 자동화된 프로세싱을 포함할 수 있다. 추가 실시예에서, "프로세스 단계"라는 용어는 독립적인 노브 설정을 갖는 다중 하위 단계를 포함할 수 있다. 노브 벡터에는 이러한 여러 하위 단계에 대한 설정이 포함될 수 있다. ML 모델링 시스템(40)은 계측 시스템(30)과 독립적으로 동작하거나 계측 시스템과 통합될 수 있다.
APC 제어 시스템은 프로세스 전 유입 변동 및 프로세스 툴 불균일성의 결과인 프로세스 후 파라미터(예를 들어, 프로세스가 완료된 후의 파라미터)의 프로세스 변동을 최소화하는 것을 타겟으로 한다. 이 같은 시스템은 프로세스 균일성과 타겟 파라미터의 달성에 영향을 미칠 수 있는 들어오는 웨이퍼 위치 변동을 보상하기 위해 제어된 툴 노브를 보정한다. 이러한 변화는 웨이퍼 레벨(다이-투-다이), 로트 레벨(웨이퍼-투-웨이퍼) 및 로트 사이(로트-2-로트)에서 발생할 수 있다. 예를 들어, CMP(Chemical Mechanical) 프로세스는 긴 반도체 제조 생산 라인 전반에 걸쳐 수십 번 반복되는 주요 프로세스 기술이다. CMP 툴은 원하는 디자인에 따라 원하는 두께를 형성하기 위해 두꺼운 층으로부터 재료를 제거한다. 많은 CMP 프로세스 단계와 여러 팹 경로가 웨이퍼 내에서 발생하며, 이는 차례로 프로세스 제어에 의해 수정되어야 한다. 유사하게, 에칭 툴은 증착 동안 추가된 유전체 또는 금속 재료를 선택적으로 제거한다. 웨이퍼 레벨 변동을 보상하려면 웨이퍼당 단일 값뿐만 아니라 노브의 전체 웨이퍼 맵을 적용할 수 있는 프로세스 툴의 기능인 웨이퍼 내부 공간 설정 노브(with-in wafer spatial setting knob)가 필요하다. 고급 식각 툴은 예를 들어 이러한 웨이퍼 내 변동을 수정하기 위한 손잡이로 사용할 수 있는 온도 설정을 통해 제어 가능성을 제공한다. 본 발명의 실시예는 예를 들어, 화학 기상 증착(CVD), 물리적 기상 증착(PVD), 전기도금, 웨이퍼 온도, 챔버 압력, 연마 압력, 포토리소그래피 등과 같은 물질 증착, 제거, 패터닝과 관련된 추가적인 반도체 제조 프로세스에 대한 제어 파라미터(예를 들어, 노브 설정)를 결정할 수 있다.
웨이퍼 사이트에서의 패턴 파라미터는 멀티-채널 계측 툴에 의해 수집된 광학 임계치(OCD) 신호에 의해 측정될 수 있다. 웨이퍼 상태의 변화와 원하는 사후 타겟 파라미터에 대한 노브 설정의 민감도와 응답을 정확하게 학습하려면, ML 모델의 트레이닝 세트가 노브 설정이 결과에 미치는 노브 세팅 영향의 범위를 캡처할 수 있는 다양한 프로세스 조건의 변화를 필요로 한다. 결과적으로, ML 모델을 트레이닝하기 위해, DOE 노브 값과 약간 다른 다양한 노브 설정을 적용하여, 한 프로세스 단계가 다양한 다른 웨이퍼에서 반복될 수 있다. 이 같은 변형은 그러한 변형의 효과를 캡쳐하기 위한 수단을 제공한다. 이하, 트레이닝 데이터를 생성하기 위해 주어진 프로세스 단계에 적용되는 노브 값 세트는
Figure pct00003
이라고 한다.
이하에서, 분광 광도계에 의해 생성된 스캐터로메트리 데이터 세트는 스캐터로메트리 벡터
Figure pct00004
로 지칭될 수 있으며, 여기서 상기 벡터의 각 요소는 스캐터로메트리 데이터의 데이터 포인트를 나타낸다.
도 2는 본 발명의 일 실시 예에 따라, 반도체 제조 APC를 위한 머신 학습 모델을 생성하기 위한 컴퓨터 구현 프로세스(200)를 도시하는 흐름도이다. 프로세스(200)는 위에서 설명된 ML 모델링 시스템(40)에 의해 구현될 수 있다. 제1 단계(214)는 머신 학습 모델을 트레이닝하기 위한 스캐터로메트리 데이터 멀티플 세트를 포함한다. 주어진 프로세스 단계 이전에 주어진 웨이퍼 패턴에서 측정된 일련의 스캐터로메트리 데이터 세트를
Figure pct00005
라고 하는 반면, 주어진 프로세스 단계 후에 동일한 웨이퍼 패턴으로부터 측정된 스캐터로메트리 데이터 세트 각각을
Figure pct00006
라고 한다. 일반적으로 후속 머신 학습 트레이닝을 효과적으로 구현하기 위해 많은 수의
Figure pct00007
및 상응하는
Figure pct00008
쌍을 획득한다. 또한, 단계(216)에서, 프로세스 제어 노브 데이터의 세트가 획득되고, 각 세트는 노브 벡터
Figure pct00009
로 표시된다. 프로세스 제어 노브 데이터 각 세트는 데이터 세트
Figure pct00010
Figure pct00011
쌍을 생성하기 위해 측정된 웨이퍼 패턴에서 구현된 주어진 프로세스 단계 동안 사용된 하나 이상의 제어 파라미터를 나타낸다.
다음으로, 단계(220)에서, 머신 학습 모델은
Figure pct00012
,
Figure pct00013
Figure pct00014
의 데이터 세트로 학습되어서, 사전 프로세스 스캐터로메트리 데이터가 웨이퍼 패턴 파라미터의 변화를 나타내는 때, 적절한 노브 설정(즉,
Figure pct00015
)을 권장하기 위한 모델을 생성하도록 한다. 노브 설정을 변경함으로써, 웨이퍼 패턴이 덜 가변적으로 제조될 수 있다. 아래에 설명된 것처럼 여러 유형의 머신 학습 모델이 이 같은 목적을 달성하는 데 효과적일 수 있다.
단계(220)에서, 포스트 프로세스패턴 파라미터의 변동을 줄이기 위해, 대응하는 권장 노브 설정
Figure pct00016
를 생성하도록, 측정된
Figure pct00017
를 입력함에 의해, 머신 학습 모델이 적용될 수 있다.
도 3은 예시적인 머신 학습 모델(300)의 개략도를 도시한다. 이 모델에서, 노브 설정의 효과(또는 "시그니처")는 먼저 포스트 프로세스스캐터로메트리 데이터 내에서 다른 소스의 가변성으로부터 먼저 분리, 즉 고립된다. 이와 같은 분리를 달성하기 위해, 공간 간의 상관 또는 공분산(covariance) 측정을 최적화하는 ML 기술이 사용될 수 있다. 예를 들어, 부분 최소 제곱(PLS) 알고리즘은 두 공간 데이터 세트 내에서 구성 요소 쌍을 찾고, 이들 간의 공분산의 최대 비율을 결정한다. 두 공간을 X로 설정된 포스트 프로세스스캐터로메트리 데이터로서 설정하고, Y로 설정된
Figure pct00018
로 설정한다. 최대 공분산 원리에 따라 X와 Y는 단계(310)로 표시된 잠재 변수로 분해된다
그 다음, 단계(320)는 "총 유효 노브"를 나타내기 위해 이 같은 잠재 공간 순위 1 변수를 추출한다.
노브 설정(DOE 값을 기반으로 함)이 타겟 출력을 결정하는 주요 요인이라고 가정하면, 단계(330)에서 노브 설정을 "총 유효 노브"(일반적으로 벡터로 표시됨)에서 뺄 수 있다. 이 같은 뺄셈의 결과는 타겟 파라미터에 대한 노브 설정의 잔여 기여도를 나타낸다. 그 결과 "잔여" 유효 노브가 생성되며, 이는 다음 단계(340)에서 사용될 수 있으며, 여기서 사전 프로세스 스캐터로메트리 데이터는 이 같은 잔여(residual)로 보정된다. 그 결과는 유입되는 변동(즉,
Figure pct00019
의 변동)을 나타내는 노브 값을 예측할 수 있는 트레이닝된 추정기이며, 따라서 이러한 변동을 보상하는 데 사용할 수 있다.
도 4a 및 4b는 APC에 대한 ML 모델을 트레이닝하고 적용하는 프로세스의 개략도를 도시한다. 도 4a에 도시된 바와 같이, 트레이닝 프로세스(400)는 예시적인 ML 모델(410)을 트레이닝하기 위해 적용된다. 도시된 ML 모델(410)은 웨이퍼 생산 동안 추론 프로세스(450)에서 도 4b에 도시된 바와 같이 후속적으로 적용된다. 도시된 ML 모델(410)은 본 발명의 실시예에 따른, 심층 신경망, 특히 인코더-디코더 모델(410)에 기초할 수 있는 비지도 학습, APC 머신 학습 모델을 나타낸다. 도 4a에 도시된 바와 같이, 이러한 네트워크(410)의 구조는 인코더 섹션(420), "병목"(BN) 중간 섹션(425), 및 디코더 섹션(430)으로서 개략적으로 예시된 3개의 섹션으로 구성될 수 있다. 인코더(420)는 사전 프로세스 스펙트럼(즉, 사전 프로세스 스캐터로메트리 데이터) 차원을 잠재 구조로 압축하고, 그리고 디코더(430)는 잠재 구조를 포스트 프로세스 스펙트럼으로 압축 해제한다.
네트워크의 압축된 중간 섹션(425)("BN"으로 표시되는 "병목")은 일반적으로 적어도 2개의 층을 포함한다: 사사전 프로세스 감소된 차원을 나타내는 층, 및 포스트 프로세스 스펙트럼 차원을 나타내는 층. 이 두개의 층 사이의 층들은 두 잠재 공간 간의 신경망 처리 전송을 나타낸다.
메인 네트워크 외에도, 보조 입력은 프로세스 제어 노브 설정
Figure pct00020
으로 구성된다. 이 같은 입력은 인코더의 모든 층에서 주 네트워크와 교차할 수 있다(예를 들면, 도면에서 인코더 끝에서 교차하고 있다). 주 네트워크에 대한 두 번째 에디션은 중간 섹션 내의 포스트 잠재 층에 연결된 보조 출력이다.
ML 모델(410)의 트레이닝은 이중 손실 함수를 사용한다. 제1 손실 함수(440)는 재구성되거나 예측된 스펙트럼
Figure pct00021
로 표시된 메인 네트워크 출력과 측정된 포스트 처리 스캐터로메트리 데이터,
Figure pct00022
사이의 유사성(similarity) 품질을 나타내는 스펙트럼 손실이다. 이 같은 "리젬블런스(유사성)"는 예를 들어 평균 제곱 오차 손실 함수에 의해 측정될 수 있다.
제2 손실 함수(445)는 타겟 파라미터 노브 설정으로 표시된 보조 출력과 구현된 프로세스 제어 노브 설정,
Figure pct00023
을 일치시킨다. 즉, 제2 손실 함수(445)는 보조 출력과 프로세스 노브 트레이닝 데이터 간의 유사성 품질을 나타낼 수 있다.
네트워크를 트레이닝하는 동안, 결합된 손실 함수는 스펙트럼과 노브 손실 항을 모두 효과적으로 최소화한다. 프로세스 노브와 네트워크의 보조 출력 "뉴런(신경)" 간의 성공적인 연결을 설정하려면, 트레이닝 세트가 잘 제어된 노브와 프로세스 노브 값의 설계된 바이어스를 사용하여 유입되는 변동의 설계 향상을 포함해야 한다. 이러한 노브 세팅의 변화는
Figure pct00024
이라는 용어로 표시된다.
네트워크가 성공적으로 트레이닝된 후, 도 4b의 프로세스(450)에 표시된 바와 같이 트레이닝된 ML 모델(410)을 적용하여 이들 샘플 타겟의 변동을 줄이기 위해 웨이퍼 패턴의 후속적으로 처리된 샘플에 대한 권장 노브 값을 찾도록 한다. 프로세스를 요약하면, ML 트레이닝에는 공칭 타겟 보조 뉴런 값을 결정하고, 웨이퍼 패턴 각각에 대해 보조 입력 노브 값의 함수로서 보조 뉴런 값을 결정하는 것이 포함된다. 이후 웨이퍼 패턴 각각에 대해 원하는 타겟 파라미터를 만족시키는 노브 값이 추천된다.
도 5는 프로세스 단계 전후에 웨이퍼 패턴의 표현을 직접 변환한 다음, 포스트 프로세스 신호(즉, 스캐터로메트리 데이터,
Figure pct00025
)를 타겟(제어된) 파라미터,
Figure pct00026
로 캘리브레이트하는 머신 학습 모델(500)을 트레이닝하는 처리를 도시한다. 상기 모델(500)은 인코더-디코더 신경망(510)을 포함하는데, 인코더-디코더 신경망(510)은 사전 프로세스 신호(즉, 사전 프로세스 스캐터로메트리 데이터)의 차원을 압축하는 인코더 층(520), 병목 층(540), 및 디코더 층(550)을 포함한다. 상기 입력 층은 노브 설정
Figure pct00027
과 함께 사전 프로세스 신호를 수신한다. 이들 두 입력은 다양한 방식으로 결합될 수 있지만, 일반적으로 연결된다. (도 4와 관련하여 위에서 설명한 것처럼 노브 설정
Figure pct00028
은 인코더의 내부 층에도 주입될 수 있다.) 인코더는 입력을 예를 들어 완전히 연결된 층, 또는 컨볼루션 및/또는 풀링 층에 의해, 최적으로 감소된 병목 현상으로 변환한다. 디코더는 차례로 모든 심층 네트워크 계층 세트를 통해 병목 계층의 표현을 확장한다(일반적으로 인코더와 대칭이지만 반드시 그런 것은 아님). 출력은 포스트 프로세스 스캐터로메트리 데이터 세트,
Figure pct00029
로 설정된다. 손실 함수(540)는 네트워크에 의해 예측된 스캐터로메트리 데이터와 포스트 프로세스스캐터로메트리 데이터 한 측정된 세트 간의 차이로서 설정될 수 있다.
ML(500)의 두 번째 부분은 제어될 웨이퍼 파라미터에 대한 네트워크 출력(포스트 프로세스스캐터로메트리 데이터)의 캘리브레이션(보정)을 의미하는 계측 해석 함수이다. 일반적으로 OCD 모델(570)에 의한 이 같은 캘리브레이션(보정)은 두 번째 손실 항을 사용하고 지정된 데이터를 사용한다. 제2 손실 항(545)은 예측된 파라미터(OCD 모델(570)의 출력)와 측정된 타겟 파라미터 간의 차이로 설정될 수 있다.
2개의 손실 항은 트레이닝 수렴 동안 기울기 방향으로 경쟁하며, 이와 같은 손실 가중치 형태의 추가 하이퍼 파라미터가 조정되어야 함을 의미한다.
도 6은 노브 추천,
Figure pct00030
recommended .을 생성하기 위한 ML 모델(500)의 프로덕션, 즉 추론을 위한 응용 프로그램을 도시한다. 모델 출력이 타겟 파라미터에 최대한 가깝게 되도록 최적화 단계가 적용될 수 있다. 그 다음 거리 메트릭 D를 최소화하여 권장 사항
Figure pct00031
recommended 이 달성될 수 있다. 메트릭 D는 1) 사전 프로세스
Figure pct00032
그리고 노브 세팅,
Figure pct00033
를 기반으로 하는 모델(아래에서
Figure pct00034
로 표시)에 의해 만들어진 패턴 파라미터 예측과, 2) 패턴 파라미터
Figure pct00035
target 의 타겟 값 간의 차이이다. 그런 다음
Figure pct00036
recommended 값은 다음 식으로 추론될 수 있다.
Figure pct00037
도 6에 도시된 바와 같이, 생산에서 ML 모델의 동작은 새로운 세트의 사전 프로세스 스캐터로메트리 데이터를 입력하는 것과 최적화를 위해 노브 입력 노드(들)를 자유롭게 유지하는 것을 포함한다. 런타임 최적화 단계는 모델의 예측된 출력 파라미터와 고정된 원하는 대상 파라미터(또는 파라미터) 간의 차이 D를 최소화하는 노브 값을 검색한다. OCD 모델(570)의 인버스(model-1(670)로 표시됨)이 적용되어 원하는 타겟 파라미터를 스캐터로메트리 벡터의 형태로 변환하도록 한다. D의 최소화를 달성하는 노브 값이 권장 노브 값이다.
ML 모델(410 또는 510)과 같은 피드-포워드 머신 학습 모델은 또한 추가 데이터 입력으로 트레이닝될 수 있으며, 이는 도구 간 변동성 및 프로세스 시간 드리프트와 같은 변화를 프로세스하기 위해 모델의 능력을 향상시킬 수 있다. 위에 제시된 방법은 여러 가능한 제조 경로와 프로세스 단계의 불균일성으로부터 비롯된 변동을 보상한다. 추가 요인은 추가 변동성을 유발하고 프로세스 제어 성능 및 안정성에 영향을 미칠 수 있다. 이러한 변화는 예를 들어 활성 프로세스 툴의 물리적 부분이 시간이 지남에 따라 부식되는 경향이 있기 때문에 툴의 일시적인 물리적 상태로부터 발생할 수 있다. 침식, 움직이는 부분, 측벽 퇴적 및 잔여물과 같은 물리적 프로세스는 모두 툴의 상태, 성능 및 소음 특성을 동적으로 만든다. 부품을 청소 및 교체하고 설정을 재보정하여 이러한 성능 저하를 줄이기 위해 정기적인 유지 관리가 수행될 수 있다. 즉, 각 제조 인스턴스의 유지 관리 주기에서 시점이 성능에 영향을 미치는 중요한 요소이다. 또한 프로세스의 각 툴/챔버는 유지 관리 지점에 대해 고유한 시간 위치에 있기 때문에, 툴 간' 변형('tool-to-tool' variation)(또는 툴 모듈 설정에 따른 '챔버 간')으로 알려져 있다. 이 시간 정보의 기록은 툴 로그 내에 보관될 수 있다. 모델 입력에서 이러한 시간 정보를 고려함으로써 이러한 시간 드리프트 및 툴 간 변동 요인이 줄을 수 있다.
이러한 변화는 CMP 프로세스에서의 폴리싱 압력과 같은, 이전 성능으로부터의 피드백에 따라 프로세스 도구 설정의 작은 수정으로 연속적으로 추적되고 프로세스될 수 있다. 이러한 "APC 설정"은 프로세스의 안정성에 따라 자주 구현될 수 있다. 간단히 말해서, 이러한 툴 레코드를 입력으로 사용하는 ML 트레이닝(즉, 사용된 프로세스 툴의 특정 인스턴스 및 유지 관리 일정)은 웨이퍼 내 및 웨이퍼 간 변형 모두에 대해 이러한 변동을 프로세스할 수 있는 ML 모델의 기능을 확장한다.
본원 명세서에서 보여지거나 설명된 처리 요소는 바람직하게는 컴퓨터 버스 또는 대체 연결 장치를 통해 연결된 컴퓨터 프로세서, 메모리, I/O 장치 및 네트워크 인터페이스를 사용하는 것과 같은 종래기술에 따라, 컴퓨터 하드웨어 및/또는 비일시적 컴퓨터 판독 가능 매체에서 구현된 컴퓨터 소프트웨어의 하나 이상의 컴퓨터에 의해 구현된다는 것을 이해해야 한다.
달리 설명되지 않는 한, "프로세서" 및 "장치"라는 용어는 예를 들어 CPU(중앙 처리 장치) 및/또는 기타 처리 회로(예를 들면, GPU)를 포함하는 것과 같은 임의의 처리 장치를 포함하도록 의도되며, 둘 이상의 처리 장치를 참조할 수 있다. 처리 장치와 관련된 다양한 요소는 다른 처리 장치에 의해 공유될 수 있다.
본 명세서에 사용된 용어 "메모리"는 예를 들어 RAM, ROM, 고정 메모리 장치(예를 들면, 하드 드라이브), 제거 가능한 메모리 장치(예를 들면, 디스켓, 테이프), 플래시 메모리 등과 같은 프로세서 또는 CPU와 관련된 메모리를 포함하도록 의도된다. 이러한 메모리는 컴퓨터 판독 가능 저장 매체로 간주될 수 있다.
또한, "입력/출력 장치" 또는 "I/O 장치"라는 문구는 처리 장치에 데이터를 입력하기 위한 하나 이상의 입력 장치(예를 들면, 키보드, 마우스, 스캐너, HUD 등) 및/또는 처리 장치와 관련된 결과를 제공하기 위한 하나 이상의 출력 장치(예를 들면, 스피커, 디스플레이, 프린터, HUD, AR, VR 등)를 포함할 수 있다.
본 발명의 실시 예는 시스템, 방법, 및/또는 컴퓨터 프로그램 제품을 포함할 수 있다. 컴퓨터 프로그램 제품은 프로세서로 하여금 본 발명의 특징을 수행하게 하기 위한 컴퓨터 판독가능 프로그램 명령을 갖는 컴퓨터 판독가능 저장 매체(또는 매체)를 포함할 수 있다.
컴퓨터 판독가능 저장 매체는 명령어 실행 장치에 의해 사용하기 위한 명령어를 보유하고 저장할 수 있는 유형의 장치일 수 있다. 컴퓨터 판독 가능 저장 매체는 예를 들어 전자 저장 장치, 자기 저장 장치, 광학 저장 장치, 전자기 저장 장치, 반도체 저장 장치, 또는 이들의 임의의 적절한 조합일 수 있지만 이들로 제한되지 않는다. 컴퓨터 판독 가능한 저장 매체의 더욱 구체적인 예의 목록은 다음을 포함하지만 완전한 목록은 아니다: 휴대용 컴퓨터 디스켓, 하드 디스크, RAM(Random Access Memory), ROM(Read-Only Memory), 지울 수 있는 프로그램 가능한 판독- 전용 메모리(EPROM 또는 플래시 메모리), 정적 랜덤 액세스 메모리(SRAM), 휴대용 컴팩트 디스크 읽기 전용 메모리(CD-ROM), 디지털 다목적 디스크(DVD), 블루-레이, 자기 테이프, 홀로그램 메모리, 메모리 스틱, 플로피 디스크, 펀치 카드 또는 명령어가 기록된 그루브 내의 융기 구조와 같은 기계적 인코딩된 장치, 및 이들의 임의의 적절한 조합. 본 명세서에 사용된 컴퓨터 판독 가능 저장 매체는 무선 전파 또는 기타 자유롭게 전파하는 전자기파, 도파관 또는 기타 전송 매체를 통해 전파하는 전자기파(예를 들면, 광섬유 케이블을 통과하는 광선 펄스) 또는 전선을 통해 전송되는 전기 신호와 같은 일시적인 신호 자체인 것으로 간주되지 않는다.
본 명세서에 기술된 컴퓨터 판독 가능 프로그램 명령은 컴퓨터 판독 가능 저장 매체로부터 각각의 컴퓨팅/처리 장치로, 또는 네트워크, 예를 들어, 인터넷, 근거리 통신망, 광역 네트워크 및/또는 무선 네트워크를 통해 외부 컴퓨터 또는 외부 저장 장치로 다운로드될 수 있다. 상기 네트워크는 구리 전송 케이블, 광 전송 섬유, 무선 전송, 라우터, 방화벽, 스위치, 게이트웨이 컴퓨터 및/또는 에지 서버를 포함할 수 있다. 컴퓨팅/처리 장치 각각의 네트워크 어댑터 카드 또는 네트워크 인터페이스는 네트워크로부터 컴퓨터 판독 가능 프로그램 명령을 수신하고 각각의 컴퓨팅/처리 장치 내의 컴퓨터 판독 가능 저장 매체 내에 저장하기 위해 컴퓨터 판독 가능 프로그램 명령을 포워드 한다.
본 발명의 동작을 수행하기 위한 컴퓨터 판독가능 프로그램 명령은 어셈블러 명령, 명령 세트 아키텍처(ISA) 명령, 머신 명령, 머신 종속 명령, 마이크로코드, 펌웨어 명령, 상태 설정 데이터, 또는 소스 코드, 또는 Java, Smalltalk, C++ 등과 같은 객체 지향 프로그래밍 언어 및 "C" 프로그래밍 언어 또는 유사한 프로그래밍 언어와 같은 기존의 절차적 프로그래밍 언어를 포함하는 하나 이상의 프로그래밍 언어의 임의의 조합으로 작성된 객체 코드 중 하나일 수 있다. 컴퓨터 판독 가능한 프로그램 명령은 사용자의 컴퓨터에서 전적으로 사용자의 컴퓨터에서, 부분적으로 사용자의 컴퓨터에서, 독립실행형 소프트웨어 패키지로서, 부분적으로 사용자의 컴퓨터에서, 부분적으로 원격 컴퓨터에서, 또는 원격 컴퓨터 또는 서버에서 전적으로 실행할 수 있다. 후자의 시나리오에서 원격 컴퓨터는 LAN(Local Area Network) 또는 WAN(Wide Area Network)을 포함한 모든 유형의 네트워크를 통해 사용자의 컴퓨터에 연결되거나, 상기 연결이 외부 컴퓨터로 이어질 수 있다(예를 들어 인터넷 서비스 공급자를 사용하여 인터넷을 통해). 일부 실시 예에서, 예를 들어 프로그램 가능 논리 회로, FPGA(field-programmable gate arrays), 또는 PLA(programmable logic arrays)를 포함하는 전자 회로는 본 발명의 특징을 수행하기 위한 전자 회로를 개인화하기 위해 컴퓨터 판독 가능 프로그램 명령의 상태 정보를 활용함으로써 컴퓨터 판독 가능 프로그램 명령을 실행할 수 있다.
본 발명의 실시 예에 따른 방법, 장치(시스템), 및 컴퓨터 프로그램 제품의 흐름도, 예시 및/또는 블록도와 관련하여 본 발명의 특징이 본원 명세서에서 설명되며, 흐름도 블록 각각 및/또는 블록도, 그리고 흐름도 및/또는 블록 도 내 블록 조합은 컴퓨터 판독 가능 프로그램 명령에 의해 구현될 수 있다.
이러한 컴퓨터 판독 가능 프로그램 명령은 범용 컴퓨터, 특수 목적 컴퓨터 또는 기타 머신을 생산하기 위한 프로그램 가능한 데이터 처리 장치의 프로세서에 제공될 수 있으며, 컴퓨터 프로세서 또는 다른 프로그램 가능한 데이터 처리 장치를 통해 실행되는 명령이, 흐름도 및/또는 블록도 블록 또는 블록들 내에 명시된 기능/동작을 구현하기 위한 수단을 생성하도록 한다. 이들 컴퓨터 판독가능 프로그램 명령은 또한 컴퓨터, 프로그램가능 데이터 처리 장치, 및/또는 특정 방식으로 작동하기 위한 다른 장치를 지시할 수 있는 컴퓨터 판독가능 저장 매체에 저장될 수 있으며, 이와 같이 저장된 명령을 갖는 컴퓨터 판독가능 저장 매체가 흐름도 및/또는 블록도 블록 또는 블록들 내에 명시된 작용/행위의 특징을 구현하는 명령을 포함하는 제조 물품을 포함한다.
컴퓨터 판독 가능 프로그램 명령은 또한 컴퓨터, 다른 프로그램 가능한 데이터 처리 장치, 또는 다른 장치에 로드(load)되어 일련의 동작 단계가 컴퓨터, 다른 프로그램 가능한 장치 또는 컴퓨터 구현 프로세스를 제공하기 위한 다른 장치에서 수행되어, 컴퓨터, 기타 프로그램 가능한 장치 또는 기타 장치에서 실행되는 명령이 흐름도 및/또는 블록도 블록 또는 블록들에 명시된 작용/동작을 구현하도록 한다.
본원 명세서에서 포함된 임의의 흐름도 및 블록도는 본 발명의 다양한 실시 예에 따른 시스템, 방법 및 컴퓨터 프로그램 제품의 가능한 구현의 아키텍처, 작용 및 동작을 예시한다. 이와 관련하여, 흐름도 또는 블록도의 각 블록은 특정 논리 작용(들)을 구현하기 위한 하나 이상의 실행 가능한 명령을 포함하는 모듈, 세그먼트, 또는 명령의 일부를 나타낼 수 있다. 일부 대안적인 구현에서, 블록에 언급된 작용은 본원 명세서에서 도시된 순서와 다르게 발생할 수 있다. 예를 들어, 연속적으로 도시된 2개의 블록은 실제로 실질적으로 동시에 실행될 수 있거나 관련된 작용에 따라 블록이 때때로 역순으로 실행될 수 있다. 또한 블록 다이어그램 및/또는 흐름도 설명의 각 블록과 블록 다이어그램 및/또는 흐름도 설명의 블록 조합은 지정된 작용 또는 동작을 수행하는 특수 목적 하드웨어 기반 시스템에 의해 구현될 수 있으며, 특수 목적 하드웨어와 컴퓨터 명령의 조합을 수행한다.
본 발명의 다양한 실시 예에 대한 설명은 예시의 목적으로 제시되었지만, 본원 명세서에서 개시된 실시 예로 완전하거나 제한되도록 의도된 것은 아니다. 본원 명세서에서 기술된 실시 예의 범위 및 사상을 벗어나지 않으면서 많은 수정 및 변형이 당업자에게 명백할 것이다. 본 명세서에서 사용된 용어는 실시 예의 원리, 시장에서 발견되는 기술에 대한 실질적인 응용 또는 기술적 개선을 가장 잘 설명하거나, 또는 당업자가 본 본원 명세서에 개시된 실시 예를 이해할 수 있게 하기 위해 선택되었다.

Claims (18)

  1. 하나 이상의 관련 비-일시적 메모리를 갖는 하나 이상의 프로세서를 포함하는 반도체 제조 어드밴스 프로세스 제어(APC) 시스템으로서, 하나 이상의 프로세서 구현 단계에 의해 수행되는 때,
    복수의 웨이퍼 사이트 각각에 대해, 프로세싱 단계의 구현 전에 측정된, 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트를 수신하는 단계, 프로세스 단계의 구현 후에 측정된, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트를 수신하는 단계, 그리고 상기 프로세스 단계의 구현 동안 적용된 프로세스 제어 노브 설정을 나타내는 프로세스 제어 노브 트레이닝 데이터 세트를 수신하는 단계를 포함하며, 그리고
    스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트 및 대응하는 프로세스 제어 노브 트레이닝 데이터에서의 변화를 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트와 연관시키는 머신 러닝 모델을 생성하여, 사전 프로세스 스캐터로메트리 데이터의 변동을 보상하기 위해 프로세스 제어 노브 설정에 대한 변경을 추천하도록 머신 러닝 모델을 트레이닝하도록 하는 단계를 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  2. 제1항에 있어서, 상기 단계가 머신 학습 모델을 적용하여 반도체 제조 동안 프로세스 제어 노브를 추천하는 단계를 더욱 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  3. 제1항에 있어서, 상기 머신 학습 모델이 제1 머신 학습 모델이며, 상기 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트는 광학 모델에 의해 하나 이상의 타겟, 포스트 프로세스 패턴 파라미터와 상관되는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  4. 제1항에 있어서, 상기 프로세스 제어 노브 설정이 프로세스 단계의 지속 시간, 페데스탈 에지 링의 높이, 페데스탈의 다중 제어 구역에 대한 온도 분포, 및 프로세스 챔버 압력 중 하나 이상에 대한 설정을 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  5. 제1항에 있어서, 상기 스캐터로메트리 데이터의 사전 프로세스 및 포스트 프로세스 세트는 임계 치수, 피처 깊이(feature depth), 피처 높이 및 피처 피치 중 하나 이상을 포함하여, 웨이퍼 사이트 각각에서 하나 이상의 패턴 파라미터를 나타내는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  6. 제1항에 있어서, 상기 프로세스 단계는 증착, 에칭 또는 폴리싱 작업 중 하나 이상인, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  7. 제1항에 있어서, 머신 학습 모델을 생성하는 것은 병목 현상 잠재 층으로 이어지며, 이는 차례로 적어도 하나의 디코더 층으로 이어지는 다중 인코더 층을 포함하는 신경망(NN)을 트레이닝함을 포함할 수 있으며, 여기서 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트가 모델 입력으로서 적용되고, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트-프로세스 세트는 모델 출력으로서 적용되며, 다중 프로세스 제어 노브 트레이닝 데이터는 다중 인코더 층 중 임의의 하나에서 NN을 인터섹트하는 보조 입력으로서 적용되고, 상기 다중 프로세스 제어 노브 트레이닝 데이터는 적어도 하나의 디코더 층 중 어느 하나에 연결된 보조 출력으로 적용되는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  8. 제7항에 있어서, 상기 NN의 역 전파를 위한 손실 함수가 NN의 출력과 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트 간의 유사성을 최대화하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  9. 제8항에 있어서, 상기 손실 함수는 제곱 오차 손실 함수인, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  10. 제7항에 있어서, 상기 머신 학습 모델은 또한 예측된 포스트 프로세스 패턴 파라미터에 대한 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트의 보정(캘리브레이션)하는 NN에 이어지는 보정 단계를 또한 포함할 수 있으며, 상기 보정은 OCD 모델에 의해 수행되는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  11. 제10항에 있어서, 머신 학습 모델의 최적화 단계는 타겟, 포스트 프로세스 패턴 파라미터와 예측된 포스트 프로세스 패턴 파라미터 사이의 차이를 최소화함을 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  12. 제7항에 있어서, 상기 NN개의 보조 출력의 역 전파에 대한 손실 함수가 보조 출력과 프로세스 노브 트레이닝 데이터 사이의 유사성 품질을 표현하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  13. 제12항에 있어서, 상기 손실 함수는 제곱 오차 손실 함수인, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  14. 제1항에 있어서, 머신 학습 모델을 생성하는 단계는, 잠재 변수를 생성하기 위해 스캐터로메트리 트레이닝 데이터의 포스트 프로세스 세트와 대응하는 프로세스 제어 노브 트레이닝 데이터 세트 사이의 최대 공분산을 결정하는 단계; 상기 포스트 프로세스 스캐터로메트리 트레이닝 데이터의 변화에 대한 상기 프로세스 제어 노브 트레이닝 데이터의 변화 기여도를 나타내는 대응하는 잔차(residuals)를 생성하기 위해, 상기 잠재 변수로부터 상기 프로세스 제어 노브 트레이닝 데이터를 감산하는 단계; 사전 프로세스 스캐터로메트리 데이터의 변동에 대한 노브 값 추정기(estimators)를 결정하기 위해, 사전 프로세스 스캐터로메트리 데이터 세트를 대응하는 잔차로 보정하는 단계; 그리고 노브 값 추정기로부터 프로세스 제어 노브 권장 사항을 결정하기 위해, 머신 학습 모델을 최적화하는 단계를 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  15. 제1항에 있어서, 상기 다중 웨이퍼 사이트는 다중 웨이퍼 상에 위치하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  16. 제1항에 있어서, 상기 사전 프로세스 및 포스트 프로세스 스캐터로메트리 트레이닝 데이터의 다중 세트는 2개 이상의 측정 채널에 의해 측정되는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 시스템.
  17. 반도체 제조 어드밴스 프로세스 제어(APC) 방법으로서
    복수의 웨이퍼 사이트 각각에 대해, 프로세싱 단계의 구현 전에 측정된, 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트를 수신하는 단계, 프로세스 단계의 구현 후에 측정된, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트를 수신하는 단계, 그리고 상기 프로세스 단계의 구현 동안 적용된 프로세스 제어 노브 설정을 나타내는 프로세스 제어 노브 트레이닝 데이터 세트를 수신하는 단계를 포함하며, 그리고
    스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트 및 대응하는 프로세스 제어 노브 트레이닝 데이터에서의 변화를 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트와 연관시키는 머신 러닝 모델을 생성하여, 사전 프로세스 스캐터로메트리 데이터의 변동을 보상하기 위해 프로세스 제어 노브 설정에 대한 변경을 추천하도록 머신 러닝 모델을 트레이닝하도록 하는 단계를 포함하는, 반도체 제조를 위한 어드밴스 프로세스 제어(APC) 방법.
  18. 비-일시적, 머신 접근가능 저장 매체로서, 머신에 의해 수행되는 때, 상기 머신이:
    복수의 웨이퍼 사이트 각각에 대해, 프로세싱 단계의 구현 전에 측정된, 스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트를 수신하는 단계, 프로세스 단계의 구현 후에 측정된, 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트를 수신하는 단계, 그리고 상기 프로세스 단계의 구현 동안 적용된 프로세스 제어 노브 설정을 나타내는 프로세스 제어 노브 트레이닝 데이터 세트를 수신하는 단계를 포함하며, 그리고
    스캐터로메트리 트레이닝 데이터의 사전 프로세스 세트 및 대응하는 프로세스 제어 노브 트레이닝 데이터에서의 변화를 스캐터로메트리 트레이닝 데이터의 대응하는 포스트 프로세스 세트와 연관시키는 머신 러닝 모델을 생성하여, 사전 프로세스 스캐터로메트리 데이터의 변동을 보상하기 위해 프로세스 제어 노브 설정에 대한 변경을 추천하도록 머신 러닝 모델을 트레이닝하도록 하는 단계 명령을 실행하도록 하는, 비-일시적, 머신 접근가능 저장 매체.
KR1020227038843A 2020-04-06 2021-04-06 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법 KR20220164786A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063005589P 2020-04-06 2020-04-06
US63/005,589 2020-04-06
PCT/IL2021/050389 WO2021205445A1 (en) 2020-04-06 2021-04-06 Machine and deep learning methods for spectra-based metrology and process control

Publications (1)

Publication Number Publication Date
KR20220164786A true KR20220164786A (ko) 2022-12-13

Family

ID=78023918

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038843A KR20220164786A (ko) 2020-04-06 2021-04-06 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법

Country Status (6)

Country Link
US (1) US11815819B2 (ko)
JP (1) JP2023523156A (ko)
KR (1) KR20220164786A (ko)
CN (2) CN115428135B (ko)
IL (1) IL297022A (ko)
WO (1) WO2021205445A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
CN114046815B (zh) * 2021-11-09 2024-02-09 上海精赋达传感技术有限公司 基于深度学习的编码器自校正方法及装置
US20230342016A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Methods and mechanisms for generating virtual knobs for model performance tuning

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
DE102008029498B4 (de) * 2008-06-20 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zur quantitativen produktionslinieninternen Materialcharakterisierung in Halbleiterherstellung auf der Grundlage von Strukturmessungen und zugehörigen Modellen
US8352062B2 (en) * 2009-03-11 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for gate profile control
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2016086138A1 (en) 2014-11-25 2016-06-02 Stream Mosaic, Inc. Improved process control techniques for semiconductor manufacturing processes
EP3398123A4 (en) * 2015-12-31 2019-08-28 KLA - Tencor Corporation ACCELERATED TRAINING OF A MODEL BASED ON AUTOMATIC LEARNING FOR SEMICONDUCTOR APPLICATIONS
US10705514B2 (en) 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control

Also Published As

Publication number Publication date
CN115428135A (zh) 2022-12-02
JP2023523156A (ja) 2023-06-02
CN115428135B (zh) 2024-01-26
US20230124431A1 (en) 2023-04-20
IL297022A (en) 2022-12-01
CN117892689A (zh) 2024-04-16
WO2021205445A1 (en) 2021-10-14
US11815819B2 (en) 2023-11-14

Similar Documents

Publication Publication Date Title
US11874605B2 (en) Verification metrology targets and their design
KR20220164786A (ko) 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
US10734293B2 (en) Process control techniques for semiconductor manufacturing processes
KR102254033B1 (ko) 광학 측정 방법 및 광학 측정 시스템
KR102308124B1 (ko) 공정 핑거프린트들의 세트의 유지
US7742177B2 (en) Noise-reduction metrology models
US20230023634A1 (en) Combining physical modeling and macine learning
US11300948B2 (en) Process control of semiconductor fabrication based on spectra quality metrics
KR101475967B1 (ko) 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼
TW202232092A (zh) 基於機器學習之量測配方最佳化之動態控制
US10345721B1 (en) Measurement library optimization in semiconductor metrology
CN114930154B (zh) 检测ocd计量机器学习的离群值和异常
US11747740B2 (en) Self-supervised representation learning for interpretation of OCD data
TWI838588B (zh) 用於訓練及實施度量衡配方之系統及方法
US20240142948A1 (en) Methods And Systems For Monitoring Metrology Fleet Productivity
EP4113210A1 (en) A method of monitoring a measurement recipe and associated metrology methods and apparatuses

Legal Events

Date Code Title Description
A201 Request for examination