CN115428135A - 用于基于光谱的计量和过程控制的机器和深度学习方法 - Google Patents

用于基于光谱的计量和过程控制的机器和深度学习方法 Download PDF

Info

Publication number
CN115428135A
CN115428135A CN202180029438.0A CN202180029438A CN115428135A CN 115428135 A CN115428135 A CN 115428135A CN 202180029438 A CN202180029438 A CN 202180029438A CN 115428135 A CN115428135 A CN 115428135A
Authority
CN
China
Prior art keywords
training data
scatterometry
process control
control knob
post
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202180029438.0A
Other languages
English (en)
Other versions
CN115428135B (zh
Inventor
巴瑞克·布兰欧利兹
拉恩·雅各比
诺姆·塔尔
珊·尤戈夫
博阿茨·斯特莱西
奥代德·科亨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novell Ltd
Original Assignee
Novell Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novell Ltd filed Critical Novell Ltd
Priority to CN202410055033.XA priority Critical patent/CN117892689A/zh
Publication of CN115428135A publication Critical patent/CN115428135A/zh
Application granted granted Critical
Publication of CN115428135B publication Critical patent/CN115428135B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Abstract

用于半导体制造中的先进过程控制(APC)的系统和方法,包括:对于多个晶片站点中的每个晶片站点,接收在实施处理步骤之前测量的散射测量训练数据的预处理集合,接收在实施处理步骤之后测量的散射测量训练数据的相应后处理集合,以及接收指示在实施处理步骤期间应用的过程控制旋钮设置的过程控制旋钮训练数据集合;以及生成机器学习模型,该机器学习模型将在散射测量训练数据的预处理集合与相应的过程控制旋钮训练数据中的变化和散射测量训练数据的相应后处理集合相关联,以训练机器学习模型来推荐对过程控制旋钮设置的更改,对预处理散射测量数据中的变化进行补偿。

Description

用于基于光谱的计量和过程控制的机器和深度学习方法
技术领域
本发明整体涉及集成电路晶片图案的光学检测领域,具体涉及用于硅晶片制造的算法。
背景技术
集成电路(IC)是通过沉积、改变和去除薄层的多个步骤在半导体晶片上生产的。现代半导体制造处理可以涉及1000多个此类处理步骤。高级过程控制(APC)旨在优化处理工具的设置,以减少制造的总可变性。处理工具设置在下文中也称为处理“旋钮”,可以包括处理控制的任何方面,包括旋涂薄膜、热氧化物生长、化学气相沉积(CVD)、物理气相沉积、电镀、晶片温度、腔室压力、抛光压力等的处理设置。
在某些情况下,常规的过程控制方法已不能满足半导体制造业日益增长的精度要求。硬件开发的最新进展引入了更广泛的处理旋钮,这种常规APC解决方案在应用于高维旋钮空间时存在缺点。APC面临的另一挑战是,多条生产线可以使用多条制造路线,时间尺度漂移可以增加生产结果的可变性。已经描述了通过应用机器学习技术改进APC的尝试。例如,Drori等人的国际专利申请W02021/030833,标题为“Model Based Control of WaferNon-Conformity”,描述了几种与处理参数和计量数据相关的神经网络的生成。
半导体制造中的多个处理步骤产生了堆叠结构(“堆叠”),与衍射光栅一样具有光学特性。光学临界尺寸(OCD)计量学涉及通过利用这些光学特性测量晶片上站点(“晶片站点”)上图案的临界尺寸(CD)和材料特性。(在下文中,CD和材料特性也称为“图案参数”。)CD可以包括堆叠的高度、宽度和间距。正如Dixit等人在“Sensitivity analysis and lineedge roughness determination of 28-nm pitch silicon fins using Mueller matrixspectroscopic ellipsometry-based optical critical dimension metrology”,J.Micro/Nanolith,MEMS MOEMS,14(3),031208(2015)中所述,通过引用并入本文,CD还可以包括:侧壁角(SWA)、垫片宽度、垫片下拉、外延接近度、底脚/底切、2维(HKMG)的过度填充/不足填充(HKMG)、3维轮廓(FinFET)和线边缘粗糙度(LER)。
散射测量数据(在本文中也称为“光谱数据”)通常作为反射光辐射获取,该反射光辐射指示晶片站点上图案的光学特性。Scheiner和Machavariani的美国专利6476920,“Method and apparatus for measurements of patterned structures”,通过引用并入本文,描述了“光学模型”的开发,也称为“物理模型”,其根据给定的图案参数估计光谱测试期间将测量的散射测量数据。光学模型也可以设计为执行基于测量的散射测量数据估计图案参数的反(或“逆”)函数。光学模型通常用于OCD计量,以确定晶片站点的图案是否按照正确的规格制造。下文中,更通用的术语“OCD模型”既指根据光学原理开发的物理模型,也指本领域已知的机器学习模型。
测量(获取)散射测量数据(例如光谱图)的示例散射测量工具可以包括光谱椭偏仪(SE)、光谱反射器(SR)、偏振光谱反射器以及其他光学临界尺寸(OCD)计量工具。这些工具被纳入目前可用的OCD计量系统。其中一个OCD计量系统是NOVA
Figure BDA0003897524090000021
高级OCD计量工具,可从以色列Rehovot的NOVA Measuring Instruments有限公司购买,该工具可以测量指定晶片站点的图案参数,即“在芯片中(in-die)”。测量临界尺寸(CD)的其他方法包括干涉测量法、X射线拉曼光谱法(XRS),X射线衍射(XRD)和泵探针工具等。此类工具的一些示例在美国专利US10161885、US10054423、US9184102和US10119925以及国际未决专利申请公开W02018/211505中公开,所有这些专利均转让给申请人,并通过引用全部并入本文。
不依赖上述光学模型的高精度图形参数测量方法包括使用诸如CD扫描电子显微镜(CD-SEM)、原子力显微镜(AFM)、横截面隧道电子显微镜(TEM)或X射线计量工具的设备进行晶片测量。这些方法通常比光学和机器学习建模方法更昂贵和耗时。在下文中,使用此类工具测量的图形参数称为“参考参数”。
下文公开的本发明的实施例有助于克服当前APC方法的缺点。应当理解,本文所包含的背景和上下文描述仅出于通常呈现本公开的上下文的目的而提供。本公开的大部分内容呈现了发明人的工作,并且仅仅因为这样的工作在背景部分中描述或作为本文其他地方的上下文呈现并不意味着它被承认为现有技术。
发明内容
本发明的实施例提供了一种用于半导体制造中基于机器学习的高级过程控制(APC)的系统和方法,包括对于多个晶片站点中的每个晶片站点,接收在实施处理步骤之前测量的散射测量训练数据的预处理集合,接收在实施所述处理步骤之后测量的散射测量训练数据的相应后处理集合,以及接收指示在实施所述处理步骤期间应用的过程控制旋钮设置的过程控制旋钮训练数据集合。然后,可以训练机器学习模型,机器学习模型将在散射测量训练数据的预处理集合和相应的过程控制旋钮训练数据中的变化与散射测量训练数据的相应后处理集合相关联,这样,机器学习模型被训练为推荐过程控制旋钮设置的更改,以补偿预处理散射测量数据中的变化。
本发明的实施例可以进一步包括在半导体制造期间应用机器学习模型来进行过程控制旋钮推荐。
在进一步的实施例中,散射测量训练数据的后处理集合可以通过光学模型与一个或多个目标后处理图案参数相关。此外,散射测量训练数据的后处理集合可以通过第二机器学习模型与一个或多个目标后处理图案参数相关联。
过程控制旋钮设置可以包括用于以下一个或多个情况的设置:处理步骤的持续时间、底座边缘环的高度、在底座的多个控制区域上的温度分布以及处理室压力。
散射测量数据的预处理和后处理集合可以指示各自晶片站点处的一个或多个图案参数,所述一个或多个图案参数包括临界尺寸、特征深度、特征高度和特征间距中的一者或多者。
处理步骤可以是沉积、蚀刻和抛光操作中的一者或多者。
生成机器学习模型可以包括训练神经网络(NN),该神经网络包括导向瓶颈潜在层的多个编码器层,进而导向至少一个解码器层,其中,散射测量训练数据的预处理集合被应用为模型输入,其中,散射测量训练数据的相应后处理集合被应用为模型输出,其中,多个过程控制旋钮训练数据被应用为在多个编码器层中的任何一个编码器层处与NN相交的辅助输入,并且其中,多个过程控制旋钮训练数据应用为链接到至少一个解码器层中的任意一个解码器层的辅助输出。可以配置NN的反向传播的损失函数,以最大化NN的输出和散射测量训练数据的后处理集合之间的相似性。该损失函数可以是平方误差损失函数。机器学习模型还可以包括NN之后的校准步骤,该步骤将散射测量训练数据的后处理集合校准为预测的后处理图案参数。此校准可以由OCD模型执行。
在进一步的实施例中,机器学习模型的优化步骤可以包括最小化目标的后处理图案参数和预测的后处理图案参数之间的差异。
NN辅助输出的反向传播的损失函数可以表示在辅助输出和过程旋钮训练数据之间的相似性的质量。该损失函数为平方误差损失函数。
在进一步的实施例中,生成机器学习模型可以包括:确定在散射测量训练数据的后处理集合与相应的过程控制旋钮训练数据集合之间的最大协方差,以生成潜在变量;从潜在变量中减去过程控制旋钮训练数据,以生成表示过程控制旋钮训练数据对在后处理散射测量训练数据中的变化的变化贡献的相应残余;将预处理散射测量数据集合校准为相应的残余,以确定在预处理散射测量数据中变化的旋钮值估计器;以及优化机器学习模型,以从旋钮值估计器中确定过程控制旋钮推荐。
在一些实施例中,多个晶片站点位于多个晶片上。多个预处理和后处理散射测量训练数据集合也可以通过两个以上测量信道进行测量。
附图说明
为了更好地理解本发明的各种实施例,并显示如何实施相同的实施例,举例来说,参考附图。示出了本发明的结构细节,以提供对本发明的基本理解,以及与附图一起进行的描述,从而使本领域技术人员清楚地了解如何在实践中体现本发明的几种形式。在附图中:
图1是根据本发明的实施例,通过自我监督的表示学习,基于机器学习的APC系统的示意图;
图2是根据本发明的实施例,通过自我监督的表示学习,描述基于机器学习的APC过程的流程图;以及
图3至图6是根据本发明实施例的为APC训练和应用机器学习模型的过程的示意图。
具体实施方式
本发明的实施例提供了用于为半导体制造的先进过程控制(APC)生成机器学习(ML)模型的系统和方法。机器学习(ML),包括深度学习(DL)算法,是APC和计量系统设计中潜在的强大工具。这些算法适合多维空间,可以根据流程需要自动更新。然而,任何数据驱动的控制系统的成功都取决于训练所需的准确数据的可用性。在半导体制造中,如上所述的“参考参数”是昂贵的资源。下文描述了用于APC的方法,这些方法在不依赖此类参考参数的情况下促进了ML和DL技术。
图1是根据本发明实施例的用于半导体制造的系统10的示意图,包括先进过程控制(APC)。APC的目标是减少在晶片上的站点(“晶片站点”)处制造的参数中的变化。
系统10可以是晶片12的生产和监控生产线。晶片12由晶片站点14制造,晶片站点具有可测量的图形参数,包括临界尺寸、特征深度、特征高度和特征间距中的一者或多者,以及上述背景中描述的其他参数。通常,晶片具有多个设计为具有相同图案的站点或“模具”(即,相同的图案设计用于制造所有图案)。对于每个晶片站点14,通常可以测量多个图案参数集合。在下文中,该多个参数集合也由向量
Figure BDA0003897524090000062
引用,向量的每个元素都是多个参数CD中的一个参数。
系统10可以包括各种过程控制“工具”,如过程控制旋钮设置16所示,用于控制过程条件。过程控制旋钮设置(在此也称为“旋钮设置”或“旋钮值”)可以控制例如安装有正在处理的晶片的底座18上的温度分布。通常还提供额外的旋钮设置,用于控制额外的处理参数,包括:处理步骤的持续时间、底座边缘环的高度、底座多个控制区域的温度分布以及处理室压力。制造变化导致图案参数略有变化,因此这些图案参数在晶片之间以及在每个处理步骤后在单个晶片上的站点处都会发生变化。如下文进一步描述的,本发明的实施例提供了用于确定应该对旋钮设置进行的更改的方法和系统,以减少晶片内站点和晶片之间的参数变化。对旋钮设置所做的更改纠正了先前处理步骤导致的参数变化。为丰富用于模型训练的数据集合而建立的旋钮设置可以称为“实验设计”(DOE)旋钮设置。当确定旋钮设置的推荐变化时,这些推荐的旋钮设置在下文中称为
Figure BDA0003897524090000061
系统10包括光源20,其产生预定波长范围的光束22。光束22从晶片站点14处的晶片图案反射(指示为反射或“散射”光24)到分光光度检测器26。在一些配置中,光源和分光光度检测器包含在OCD计量系统30中(例如,椭偏仪或分光光度计)。计量系统30的构造和操作可以是任何已知类型,例如,在美国专利US 5517312、US 6657736和US 7169015中以及在国际未决专利申请公开WO2018/211505中公开的,所有这些均转让给申请人,并通过引用整体并入本文。通常,计量系统30包括未显示的其他组件,如导光光学元件,其中可以包括具有物镜的光束偏转器、分束器和镜。此类系统的其他组件可以包括成像透镜、偏振透镜、可变孔径光阑和电机。此类元件的操作通常由计算机控制器自动化,计算机控制器可以包括EO设备,也可以被配置为执行数据处理任务,例如生成散射测量数据32(本文也称为“计量信号”)。
计量系统30生成的散射测量数据32通常包括各种类型的绘制数据34,这些数据可以矢量形式表示(例如,光谱图,其数据点是不同波长下反射光强度“I”的测量值,或反射辐照度与入射角的映射)。如上所述,散射测量数据集合之间的变化指示各个晶片站点的图案参数的变化。在典型的OCD计量中,测量的光范围可以包括可见光谱,也可以包括紫外和红外区域的波长。OCD计量的典型光谱图输出可以有245个数据点,涵盖200nm至970nm的波长范围。
计量信号(即散射测量数据)包括来自不同来源的噪声。这种噪声可以是测量过程期间发生的温度波动和气压波动的结果以及计量系统30的状态变化,如光学系统对准中,在晶片样品上给定晶片站点位置确定时,以及制造商使用的不同计量系统的物理和光学状态之间的差异中的变化。散射测量数据中存在此类噪声源会影响对所需目标参数的测定,进而导致所需目标参数的不一致。
在本发明的实施例中,包括本领域已知的机器学习(ML)工具的计算机系统,在此称为ML建模系统40,可以被配置为训练用于OCD计量的ML模型。ML建模系统使用的训练特征集合(也称为特征输入)可以包括在实施给定处理步骤前后的散射测量数据集合34,以及指示处理步骤期间应用的过程控制旋钮设置16的数据。训练后,ML模型用于推荐过程控制旋钮设置,以实现目标图案参数。
处理步骤可包括影响晶片图案的任何类型的自动处理,如蚀刻、沉积或抛光。在进一步的实施例中,术语“处理步骤”可以包括具有独立旋钮设置的多个子步骤。旋钮矢量可以包括这些多个子步骤的设置。ML建模系统40可以独立于计量系统30运行,也可以与计量系统集成。
APC控制系统旨在最小化后处理参数(例如,处理完成后的参数)的过程变化,这是预处理输入变化和处理工具不均匀的结果。该系统校准受控工具旋钮,以补偿进入晶片站点的变化,否则会影响处理均匀性和目标参数的实现。这种变化可能出现在晶片级别(芯片到芯片)、批次级别(晶片到晶片)和批次之间(批次到批次)。例如:化学机械(CMP)处理是一种主要处理技术,在整个漫长的半导体制造生产线中重复数十次。CMP工具从厚层中去除材料,以根据所需设计形成所需厚度。许多CMP处理步骤和多条晶片制造路线都会在晶片内部引入变化,而这些变化又需要通过过程控制加以纠正。同样,蚀刻工具选择性地去除沉积期间添加的电介质或金属材料。晶片水平变化的补偿需要一种晶片内空间设置旋钮,这意味着处理工具不仅能够应用每个晶片的单个值,而且能够应用旋钮的完整晶片图。高端蚀刻机工具提供了这种可控性,例如,通过温度设置,温度设置可以应用为旋钮,以纠正晶片内的变化。本发明的实施例可以确定额外半导体制造处理的控制参数(例如旋钮设置),这些半导体制造处理与例如材料沉积、去除、图案化有关,如化学气相沉积(CVD)、物理气相淀积(PVD)、电镀、晶片温度、腔室压力、抛光压力、光刻等。
晶片站点的图形参数可以通过多信道计量工具收集的光学临界尺寸(OCD)信号测量。为了准确了解旋钮设置对晶片状态的变化和所需后目标参数的灵敏度和响应,ML模型的训练集合需要多种不同的处理条件,以捕捉旋钮设置对结果的影响范围。因此,为了训练ML模型,可以通过应用与DOE旋钮值略有不同的不同旋钮设置,在不同晶片上重复处理步骤。这种变化提供了一种捕捉这种变化的影响的方法。下面,将应用于给定处理步骤以生成训练数据的旋钮值集合,称为
Figure BDA0003897524090000081
在下文中,分光光度计生成的散射测量数据集合可以称为散射测量矢量
Figure BDA0003897524090000082
其中,矢量
Figure BDA0003897524090000083
的每个元素代表散射测量数据的数据点。
图2是根据本发明的实施例的流程图,描述了用于生成半导体制造APC的机器学习模型的计算机实现过程200。如上所述,过程200可以由ML建模系统40实施。第一步骤214包括接收多个散射测量数据的集合以训练机器学习模型。在给定的处理步骤之前,从给定的晶片图案测量的散射测量数据集合称为
Figure BDA0003897524090000091
而在给定的处理步骤之后,从相同的晶片图案测量的相应散射测量数据集合称为
Figure BDA0003897524090000092
通常,为了有效地实施后续的机器学习训练,获取大量的
Figure BDA0003897524090000093
和对应的
Figure BDA0003897524090000094
此外,在步骤216,获取过程控制旋钮数据集合,每个集合表示为旋钮矢量
Figure BDA0003897524090000095
每个过程控制旋钮数据集合表示在晶片图案上实施的给定处理步骤期间使用的一个或多个控制参数,所测量的控制参数用于生成一对数据集合
Figure BDA0003897524090000096
Figure BDA00038975240900000915
接下来,在步骤220,使用
Figure BDA0003897524090000098
Figure BDA0003897524090000099
Figure BDA00038975240900000910
的数据集合训练机器学习模型,以生成模型,当预处理散射测量数据指示晶片图案参数的变化时,该模型用于推荐适当的旋钮设置(即,
Figure BDA00038975240900000911
)。通过改变旋钮设置,晶片图案制造的可变性较小。如下所述,几种类型的机器学习模型可以对实现这一目标有效。
在步骤220中,在生产中,可以通过输入测量值
Figure BDA00038975240900000912
来应用机器学习模型,以生成相应的推荐旋钮设置
Figure BDA00038975240900000913
以减少处理后图案参数的变化。
图3描述了示例性机器学习模型300的示意图。在该模型中,旋钮设置的影响(或“特征”)首先与后处理散射测量数据中的其他变化源分离,即隔离。为了实现这种分离,可以使用ML技术来优化空间之间的相关性或协方差度量。例如,偏最小二乘(PLS)算法在两空间数据集合中查找成对的分量,并确定它们之间协方差的最大分数。我们将这两个空间设置为后处理散射测量数据,设置为X,以及DOE旋钮设置
Figure BDA00038975240900000914
设置为Y。根据最大协方差原理,X和Y被分解为潜在变量,如步骤310所示。
然后,步骤320提取该潜在空间的排名1变量以表示“总有效旋钮”。
假设旋钮设置(基于DOE值)是确定目标输出的主导因素,可以在步骤330从“总有效按钮”(通常表示为矢量)中减去旋钮设置。此减法的剩余表示旋钮设置对目标参数的剩余贡献。这会产生“剩余”有效旋钮,可以在下一步骤340中使用,在该步骤中,将预处理散射测量数据校准为该剩余。结果是经过训练的估计器,它可以预测表示输入变化(即,
Figure BDA0003897524090000101
变化)的旋钮值,并因此可以用于补偿这些变化。
图4A和图4B示出了用于APC的训练和应用ML模型过程的示意图。如图4A所示,训练过程400用于训练示例性ML模型410。如图4B所示,随后在晶片生产期间,在推断过程450中应用ML模型410。所示的ML模型410表示根据本发明的实施例,可以基于深度神经网络的无监督学习、APC机器学习模型,具体是编码器-解码器模型410。如图4A所示,这样的网络410的结构可以由三部分组成,如编码器部分420、“瓶颈”(BN)中段425和解码器部分430所示。编码器420将预处理光谱(即预处理散射测量数据)的维数压缩为潜在结构,解码器430将潜在结构解压缩为后处理谱。
网络的压缩中段425(“瓶颈”,表示为“BN”)通常包括至少两层:一层表示预处理缩减维度,另一层表示后处理光谱维度。这两层之间的层表示神经网络处理在两个潜在空间之间的转移。
除主网络外,辅助输入还包括过程控制旋钮设置
Figure BDA0003897524090000102
该输入可以在编码器的任何层与主网络相交(例如,在图中,它在编码器的末端相交)。主网络的第二附加部分是链接到在中段中的后潜在层的辅助输出。
ML模型410的训练采用了双重损失函数。第一损失函数440是表示在主网络输出之间的相似性质量的谱损失,表示为重建或预测的谱
Figure BDA0003897524090000103
和测量的后处理散射测量数据
Figure BDA0003897524090000104
这种“相似性”可以通过例如均方误差损失函数来测量。
第二损失函数445与辅助输出匹配,指示为目标参数旋钮设置和实施的过程控制旋钮设置
Figure BDA0003897524090000105
也就是说,第二损失函数445可以表示在辅助输出和过程旋钮训练数据之间的相似性的质量。
在网络训练期间,组合损失函数有效地最小化了谱和旋钮损失项。为了在过程旋钮和网络的辅助输出“神经元”之间建立成功的关联,训练集合应包括使用受控旋钮的输入变化的设计增强以及过程旋钮值的设计偏差。旋钮设置的这种变化由术语表示
Figure BDA0003897524090000111
在成功训练网络后,如图4B的过程450所示,应用训练过的ML模型410为随后处理的晶片图案样本找到推荐的旋钮值,以减少这些样本的目标参数变化。回顾该过程,ML训练包括:确定标称目标辅助神经元值,并针对每个晶片图案,确定辅助神经元值作为辅助输入旋钮值的函数。随后,对于每个晶片图案,推荐满足所需目标参数的旋钮值。
图5描述了机器学习模型500的训练过程,该模型在处理步骤前后直接变换晶片图案的表示,然后将后处理信号(即,散射测量数据
Figure BDA0003897524090000112
)校准为目标(受控)参数
Figure BDA0003897524090000113
模型500包括编码器-解码器神经网络510,它具有压缩预处理信号(即,预处理散射测量数据)维度的编码器层520、瓶颈层540和解码器层550。输入层一起接收预处理信号和旋钮设置
Figure BDA0003897524090000114
这两个输入可以以多种方式组合,但通常是级联的。(如上图4A所述,旋钮设置
Figure BDA0003897524090000115
也可以注入编码器的内层。)编码器将输入转换为最佳减少的瓶颈,例如通过全连接层或卷积和/或池化层。反过来,解码器通过任何深层网络层集合(通常与编码器对称,但不一定)扩展瓶颈层的表示。输出被设置为后处理散射测量数据集合
Figure BDA0003897524090000116
损失函数540可以被设置为在由网络预测的散射测量数据与后处理散射测定数据集合之间的差值
ML500的第二部分是计量解释功能,意味着将网络输出(后处理散射测量数据)校准为待控制的晶片参数。该校准通常由OCD模型570进行,使用第二损失项,并使用标记数据。第二损失项545可以设置为预测参数(OCD模型570的输出)和测量目标参数之间的差值。
在训练收敛期间,两个损失项在梯度方向上竞争,这意味着必须调整这些损失权重形式的额外超参数。
图6描述了ML模型500在生产中的应用,即为了推理,生成旋钮推荐
Figure BDA0003897524090000121
可以应用优化步骤,使模型输出尽可能接近目标参数。然后,可以通过最小化距离度量D来实现推荐
Figure BDA0003897524090000122
度量D是在1)由基于预处理光谱
Figure BDA0003897524090000123
和旋钮设置
Figure BDA0003897524090000124
的模型(如下指示为
Figure BDA0003897524090000125
)进行预测的图案参数,与2)目标值的图案参数
Figure BDA0003897524090000126
之间的差值。然后,
Figure BDA0003897524090000127
的值可以由下式推导出:
Figure BDA0003897524090000128
如图6所示,生产中的ML模型的操作包括输入新的预处理散射测量数据集合,并通过保持旋钮输入节点自由进行优化。运行时优化步骤搜索旋钮值,该旋钮值将在模型的预测输出参数与固定期望目标参数(或多个参数)之间的差值D最小化。请注意,OCD模型570(表示为模型-4-670)的逆运算用于将期望目标参数转换为散射测量矢量的形式。达到D最小值的旋钮值是推荐的旋钮值。
前馈机器学习模型,如ML模型410或510,也可以用额外的数据输入进行训练,这可以增强模型处理工具到工具变化和过程时间漂移等变化的能力。上述方法补偿了多个可能的制造路线和处理步骤的不均匀引起的变化。其他因素可以引入更多的可变性,并影响过程控制性能和稳定性。例如,工具的瞬时物理状态可以产生这种变化,因为活动处理工具的物理部分会随着时间推移而腐蚀。物理过程,如侵蚀、运动部件、侧壁沉积和残留物,都使工具的状态、性能和噪声特征具有动态性。可以定期进行例行维护,通过清洁和更换零件以及重新校准设置来减少这种退化。这意味着每个制造实例的维护周期中的时间点是影响性能的重要因素。此外,由于过程中的每个工具/腔室相对于维护点都处于独特的时间位置,因此它给过程引入了另一种变化,称为“工具到工具”变化(或根据工具模块设置的“腔室到腔室”)。此时间信息的记录可以保存在工具日志中。通过在模型输入中考虑这些时间信息,可以减少这些时间漂移和工具到工具变化因素。
根据先前性能的反馈,如CMP处理中的抛光压力,可以通过对处理工具设置的微小修改来持续跟踪和处理这些变化。根据过程的稳定性,这些“APC设置”可以频繁实施。简而言之,还将这些工具记录作为输入(即所用处理工具的具体实例及其维护计划)的ML训练扩展了ML模型处理这些变化的能力,无论是在晶片内部还是晶片之间的变化。
应当理解,本文所示或描述的处理元件优选地由一台或多台计算机按照传统技术(例如使用通过计算机总线或备用连接装置耦接的计算机处理器、存储器、I/O设备和网络接口)在计算机硬件和/或计算机软件中实现,所述计算机硬件和软件体现在非暂时的计算机可读介质中。
除非另有说明,否则术语“处理器”和“设备”意在包括任何处理设备,例如,包括CPU(中央处理单元)和/或其他处理电路(例如GPU)的设备,并且可以指一个以上的处理设备。与处理设备相关联的各种元件可以由其他处理设备共享。
此处使用的术语“存储器”意在包括与处理器或CPU相关联的存储器,例如RAM、ROM、固定存储器设备(例如硬盘驱动器)、可移动存储器设备(如软盘、磁带)、闪存等。此类存储器可以被视为计算机可读存储介质。
此外,短语“输入/输出设备”或“I/O设备”可以包括一个或多个输入设备(例如键盘、鼠标、扫描仪、HUD等),用于向处理单元输入数据,和/或一个或多个输出设备(例如扬声器、显示器、打印机、HUD、AR、VR等)用于显示与处理单元相关的结果。
本发明的实施例可以包括系统、方法和/或计算机程序产品。计算机程序产品可以包括计算机可读存储介质(或多个介质),其上具有计算机可读程序指令,用于使处理器执行本发明的各方面。
计算机可读存储介质可以是一种有形设备,它可以保留和存储指令以供指令执行设备使用。计算机可读存储介质可以是,例如但不限于,电子存储设备、磁性存储设备、光学存储设备、电磁存储设备、半导体存储设备或上述设备的任何适当组合。计算机可读存储介质的更具体示例的非详尽列表包括:便携式计算机软盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦除可编程只读存储器(EPROM或Flash存储器)、静态随机存取存储器(SRAM)、便携式光盘只读存储器(CD-ROM)、数字通用磁盘(DVD)、蓝光、磁带、全息存储器、记忆棒、软盘、机械编码设备,如穿孔卡或凹槽中的凸起结构,其上记录有指令,以及上述各项的任何适当组合。本文中使用的计算机可读存储介质本身不应被解释为瞬态信号,例如无线电波或其他自由传播的电磁波、通过波导或其他传输介质传播的电磁波(例如,通过光纤电缆的光脉冲)或通过电线传输的电信号。
本文描述的计算机可读程序指令可以从计算机可读存储介质下载到各个计算/处理设备,或者通过网络(例如,互联网、局域网、广域网和/或无线网络)下载到外部计算机或外部存储设备。网络可以包括铜缆传输电缆、光纤传输、无线传输、路由器、防火墙、交换机、网关计算机和/或边缘服务器。每个计算/处理设备中的网络适配器卡或网络接口从网络接收计算机可读程序指令,并转发计算机可读程序指示,以存储在各个计算/处理装置内的计算机可读存储介质中。
用于执行本发明操作的计算机可读程序指令可以是汇编程序指令、指令集合体系结构(ISA)指令、机器指令、机器相关指令、微码、固件指令、状态设置数据,或者是用一种或多种编程语言的任何组合编写的源代码或目标代码,包括面向对象的编程语言,如Java、Smalltalk、C++等,以及传统的过程编程语言,如“C”编程语言或类似的编程语言。计算机可读程序指令可以完全在用户的计算机上执行,部分在用户的计算机上执行,作为独立的软件包,部分在使用者的计算机上,部分在远程计算机上执行或完全在远程计算机或服务器上执行。在后一种情况下,远程计算机可以通过任何类型的网络连接到用户的计算机,包括局域网(LAN)或广域网(WAN),也可以连接到外部计算机(例如,使用互联网服务提供商通过互联网)。在一些实施例中,包括例如可编程逻辑电路、现场可编程门阵列(FPGA)或可编程逻辑阵列(PLA)的电子电路可以通过利用计算机可读程序指令的状态信息来个性化电子电路来执行计算机可读程序指令,以便执行本发明的各个方面。
如果本文参考根据本发明实施例的方法、装置(系统)和计算机程序产品的流程图插图和/或框图描述本发明的各个方面,可以理解,框图和/或流程图插图的每个框,以及流程图插图和/或框图中的框的组合可以通过计算机可读的程序指令来实施。
这些计算机可读程序指令可以提供给通用计算机、专用计算机或其他可编程数据处理设备的处理器,以产生机器,从而通过计算机或其他编程数据处理装置的处理器执行指令,创建用于实施流程图和/或框图或框中指定的功能/动作的装置。这些计算机可读程序指令还可以存储在计算机可读存储介质中,该介质可以指示计算机、可编程数据处理设备和/或其他设备以特定方式运行,使得具有存储在其中的指令的计算机可读存储介质包括制造物品,该制造物品包括实施流程图和/或框图或框中指定的功能/动作的各个方面的指令。
计算机可读程序指令也可以加载到计算机、其他可编程数据处理设备或其他设备上,以使在计算机、其他可编程设备或其他设备上执行一系列操作步骤,以产生计算机实施的处理,从而使在计算机、其他可编程设备或其他设备上执行的指令,实施流程图和/或框图或框中指定的功能/动作。
本文中包含的任何流程图和框图说明了根据本发明的各种实施例的系统、方法和计算机程序产品的可能实施的体系结构、功能和操作。在这方面,流程图或框图中的每个框都可以表示模块、段或部分指令,其中包含用于实施指定逻辑功能的一个或多个可执行指令。在一些替代实施方式中,框中注明的功能可以出现在此处所示的顺序之外。例如,事实上,连续显示的两个框可以同时执行,或者有时可以按照相反的顺序执行,这取决于所涉及的功能。还应注意的是,框图和/或流程图中的每个框,以及框图和/或流程图中框的组合,都可以由基于专用硬件的系统来实施,这些系统执行指定的功能或动作,或执行专用硬件和计算机指令的组合。
本发明的各种实施例的描述是为了说明的目的而提出的,但并不旨在详尽或限于所公开的实施例。在不脱离所描述的实施例的范围和精神的情况下,许多修改和变型对于本领域普通技术人员将是明显的。本文使用的术语被选择来最好地解释实施例的原理、实际应用或相对于市场上发现的技术的技术改进,或者使本领域的其他技术人员能够理解本文公开的实施例。

Claims (18)

1.一种在半导体制造中用于先进过程控制(APC)的系统,所述系统包括一个或多个处理器,所述一个或多个处理器具有一个或多个相关的非暂时存储器,所述一个或多个相关的非暂时存储器包括指令,所述指令在由所述一个或多个处理器执行时实施以下步骤:
对于多个晶片站点中的每个晶片站点,接收在实施处理步骤之前测量的散射测量训练数据的预处理集合,接收在实施所述处理步骤之后测量的所述散射测量训练数据的相应后处理集合,以及接收指示在实施所述处理步骤期间应用的过程控制旋钮设置的过程控制旋钮训练数据集合;以及
生成机器学习模型,所述机器学习模型将在所述散射测量训练数据的所述预处理集合和相应的过程控制旋钮训练数据中的变化与所述散射测量训练数据的所述相应后处理集合相关联,以训练所述机器学习模型来推荐所述过程控制旋钮设置的更改,以补偿用于预处理散射测量数据的变化。
2.根据权利要求1所述的系统,其中,所述步骤进一步包括在所述半导体制造期间应用所述机器学习模型来进行过程控制旋钮推荐。
3.根据权利要求1所述的系统,其中,所述机器学习模型是第一机器学习模型,并且其中,所述散射测量训练数据的后处理集合通过光学模型或第二机器学习模型与一个或多个目标的后处理图案参数相关联。
4.根据权利要求1所述的系统,其中,所述过程控制旋钮设置包括用于以下一个或多个情况的设置:处理步骤的持续时间、底座边缘环的高度、在底座多个控制区域上的温度分布以及处理室压力。
5.根据权利要求1所述的系统,其中,所述散射测量数据的每个预处理集合和后处理集合都指示各自晶片站点处的一个或多个图案参数,所述一个或多个图案参数包括临界尺寸、特征深度、特征高度和特征间距中的一者或多者。
6.根据权利要求1所述的系统,其中,所述处理步骤是沉积、蚀刻和抛光操作中的一者或多者。
7.根据权利要求1所述的系统,其中,生成所述机器学习模型包括训练神经网络(NN),所述神经网络包括导向瓶颈潜在层的多个编码器层,进而导向至少一个解码器层,其中,所述散射测量训练数据的所述预处理集合被应用为模型输入,其中,所述散射测量训练数据的所述相应后处理集合被应用为模型输出,其中,多个过程控制旋钮训练数据被应用为在所述多个编码器层中的任意一个编码器层处与NN相交的辅助输入,并且其中,所述多个过程控制器旋钮训练数据被应用为链接到所述至少一个解码器层中的任意一个解码器层的辅助输出。
8.根据权利要求7所述的系统,其中,用于所述NN的反向传播的损失函数最大化在所述NN的输出和所述散射测量训练数据的后处理集合之间的相似性。
9.根据权利要求8中所述的系统,其中,所述损失函数是平方误差损失函数。
10.根据权利要求7所述的系统,其中,所述机器学习模型包括所述NN之后的校准步骤,所述校准步骤将所述散射测量训练数据的后处理集合校准为预测的后处理图案参数,其中,校准由OCD模型执行。
11.根据权利要求10所述的系统,其中,所述机器学习模型的优化步骤包括最小化在目标的后处理图案参数和所述预测的后处理图案参数之间的差异。
12.根据权利要求7中所述的系统,其中,用于NN辅助输出的反向传播的损失函数表示在所述辅助输出和过程旋钮训练数据之间的相似性的质量。
13.根据权利要求12中所述的系统,其中,所述损失函数是平方误差损失函数。
14.根据权利要求1所述的系统,其中,生成所述机器学习模型包括:确定在所述散射测量训练数据的后处理集合与所述相应的过程控制旋钮训练数据集合之间的最大协方差,以生成潜在变量;从所述潜在变量中减去所述过程控制旋钮训练数据,以生成表示所述过程控制旋钮训练数据对在后处理散射测量训练数据中的变化的变化贡献的相应剩余;将所述预处理散射测量数据的集合校准为相应的剩余,以确定在所述预处理散射测量数据中变化的旋钮值估计器;以及优化所述机器学习模型,以从所述旋钮值估计器中确定过程控制旋钮推荐。
15.根据权利要求1所述的系统,其中,所述多个晶片站点位于多个晶片上。
16.根据权利要求1所述的系统,其中,通过两个以上测量信道测量多个预处理和后处理散射测量训练数据集合。
17.一种用于在半导体制造中的先进过程控制(APC)的方法,所述方法包括:
对于多个晶片站点中的每个晶片站点,接收在实施处理步骤之前测量的散射测量训练数据的预处理集合,接收在实施所述处理步骤之后测量的所述散射测量训练数据的相应后处理集合,以及接收指示在实施所述处理步骤期间应用的过程控制旋钮设置的过程控制旋钮训练数据集合;以及
生成机器学习模型,所述机器学习模型将在所述散射测量训练数据的所述预处理集合和相应的过程控制旋钮训练数据中的变化与所述散射测量训练数据的所述相应后处理集合相关联,以训练所述机器学习模型来推荐所述过程控制旋钮设置的更改,以补偿用于预处理散射测量数据的变化。
18.一种非暂时性机器可访问存储介质,在所述存储介质上存储有指令,当由机器执行时,所述指令使所述机器实施以下步骤:
对于多个晶片站点中的每个晶片站点,接收在实施处理步骤之前测量的散射测量训练数据的预处理集合,接收在实施所述处理步骤之后测量的所述散射测量训练数据的相应后处理集合,以及接收指示在实施所述处理步骤期间应用的过程控制旋钮设置的过程控制旋钮训练数据集合;以及
生成机器学习模型,所述机器学习模型将在所述散射测量训练数据的所述预处理集合和相应的过程控制旋钮训练数据中的变化与所述散射测量训练数据的所述相应后处理集合相关联,以训练所述机器学习模型来推荐所述过程控制旋钮设置的更改,以补偿用于预处理散射测量数据的变化。
CN202180029438.0A 2020-04-06 2021-04-06 用于基于光谱的计量和过程控制的机器和深度学习方法 Active CN115428135B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410055033.XA CN117892689A (zh) 2020-04-06 2021-04-06 用于半导体制造的系统、方法及存储介质

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063005589P 2020-04-06 2020-04-06
US63/005,589 2020-04-06
PCT/IL2021/050389 WO2021205445A1 (en) 2020-04-06 2021-04-06 Machine and deep learning methods for spectra-based metrology and process control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410055033.XA Division CN117892689A (zh) 2020-04-06 2021-04-06 用于半导体制造的系统、方法及存储介质

Publications (2)

Publication Number Publication Date
CN115428135A true CN115428135A (zh) 2022-12-02
CN115428135B CN115428135B (zh) 2024-01-26

Family

ID=78023918

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410055033.XA Pending CN117892689A (zh) 2020-04-06 2021-04-06 用于半导体制造的系统、方法及存储介质
CN202180029438.0A Active CN115428135B (zh) 2020-04-06 2021-04-06 用于基于光谱的计量和过程控制的机器和深度学习方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202410055033.XA Pending CN117892689A (zh) 2020-04-06 2021-04-06 用于半导体制造的系统、方法及存储介质

Country Status (6)

Country Link
US (1) US11815819B2 (zh)
JP (1) JP2023523156A (zh)
KR (1) KR20220164786A (zh)
CN (2) CN117892689A (zh)
IL (1) IL297022A (zh)
WO (1) WO2021205445A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114046815B (zh) * 2021-11-09 2024-02-09 上海精赋达传感技术有限公司 基于深度学习的编码器自校正方法及装置
US20230342016A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Methods and mechanisms for generating virtual knobs for model performance tuning

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080233662A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced Process Control for Semiconductor Processing
US20090319196A1 (en) * 2008-06-20 2009-12-24 Matthias Schaller Method and system for quantitative inline material characterization in semiconductor production processes based on structural measurements and related models
CN101834114A (zh) * 2009-03-11 2010-09-15 台湾积体电路制造股份有限公司 栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统
CN103403724A (zh) * 2011-03-04 2013-11-20 东京毅力科创株式会社 用于基于库的临界尺寸(cd)计量的精确和快速的神经网络训练
CN106463430A (zh) * 2014-05-12 2017-02-22 科磊股份有限公司 用于测量半导体参数的设备、技术和目标设计
CN112805635A (zh) * 2018-10-09 2021-05-14 应用材料公司 先进半导体工艺优化和制造期间的自适应控制

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10734293B2 (en) 2014-11-25 2020-08-04 Pdf Solutions, Inc. Process control techniques for semiconductor manufacturing processes
JP6941103B2 (ja) * 2015-12-31 2021-09-29 ケーエルエー コーポレイション 半導体用途のための機械学習ベースのモデルの加速トレーニング

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080233662A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced Process Control for Semiconductor Processing
US20090319196A1 (en) * 2008-06-20 2009-12-24 Matthias Schaller Method and system for quantitative inline material characterization in semiconductor production processes based on structural measurements and related models
CN101834114A (zh) * 2009-03-11 2010-09-15 台湾积体电路制造股份有限公司 栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统
CN103403724A (zh) * 2011-03-04 2013-11-20 东京毅力科创株式会社 用于基于库的临界尺寸(cd)计量的精确和快速的神经网络训练
CN106463430A (zh) * 2014-05-12 2017-02-22 科磊股份有限公司 用于测量半导体参数的设备、技术和目标设计
CN112805635A (zh) * 2018-10-09 2021-05-14 应用材料公司 先进半导体工艺优化和制造期间的自适应控制

Also Published As

Publication number Publication date
US11815819B2 (en) 2023-11-14
CN117892689A (zh) 2024-04-16
KR20220164786A (ko) 2022-12-13
JP2023523156A (ja) 2023-06-02
US20230124431A1 (en) 2023-04-20
CN115428135B (zh) 2024-01-26
WO2021205445A1 (en) 2021-10-14
IL297022A (en) 2022-12-01

Similar Documents

Publication Publication Date Title
US11874605B2 (en) Verification metrology targets and their design
CN115428135B (zh) 用于基于光谱的计量和过程控制的机器和深度学习方法
US20230023634A1 (en) Combining physical modeling and macine learning
KR20230031925A (ko) 프로세싱 장비의 제어
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
KR101475967B1 (ko) 인라인 리소그래피 및 에칭 시스템에서의 복수의 웨이퍼 처리 방법 및 웨이퍼 처리 플랫폼
TW202232092A (zh) 基於機器學習之量測配方最佳化之動態控制
US10345721B1 (en) Measurement library optimization in semiconductor metrology
CN114930154B (zh) 检测ocd计量机器学习的离群值和异常
US7663766B2 (en) Incorporating film optical property measurements into scatterometry metrology
CN114930153B (zh) 用于ocd数据解释的自我监督表征学习
US20240142948A1 (en) Methods And Systems For Monitoring Metrology Fleet Productivity
US20230418995A1 (en) Multiple sources of signals for hybrid metrology using physical modeling and machine learning
US20230228692A1 (en) Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality
WO2022256194A1 (en) In situ sensor and logic for process control
WO2023195015A1 (en) Full-wafer metrology up-sampling

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant