KR20230031925A - 프로세싱 장비의 제어 - Google Patents

프로세싱 장비의 제어 Download PDF

Info

Publication number
KR20230031925A
KR20230031925A KR1020237003565A KR20237003565A KR20230031925A KR 20230031925 A KR20230031925 A KR 20230031925A KR 1020237003565 A KR1020237003565 A KR 1020237003565A KR 20237003565 A KR20237003565 A KR 20237003565A KR 20230031925 A KR20230031925 A KR 20230031925A
Authority
KR
South Korea
Prior art keywords
plasma
production process
wafer production
neural network
potential representation
Prior art date
Application number
KR1020237003565A
Other languages
English (en)
Inventor
그레고리 오스틴 댈리
개빈 랜달 타버
조나단 에드워드 필드센드
Original Assignee
유니버시티 오브 엑서터
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 유니버시티 오브 엑서터 filed Critical 유니버시티 오브 엑서터
Publication of KR20230031925A publication Critical patent/KR20230031925A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32181Monitor production, assembly apparatus with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32187Correlation between controlling parameters for influence on quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32188Teaching relation between controlling parameters and quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feedback Control In General (AREA)

Abstract

대체로, 본 기술은 훈련된 기계 학습(ML) 모델을 사용하여 웨이퍼 생산 프로세스를 실시간으로 제어하기 위한 방법 및 시스템을 제공한다. 유리하게는, ML 모델은 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태를 결정하기 위해 다수의 감지된 파라미터들을 사용하고, 이것은 프로세스 변동성을 줄이기 위해 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 조정하기 위해 사용될 수 있다.

Description

프로세싱 장비의 제어
본 기술은 일반적으로 프로세싱 장비(processing equipment)의 동작을 제어하는 것에 관한 것으로, 보다 구체적으로는 예를 들어 마이크로 규모 장치(micro-scale device) 및 나노 규모 장치(nano-scale device)에서 사용하기 위한 플라즈마 증착(plasma deposition) 및/또는 에칭(etching)에 의한 웨이퍼들의 생산에서 사용하는 프로세싱 장비의 제어에 관한 것이다.
웨이퍼는 일반적으로 집적 회로(integrated circuit)들 또는 태양 전지(solar cell)들을 제조(fabricate)하기 위해 사용될 수 있는 반도체(semiconductor)들의 얇은 슬라이스(thin slice)들이다. 웨이퍼들은 종종 마이크로 규모 장치 또는 나노 규모 장치가 구축(build)되는 기판(substrate)으로서 사용된다. 웨이퍼들은 일반적으로 매우 깨끗(pure)하고 이상적으로는 결함이 없는(defect-free) 단일 결정 물질(single crystalline material)로 형성된다. 이러한 용도들로 웨이퍼들을 사용하기 위해서는, 도핑(doping), 이온주입(implantation), 에칭, 박막 증착(thin-film deposition), 포토리소그래피(photolithography) 등 여러 제조 프로세스들을 거쳐야 한다.
이러한 애플리케이션들에 사용하기 위한 웨이퍼들의 프로세싱은 복잡하다. 일반적으로, 플라즈마 반응기(plasma reactor)들은 에칭 및/또는 증착에 사용되며, 일관되고(consistent) 재현 가능한 형태(reproducible form)의 웨이퍼들을 생산할 수 있는 것이 바람직하지만, 이러한 배치(arrangement)들에서 웨이퍼들의 프로세싱에 관련된 변수(variable)들 및 제어 파라미터(control parameter)들의 수는 달성하기 어려울 정도로 충분히 높다. 결과적으로, 웨이퍼들의 프로세싱에 상당한 양의 프로세스 변동성(process variability)이 있을 수 있다. 프로세스 변동성은 예를 들어 반도체들로부터 생산되는 집적 회로들(또는 "칩들")의 수율(yield)들, 그러한 칩들의 품질 및 제조될 수 있는 칩 설계(chip design)의 유형(type)들에 영향을 미친다. 프로세싱 변동성은 프로세싱 챔버 또는 플라즈마 반응기의 변동(variation)들, 시간 경과에 따른 프로세스 드리프트(process drift) 및 프로세스 편위(process excursion)들(손상된 장비로 인해 발생할 수 있음)로 인해 발생할 수 있다.
전자 산업(electronics industry)에서 사용되는 웨이퍼들은 일반적으로 실리콘으로 형성되지만, 화합물 반도체 웨이퍼(compound semiconductors wafer)들은 LED 제조(LED manufacturing)와 같은 다른 용도들로 사용될 수 있다. 화합물 반도체 웨이퍼들은 예를 들어 갈륨 비소(Gallium arsenide), 질화 갈륨(Gallium nitride), 또는 실리콘 카바이드(silicon carbide)일 수 있다. 화합물 반도체 웨이퍼들을 사용하면 특정한 문제들이 발생할 수 있다. 예를 들어, 일부 웨이퍼들은 2개의 물질들로 형성될 수 있고, 하나의 반도체 물질이 다른 반도체 물질(예를 들어, 실리콘의 질화 갈륨) 상부(top)에서 성장된다. 이 경우, 2개의 반도체 물질들 사이의 인터페이스(interface) 또는 인터페이스 계층(interface layer)은 특히 그러한 웨이퍼들이 광자(photonic) 또는 양자 장치(quantum device)들에 사용되는 경우, 장치 안정성 문제(device stability problem)들과 같은 문제들을 야기할 수 있다. 굴절률(refractive index)과 표면 거칠기(surface roughness)는 이러한 장치들의 성능이나 안정성에 영향을 미치기 때문에 이를 잘 제어하는 것이 바람직하다. 따라서, 화합물 반도체 웨이퍼들을 형성하기 위해 사용되는 프로세싱 기술들을 잘 제어할 필요가 있다.
프로세싱 기술들을 제어할 수 있도록 하기 위해, 일반적으로 프로세싱이 예상대로(as expected)/요구대로(as required) 수행되고 있는지 여부를 결정하기 위해 프로세싱에 대한 일부 피드백(feedback)을 획득할 수 있는 것이 일반적으로 유용하다. 따라서, 예를 들어 플라즈마의 상태, 챔버(chamber) 또는 플라즈마 반응기의 컨디션(condition) 및 웨이퍼의 상태를 측정(measure)하는 것이 유용할 수 있다. 그러나, 웨이퍼들을 생산하기 위해 사용되는 플라즈마들은 화학적 반응성(chemically reactive)이 매우 높으며 플라즈마 챔버 내의 먼지(dirt)나 잔류물(residue)들, 플라즈마의 상태를 측정하기 위해 사용될 수 있는 임의의 프로브를 포함하여 플라즈마 챔버의 모든 것과 상호 작용한다. 상호 작용으로 인해 플라즈마가 변경되어 웨이퍼 생산에 영향을 미친다. 따라서 플라즈마의 상태를 측정하기 위해 비-침습적 기술(non-invasive technique)들을 갖는 것이 바람직하다. 그러나 종래의(existing) 비-침습적 기술들은 플라즈마 밀도(plasma density)와 같은 특정한 목표 정보(specific desired information)를 제공하지 않는다.
종래의 제어 전략들은 일반적으로 일 배치(batch)의 웨이퍼들을 생산한 후 배치의 분석을 사용하여 다음 일 배치의 웨이퍼들을 처리하는 경우에 사용할 특정한 제어 파라미터들을 조정하기 위해 사용될 수 있는 정보를 도출(derive)하기 위해 사용될 수 있는 개방형 루프 전략(open loop strategy)들이다. 이러한 방식으로, 프로세스 변동들 및 드리프트(drift)를 설명할 수 있다. 일반적으로 배치들의 웨이퍼들은 프로세스의 각 단계 또는 특정한 단계에서 생산 프로세스를 확인하기 위해 사용되는 하나의 지정된 계측 웨이퍼(designated metrology wafer)를 포함한다. 웨이퍼 계측(wafer metrology)은 웨이퍼들을 사용하는 장치들의 성능에 악영향을 미칠 수 있는 표면 입자(surface particle)들, 패턴 결함(pattern flaw)들 및 기타 문제(other issue)들을 구체적으로 식별할 수 있다. 일반적으로, 분석은 계속 프로세싱할 가치가 있는지 또는 배치를 폐기해야 하는지 여부를 확인하기 위해 각 단계에서 배치의 계측 웨이퍼에 대한 빠른 점검(quick check)의 형태를 취하고, 이후 배치들의 프로세싱에 영향을 미치기 위해 제어 조정(adjustment)들을 확인하기 위해 사용되는 보다 상세한 계측 분석을 취한다. 더 자세한 분석은 시간이 많이 걸리므로 생산 지연(production delay)들을 피하기 위해 더 자세한 분석이 수행되는 동안 프로세싱이 계속될 수 있다. 따라서, 예로서, 제1 배치의 보다 상세한 계측 분석의 결과는 예를 들어 제4 또는 제5 배치의 프로세싱을 위한 조정들을 하는 데에만 이용 가능할 수 있다. 분석 결과 이후에 처리된 배치들이 사용하기에 충분히 좋은 품질이 아니라는 것이 밝혀질 수 있으며, 따라서 이 프로세싱 방법론(processing methodology)은 적절한 시정 조치(appropriate corrective action)를 취할 수 있도록 프로세스에서 너무 늦게 식별된 문제들을 통해 상대적으로 높은 수준(level)들의 낭비로 이어질 수 있다. 이 접근 방식은 시간과 비용이 많이 들고 위에서 언급한 것처럼 낭비적일 수 있다.
전술한 접근 방식의 단점들을 완화하기 위한 시도로서, 처리된 웨이퍼의 전체 분석(full analysis)을 수행하는 것에 의해 달성될 수 있는 출력들을 더 저렴하고 빠른 비-침습적 진단 접근 방식들에 기초하여 예측하기 위해, 및 향후(future) 배치들의 프로세싱의 제어를 조정하는 데 모델링된 출력들을 사용하기 위해 '가상 계측' 모델들을 사용하는 장치(arrangement)들이 알려져 있다. 이 접근 방식은 비용을 절감할 수 있고 분석 접근 방식을 수행하는 데 시간이 덜 소요될 수 있다는 이점이 있지만, 향후 생산 품질은 사용된 모델의 정확성에 달려 있으며 모델들은 일반적으로 매우 기본적인 형태였다. 특히, 일반적으로 두 방출선(emission line)들의 강도(intensity)의 비율과 같은 간단한 특징들을 추출하고 이를 모델에 대한 입력으로 사용하는 것에 의해 광학 방출 분광법(optical emission spectroscopy)과 같은 비-침습적 진단 데이터에 대해 간단한 분석을 수행하고, 이 파라미터의 변동들은 여러 가지 원인들로 인해 발생할 수 있으므로, 모델 출력만으로는 적절한 시정 조치(corrective action)를 취하기에 불충분할 수 있는 위험이 있다.
따라서 본 출원인은 알려진 장치(arrangement)들과 연관된 단점들 중 적어도 일부를 극복하거나 또는 단점들의 효과를 감소시키는 프로세싱 장비의 제어에 사용하기 위한 제어 방법의 필요성을 확인하였다.
본 기술들의 제1 접근 방식에서, 훈련된(trained) 기계 학습(machine learning)(ML) 모델을 사용하여 웨이퍼 생산 프로세스(wafer production process)를 실시간으로 제어하는 컴퓨터로 구현된 방법에 있어서, 웨이퍼 생산 프로세스를 실시간(real-time)으로 모니터링하는 복수의 센서(sensor)들로부터 센서 데이터(sensor data)를 수신하는 단계; 훈련된 ML 모델의 신경망(neural network)에 복수의 센서들로부터의 센서 데이터를 입력하는 단계; 훈련된 ML 모델을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태(state of a plasma)의 잠재적 표현(latent representation)을 생성하는 단계; 및 생성된 잠재적 표현을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기(plasma reactor)의 적어도 하나의 제어 파라미터(control parameter)를 실시간으로 조정하는 단계를 포함한다.
센서들에 의해 모니터링되는 프로세싱 특성(processing characteristic)들은 예를 들어 RF 전력(RF power), 온도, 압력, 가스 유량(gas flow rate), 및 전자 밀도(electron density), 광학 카메라에 의해 검출(detect)되는 웨이퍼의 외관(appearance) 및 광학 방출 분광 출력(optical emission spectroscopy output)들과 같은 특성들을 포함할 수 있다. 그러나, 본 발명은 이러한 특정한 특성들 및 파라미터들에 제한되지 않으며, 원하는 경우 다른 특성들 및 파라미터들에 민감한(sensitive) 센서들이 사용될 수 있다.
센서 정보(sensor information) 중 적어도 일부는 매우 복잡한 형태(complex form)일 수 있다. 예를 들어, 위에서 언급한 바와 같이, 광학 방출 분광 출력들 또는 광학 이미지들과 같은 풍부한 데이터 소스(data rich source)들을 포함할 수 있다.
따라서, 센서 데이터를 수신하는 단계는 웨이퍼 생산 프로세스에 사용되는 플라즈마의 적어도 하나의 이미지, 및 플라즈마의 적어도 하나의 광학 방출 분광(optical emission spectrograph)을 수신하는 단계를 포함할 수 있다.
추가적으로 또는 대안적으로, 센서 데이터를 수신하는 단계는 플라즈마 반응기에 인가된 RF 전력(RF power), 플라즈마 반응기 내부의 온도, 플라즈마 반응기 내부의 압력(pressure), 플라즈마 반응기로의 가스 유량(gas flow rate), 플라즈마 임피던스(plasma impedance) 및 플라즈마 전자 밀도(plasma electron density) 중 적어도 하나를 수신하는 단계를 포함한다.
웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하는 단계는, 플라즈마의 상태의 잠재적 표현을 실시간으로 생성하기 위해, 신경망을 사용하여, 센서 데이터를 결합하는 단계를 포함할 수 있다.
기계 학습 모델은 비지도 기계 학습 모델(unsupervised machine learning model) 또는 딥 러닝 모델(deep learning model)일 수 있다. 기계 학습 모델의 신경망은 오토인코더(autoencoder)를 포함한다. 오토인코더는 복수의 센서 출력들을 단일의 의미 있는 표현(meaningful representation)으로 병합(merge)하고 프로세싱 장비의 제어 파라미터들을 조정하는 데 사용하기에 적합한 표현 출력(representation output)들(또는 조정된 입력(adjusted input)들)으로부터 추출하도록 동작 가능할 수 있다. 이러한 방식으로, 프로세싱 장비를 제어할 때 많은 수의 특성들을 고려할 수 있고 장비의 제어 파라미터들을 실질적으로 실시간으로 조정할 수 있어 높은 생산 속도를 유지하면서 제품의 균일성(uniformity)과 일관성(consistency)을 효과적으로 제어하고 낭비를 줄일 수 있음을 알 수 있다. 이러한 방식으로 생산을 빠르고 효율적으로 수행할 수 있다.
방법은 플라즈마의 상태의 생성된 잠재적 표현을 플라즈마의 이상적인 상태(ideal state)의 목표 잠재적 표현(desired latent representation)과 비교하는 단계; 및 생성된 잠재적 표현과 목표 잠재적 표현 사이의 차이(difference)를 식별하는 단계를 더 포함할 수 있다.
비교하는 단계 및 식별하는 단계는 다음과 같이 수행될 수 있다. 생성된 잠재적 표현은 256개의 플로트(float)들일 수 있다. 이 사실은 잠재적 표현들의 각 값 사이의 유클리드 거리의 행렬(matrix of Euclidean distance) 또는 단일 스칼라(single scalar)로서 목표 잠재적 표현과 생성된 잠재적 표현 사이의 전체 유클리드 차이(overall Euclidean difference)를 계산하기 위해 사용될 수 있다. 그런 다음 스칼라 또는 행렬을 ML 모델의 강화 학습 모듈(reinforcement learning module)에 공급될 수 있다. 유클리드 거리는 보상 함수(reward function)의 일부로서 강화 학습 모듈을 훈련시키기 위해서도 사용된다.
대안적으로, 비교 단계 및 식별 단계는 다음과 같이 수행될 수 있다. 생성된 잠재적 표현 및 목표 잠재적 표현은, 두 표현들 사이의 차이를 결정하는 방법을 학습하는 ML 모델의 강화 학습 모듈에 공급될 수 있다. 유클리드 거리 계산은 모델 훈련을 위한 강화 학습 모듈의 보상 함수를 계산하는 것에만 사용될 수 있다.
목표 잠재적 표현은 전체 프로세스에 걸쳐 유지되어야 하는 단일 잠재적 표현일 수도 있고, 또는 프로세스의 다른 단계들에서 상이한 잠재적 표현들이 필요할 수 있는 일련의 잠재적 표현들 중 하나일 수도 있다. 따라서, 비교(comparison)는 생성된 잠재적 표현과 비교하기 위해 적절한 목표 잠재적 표현을 선택하는 것을 포함할 수 있다. 목표 잠재적 표현(들)은 기계 학습 모델의 훈련에 의해 결정되거나 학습될 수 있다.
바람직하게는, 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 조정하는 단계는, 생성된 잠재적 표현과 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계; 및 결정된 적어도 하나의 파라미터를 조정하는 단계를 포함할 수 있다. 결정하는 단계는 예를 들어 강화 학습 모듈과 같은 ML 모델에 의해 수행될 수 있다. 모듈은 다음 시간 단계(next time step)에 의해 조정될 적어도 하나의 파라미터를 출력할 수 있다.
이 방법은 생성된 잠재적 표현과 목표 잠재적 표현 사이의 식별된 차이가 임계값(threshold)을 초과하거나 적어도 하나의 파라미터를 조정하여 최소화할 수 없는 경우 플라즈마 반응기의 조작자(operator)에게 경보(alert)를 출력하는 단계를 더 포함할 수 있다.
(예를 들어, 오토인코더를 사용하여) 센서 데이터를 결합하는 단계는, 상이한 공간적(spatial) 및/또는 시간적(temporal) 차원을 가지는 센서 데이터를 결합하는 단계를 포함할 수 있다. 특정한 오토인코더 입력들은 자체적으로 신경망들 등의 출력일 수 있다.
센서 데이터(sensor data)를 결합하기 위한 예시적인 기술이 설명되며, 여기서 센서 데이터는 스펙트럼 데이터(spectral data) 및 이미지 데이터(image data)이다. 이미지 데이터는 스펙트럼 해상도(spectral resolution)가 낮고 공간적 해상도(spatial resolution)가 높은 RGB 이미지일 수 있다. 스펙트럼 데이터(spectral data)는 스펙트럼 해상도(spectral resolution)가 높은 공간적 평균인 스펙트럼들(spectra)일 수 있다. ML 모델의 컨볼루션 인코더(convolutional encoder)는 분기(branch)하여 각 데이터 아이템으로부터 개별적으로 특징들을 추출하는 방법을 학습할 수 있으며, ML 모델의 딥 인코더(deep encoder)는 추출된 특징들을 결합하는 방법을 학습할 수 있다.
상이한 시간적 해상도 데이터의 경우, 데이터를 결합하기 위해 2개의 기술들이 사용될 수 있다. 예를 들어, 입력 센서 데이터가 수십 초에 걸쳐 평균 에칭 또는 증착 속도(deposition rate)를 제공하는 현장 웨이퍼 계측 방법(in-situ wafer metrology method)/센서로부터 획득되는 경우(가령, 전체 웨이퍼 간섭계(full wafer interferometer)로부터 획득될 수 있는 것), 먼저 ML 모델의 자체 분기(branch)를 통해 시간 평균 계측 데이터를 딥 인코더로 전달한 다음, 다음 기술들 중 하나를 적용하여 데이터가 그 시간 동안 수집된 모든 스펙트럼들과 결합될 수 있다. 하나의 기술은 각 스펙트럼들을 컨볼루션 분기(convolutional branch)를 통해 전달하여 기능들을 추출하고 이러한 기능들을 장 단기 메모리(long short-term memory)(LSTM) 네트워크와 같은 시계열 네트워크(time series network)를 통해 전달한 다음 LSTM 네트워크의 출력을 딥 인코더로 전달하는 것이다. 또 다른 기술은 광학 방출 스펙트럼들을 함께 쌓아 2D 분광을 생성하고 이를 이미지 분기와 유사한 분기를 통해 딥 인코더로 전달하는 것이다. 이러한 두 기술들은 더 높은 차원 또는 더 낮은 차원에서 유사하게 작동한다.
본 기술의 제2 접근 방식에 있어서, 웨이퍼 생산 프로세스를 실시간으로 제어하는 기계 학습(ML) 모델을 훈련시키는 컴퓨터로 구현된 방법이 제공되며, 방법은, 웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들로부터 센서 데이터를 포함하는 훈련 데이터(training data)를 수신하는 단계; 훈련 데이터를 ML 모델의 신경망에 입력하는 단계; 및 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기에서 플라즈마의 상태의 잠재적 표현을 생성하기 위해 ML 모델의 신경망을 훈련시키는 단계를 포함한다.
훈련 데이터를 수신하는 단계는, 데이터 아이템(data item)들의 복수의 세트들을 수신하는 단계를 포함할 수 있고, 데이터 아이템들의 각각의 세트는, 플라즈마의 이미지 및 플라즈마의 이미지 및 플라즈마의 광학 방출 분광을 포함하고, 데이터 아이템들은, 데이터 아이템들의 각각의 세트에 대해, 동일한 시점(same point in time)에 수집된다.
데이터 아이템들의 각각의 세트는, 플라즈마 반응기에 인가된 RF 전력, 플라즈마 반응기 내부의 챔버 부품 온도(temperature of chamber furniture), 플라즈마 반응기 내부의 압력(pressure), 플라즈마 반응기로의 가스 유량(gas flow rate), 플라즈마 임피던스(plasma impedance) 및 플라즈마 전자 밀도(plasma electron density) 중 적어도 하나를 더 포함할 수 있다.
신경망을 훈련시키는 단계는, 특정한 시점에 플라즈마의 상태의 잠재적 표현을 생성하기 위해 데이터 아이템들의 각각의 세트를 결합하도록 신경망의 인코더(encoder)를 훈련시키는 단계를 포함할 수 있다.
신경망을 훈련시키는 단계는, 생성된 잠재적 표현으로부터, 생성된 잠재적 표현에 대응하는 데이터 아이템들의 세트를 재구성(reconstruct)하고, 역전파(backpropagation)를 사용하여, 데이터 아이템들의 세트와 데이터 아이템들의 재구성된 세트 사이의 차이를 최소화하도록, 신경망의 디코더(decoder)를 훈련시키는 단계를 더 포함할 수 있다.
신경망을 훈련시키는 단계는, 플라즈마의 이상적인 상태의 목표 잠재적 표현을 신경망에 입력하는 단계; 각각의 생성된 잠재적 표현과 목표 잠재적 표현 사이의 차이를 식별하기 위해 신경망을 훈련시키는 단계; 및 각각의 생성된 잠재적 표현과 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계를 더 포함할 수 있다. 결정하는 단계는 예를 들어 강화 학습 에이전트(agent)/모듈과 같은 ML 모델에 의해 수행될 수 있다. 모듈은 다음 시간 단계(next time step)에 의해 조정될 적어도 하나의 파라미터를 출력할 수 있다.
본 기술들의 제3 접근 방식에 있어서, 웨이퍼 생산을 위한 시스템이 제공되고, 시스템은, 플라즈마 반응기; 웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들; 및 메모리에 접속(couple)된 적어도 하나의 프로세서를 포함하고 훈련된 기계 학습(ML) 모델을 포함하는 제어 유닛(control unit)을 포함하고, 제어 유닛은, 웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들로부터 실시간으로 센서 데이터를 수신하고; 훈련된 ML 모델의 신경망에 복수의 센서들로부터의 센서 데이터를 입력시키고; 훈련된 ML 모델을 사용하여, 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하고; 및 생성된 잠재적 표현을 사용하여, 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터(control parameter)를 실시간으로 조정하도록 구성된다.
제1 접근 방식과 관련하여 위에서 설명된 기능들은 제3 접근 방식에도 동일하게 적용된다.
복수의 센서는, 온도 센서, 압력 센서, 이미징 장치(imaging device), 현장 웨이퍼 계측 장비(in situ wafer metrology equipment), 분광계(spectrometer), 광학 방출 분광 장비(optical emission spectroscopy equipment), 무선 주파수 센서(radio-frequency sensor), 포토다이오드(photodiode), 마이크로파 프로브(microwave probe), 유량 센서(flow rate sensor) 중 임의의 하나 이상을 포함할 수 있다.
본 기술들의 관련 접근 방식에서, 본 명세서에 설명된 임의의 방법들, 프로세스들 및 기술들을 구현하기 위해 프로세서 제어 코드를 전달하는 비-일시적 데이터 캐리어가 제공된다.
당업자라면 이해할 수 있는 바와 같이, 본 기술들은 시스템, 방법 또는 컴퓨터 프로그램 제품으로서 구현될 수 있다. 따라서, 본 기술들은 전체적으로(entirely) 하드웨어 실시예, 전적으로 소프트웨어 실시예 또는 소프트웨어와 하드웨어 양태(aspect)들을 결합한 실시예의 형태를 취할 수 있다.
또한, 본 기술들은 컴퓨터 판독가능 프로그램 코드(computer readable program code)가 구현된 컴퓨터 판독가능 매체(computer readable medium)에 구현된 컴퓨터 프로그램 제품(computer program product)의 형태를 취할 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 신호 매체 또는 컴퓨터 판독가능 저장 매체일 수 있다. 컴퓨터 판독가능 매체는 예를 들어 전자(electronic), 자기(magnetic), 광학(optical), 전자기(electromagnetic), 적외선(infrared) 또는 반도체 시스템(semiconductor system), 장치(apparatus) 또는 장치(device) 또는 이들의 임의의 적절한 조합일 수 있지만 이에 제한되지 않는다.
본 기술들의 동작들을 수행하기 위한 컴퓨터 프로그램 코드는 객체 지향 프로그래밍 언어 및 종래의 절차적 프로그래밍 언어(procedural programming language)을 포함하는 하나 이상의 프로그래밍 언어(programming language)의 임의의 조합으로 작성될 수 있다. 코드 구성요소들은 절차들, 방법들 등으로 구현될 수 있고, 기본 명령 세트의 직접 기계 명령(direct machine instruction)들로부터 높은-수준의 컴파일(high-level compile) 또는 해석된 언어 구성(interpreted language construct)들에 이르기까지 임의의 추상화 수준(any of the levels of abstraction)들에서 명령(instruction)들 또는 명령들의 시퀀스(sequence)들의 형태를 취할 수 있는 하위-구성요소(sub-component)들을 포함할 수 있다.
본 기술들의 실시예들은 또한 프로세서에서 구현되는 경우, 프로세서로 하여금 본 명세서에 기술된 임의의 방법들을 수행하게 하는 코드를 전달(carry)하는 비-일시적 데이터 캐리어(non-transitory data carrier)를 제공한다.
이 기술들은 예를 들어 범용 컴퓨터 시스템(general purpose computer system) 또는 디지털 신호 프로세서(digital signal processor)(DSP)에서 전술한 방법들을 구현하기 위한 프로세서 제어 코드를 더 제공한다. 기술들은 또한 프로세서 제어 코드를 전달하는 캐리어를 제공하여 실행 시 특히 비-일시적 데이터 캐리어 상에서 위의 방법들 중 임의의 것을 구현한다. 코드는 디스크, 마이크로프로세서, CD- 또는 DVD-ROM과, 비-휘발성 메모리(예: 플래시) 또는 읽기 전용 메모리(펌웨어)와 같은 프로그래밍된 메모리와 같은 캐리어 상에 또는 과학 또는 전기 신호 캐리어와 같은 데이터 캐리어 상에 제공될 수 있다. 본 명세서에 설명된 기술들의 실시예들을 구현하기 위한 코드(및/또는 데이터)는 C와 같은 종래의 프로그래밍 언어(해석된 또는 컴파일된)의 소스, 객체 또는 실행 가능 코드, 어셈블리 코드, 특정 용도 지향 집적 회로(Application Specific Integrated Circuit)(ASIC) 또는 필드 프로그래밍 가능한 게이트 어레이(Field Programmable Gate Array)(FPGA)를 설정하거나 제어하기 위한 코드, 또는 Verilog(RTM) 또는 VHDL과 같은 하드웨어 설명 언어(hardware description language)를 위한 코드를 포함할 수 있다. 당업자가 인식하는 바와 같이, 그러한 코드 및/또는 데이터는 서로 통신하는 복수의 접속된 구성요소들 사이에 분산될 수 있다. 기술들은 마이크로프로세서, 작업 메모리(working memory) 및 시스템의 하나 이상의 구성요소들에 접속된 프로그램 메모리를 포함하는 제어기(controller)를 포함할 수 있다.
또한, 본 기술들의 실시예들에 따른 논리적 방법(logical method)의 전부 또는 일부가 전술한 방법들의 단계들을 수행하기 위한 논리 요소(logic element)들을 포함하는 논리 장치(logic apparatus)에서 적절하게 구현될 수 있음이 당업자에게 명백할 것이고, 이러한 논리 요소들이 예를 들어 프로그래밍 가능한 논리 어레이(programmable logic array) 또는 주문형 집적 회로(application-specific integrated circuit)의 논리 게이트들과 같은 구성요소들을 포함할 수 있다는 것도 당업자에게 명백할 것이다. 이러한 논리 배치는 예를 들어 고정된(fixed) 또는 전송 가능한 캐리어 매체(transmittable carrier media)를 사용하여 저장 및 전송될 수 있는 가상 하드웨어 디스크립터 언어(virtual hardware descriptor language)를 사용하여 이러한 어레이 또는 회로에서 논리 구조(logic structure)들을 일시적으로(temporarily) 또는 영구적으로(permanently) 설정하기 위한 활성화 요소(enabling element)들로 구현될 수 있다.
일 실시예에서, 본 기술들은 다수의(multiple) 프로세서들 또는 제어 회로들을 사용하여 구현될 수 있다. 본 기술들은 장치의 운영 체제에서 실행되거나 운영 체제에 포함(integrate)되도록 적응될 수 있다.
일 실시예에서, 본 기술들은 기능적 데이터(functional data)를 가지는 데이터 캐리어의 형태로 실현될 수 있으며, 컴퓨터 시스템 또는 네트워크에 로드되어 동작되는 경우, 상기 기능적 데이터는 상기 컴퓨터 시스템이 전술한 방법의 모든 단계들을 수행할 수 있게 하는 기능적 컴퓨터 데이터 구조들을 포함한다.
본 발명은 첨부된 도면들을 참조하여 예로서 더 설명될 것이다:
도 1은 웨이퍼 생산을 위한 시스템의 개략적인 블록도이다.
도 2는 훈련된 기계 학습 모델을 사용하여 웨이퍼 생산 프로세스를 실시간으로 제어하는 예시적인 단계들을 도시하는 흐름도이다.
도 3은 제어 장치(control arrangement)의 일부의 도식적 표현이다.
도 4a는 웨이퍼 생산 프로세스를 실시간으로 제어하는 데 사용하기 위한 예시적인 기계 학습 모델을 도시하는 개략도이다.
도 4b는 웨이퍼 생산 프로세스를 실시간으로 제어하는 데 사용하기 위한 추가적인 예시적인 기계 학습 모델을 도시하는 개략도이다.
도 5는 기계 학습 모델을 훈련시키기 위한 데이터를 수집하기 위해 사용되는 실험 데이터 스윕 패턴(experimental data sweep pattern)을 도시한다.
대체로, 본 기술들은 훈련된(trained) 기계 학습(machine learning)(ML) 모델을 사용하여 웨이퍼 생산 프로세스(wafer production process)를 실시간으로 제어하는 방법 및 시스템을 제공한다. 유리하게는, ML 모델은 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태를 결정하기 위해 다수의 감지된(sensed) 파라미터들을 사용하고, 이것은 프로세스 변동성을 줄이기 위해 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 조정하기 위해 사용될 수 있다.
도 1은 웨이퍼 생산을 위한 시스템(10)(본 명세서에서 "웨이퍼 프로세싱 장비"라고도 함)의 개략적인 블록도이다. 시스템(10)은 처리될 웨이퍼가 사용 중에 위치하는 프로세싱 챔버(processing chamber) 또는 플라즈마 반응기(12)를 포함한다. "프로세싱 챔버" 및 "플라즈마 반응기"라는 용어들은 본 명세서에서 상호교환적으로(interchangeably) 사용된다. 프로세스 가스(process gas)는 소스(source)(14)로부터 프로세싱 챔버(12)로 공급된다. 제어 계량 및 밸브 장치(control metering and valve arrangement)(16)는 프로세싱 가스가 프로세싱 챔버(12)에 공급되는 속도를 제어 및 모니터링하도록 동작될 수 있다. 여자 코일(excitation coil)(18)은 프로세싱 챔버(12)를 둘러싼다. 여자 코일(18)에 적절한 변화 신호(varying signal)를 인가하는 것에 의해, 프로세스 가스의 제어된 펄스(pulse)들을 프로세싱 챔버(12)로 전달하는 동안, 프로세싱 챔버(12) 내에 위치한 웨이퍼의 플라즈마 에칭 또는 플라즈마 증착은 제어된 방식으로 달성될 수 있음이 이해될 것이다. 이러한 방식의 플라즈마 에칭 및/또는 증착은 잘 알려져 있으므로 본 명세서에서 더 자세히 설명하지 않는다.
시스템(10)은 프로세싱 챔버(12)와 관련된 다수의 센서들(13)을 포함할 수 있다. 센서들의 출력들(13A)은 예를 들어 적절하게 프로그래밍된 컴퓨터의 형태로 제어 유닛(20)에 공급된다. 적절하게 프로그래밍된 컴퓨터가 제어 유닛(20)을 구성하는 것으로 설명되지만, 제어 유닛(20)이 다른 형태들을 취할 수 있고, 프로세싱 장비(10)의 제어에 사용하도록 특별히 설계된 장치를 포함할 수 있음이 이해될 것이다. 제어 유닛(20)은 메모리에 접속된 적어도 하나의 프로세서를 포함할 수 있다. 적어도 하나의 프로세서는 마이크로프로세서, 마이크로제어기(microcontroller) 및 집적 회로 중 하나 이상을 포함할 수 있다. 메모리는 임시 메모리로 사용하기 위한 랜덤 액세스 메모리(random access memory)(RAM)와 같은 휘발성 메모리, 및/또는 데이터, 프로그램들 또는 명령들을 저장하기 위한 플래시, 읽기 전용 메시지(read only memory)(ROM) 또는 전기적으로 지울 수 있는 프로그래밍 가능한 ROM(electrically erasable programmable ROM; EEPROM)과 같은 비-휘발성 메모리를 포함할 수 있다.
센서들은 이 프로세싱 챔버(12)와 연관된 다수의 파라미터들에 민감(sensitive)하다. 센서들(13)은 처리 챔버(12) 내의 온도 및 압력 컨디션들에 민감한 온도 및 압력 센서들(22), 웨이퍼의 외관을 모니터링할 수 있도록 배치된 광학 카메라(optical camera)(24), 현장 웨이퍼 계측 장비(26), 분광계(28) 및 기타 광학 모니터들 또는 센서들(30) 중 임의의 하나 이상을 포함할 수 있다. 또한, 제어 유닛(20)에는 프로세스 가스 제어 계량(process gas control metering) 및 밸브 장치(valve arrangement)(16)로부터의 유량 정보(flow rate information), 임피던스, 위상(phase) 및 전압 정보(voltage information)가 공급된다.
센서들(13)은 플라즈마의 특성들을 측정하기 위한 다수의 센서들을 포함할 수 있다. 센서들은 프로세싱 챔버(12) 내의 플라즈마를 이미징하기 위한 이미징 장치(예를 들어, 카메라 또는 RGB 카메라), 광학 방출 분광 장비, 무선 주파수 센서들, 포토다이오드 및/또는 마이크로파 프로브들을 포함할 수 있다.
센서들(13)은 웨이퍼의 배치들에서 지정된 계측 웨이퍼의 특성들을 결정하기 위한 하나 이상의 현장 계측 센서(in-situ metrology sensor)들을 포함할 수 있다. 계측 센서는 전체 웨이퍼 간섭계(full wafer interferometer) 및/또는 스펙트럼 반사계(spectral reflectometer)일 수 있다.
센서들(13)은은 압력, 전압, 온도 등과 같은 프로세싱 챔버의 특성들을 측정하기 위한 하나 이상의 센서를 포함할 수 있다.
바람직하게는, 주어진 시점에서 플라즈마의 정확한 잠재적 표현을 생성하기 위해, 센서 데이터는 다수의 센서들로부터 동시에 수집된다. 센서 데이터는 일정한 시간 간격으로 또는 예를 들어 특정한 프로세싱 단계들이 수행된 후에 수집될 수 있다.
온도 및 압력과 같은 일부 센서 출력들(13a)은 비교적 단순한 형태일 수 있지만, 분광계 출력들 및 광학 카메라 출력들과 같은 다른 것들은 매우 복잡하고 데이터가 풍부한 형태일 수 있음이 이해될 것이다.
제어 유닛(control unit)(18)은 아래에 설명된 바와 같이 수신된 센서 정보에 응답하여 코일(18) 및 제어 계량 및 밸브 장치(16)의 동작과 같은 프로세싱 장비(10)의 제어 파라미터들(및 원하는 경우 프로세싱 장비(10)와 연관된 다른 제어 파라미터들)을 제어하도록 동작 가능하다.
따라서, 시스템(10)은 플라즈마 반응기(12); 웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들(13); 및 메모리에 접속된 적어도 하나의 프로세서를 포함하는 제어 유닛(20)을 포함한다. 제어 유닛(20)은 훈련된 기계 학습(ML) 모델(미도시)을 더 포함한다. 제어 유닛(20)은 웨이퍼 생산 프로세스를 실시간으로 모니터링하는 복수의 센서들(13)로부터 센서 데이터를 수신하고; 훈련된 ML 모델의 신경망(neural network)에 복수의 센서들로부터의 센서 데이터를 입력시키고; 훈련된 ML 모델을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태(state of a plasma)의 잠재적 표현(latent representation)을 생성하고; 및 생성된 잠재적 표현을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기(12)의 적어도 하나의 제어 파라미터(control parameter)를 실시간으로 조정한다.
도 3에 도시된 바와 같이, 제어부(20)의 기계 학습 모델은 비지도 기계 학습 모델(unsupervised machine learning model) 또는 딥러닝 모델(deep learning model)일 수 있다. ML 모델의 신경망은 오토인코더(32) 및 디코더(36)를 포함할 수 있고, 오토인코더(32)는 인코더(34)를 정의하고, 여기에서 다양한 센서 출력들(13a)은 이상적인, 목표 또는 타겟(target) 표현과 비교될 수 있는 단일의 의미 있는 표현(즉, 플라즈마 상태의 잠재적 표현)을 형성하기 위해 서로 결합된다. 디코더(36)는 ML 모델의 훈련 동안 생성된 잠재적 표현으로부터 입력을 재구성하기 위해 시도한다. 따라서 디코더는 모델 훈련 프로세스의 일부로서, 잠재적 표현을 생성하기 위해 사용된 원래 입력 데이터(original input data)와 재구성된 입력들 사이의 오류를 줄이기 위해 사용된다. ML 모델이 훈련된 후, 제어 유닛(20)은 제어 계량 및 밸브 장치(16)에 의해 제어되는 가스 유량과 같은 프로세싱 장비의 제어 파라미터들을 제어 또는 조정하기 위해 인코더(34)에 의해 생산된, 생성된 잠재적 표현을 사용한다. 이러한 방식으로, 웨이퍼 프로세싱은 장비가 동작하는 방식의 변동들과 처리되는 웨이퍼들의 변동들을 보상(compensate)하기 위해 실질적으로 실시간으로 제어될 수 있고, 우수한 수준(good level)의 제품 균일성을 달성하고 허용할 수 없는 품질의 제품들을 생산하는 제어 장비를 통해 생산되는 폐기물(waste)의 수준을 줄이기 위해 제어될 수 있음이 이해될 수 있다.
오토인코더는 임의의 적절한 방식으로 센서 출력들을 결합할 수 있으므로 원하는 경우 다른 시간적 또는 공간적 차원을 가진 데이터가 결합될 수 있다.
도 2는 훈련된 기계 학습 모델을 사용하여 웨이퍼 생산 프로세스를 실시간으로 제어하는 예시 단계들을 도시하는 순서도이다. 컴퓨터로 구현된 방법은 웨이퍼 생산 프로세스를 실시간으로 모니터링하는 복수의 센서들로부터 센서 데이터를 수신하는 단계(단계 S100)를 포함한다. 센서 데이터를 수신하는 단계는, 웨이퍼 생산 프로세스에 사용되는 플라즈마의 적어도 하나의 이미지, 및 플라즈마의 적어도 하나의 광학 방출 분광을 수신하는 단계를 포함할 수 있다. 추가적으로 또는 대안적으로, 센서 데이터를 수신하는 단계는 플라즈마 반응기에 인가된 RF 전력(RF power), 플라즈마 반응기 내부의 온도, 플라즈마 반응기 내부의 압력(pressure), 플라즈마 반응기로의 가스 유량(gas flow rate), 플라즈마 임피던스(plasma impedance) 및 플라즈마 전자 밀도(plasma electron density) 중 적어도 하나를 수신하는 단계를 포함한다.
상기 방법은 훈련된 ML 모델의 신경망에 복수의 센서들로부터의 센서 데이터를 입력시키는 단계(단계 S102)를 포함할 수 있다.
상기 방법은 훈련된 ML 모델을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하는 단계(단계 S104)를 포함할 수 있다. 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하는 단계는, 플라즈마의 상태의 잠재적 표현을 실시간으로 생성하기 위해, 신경망을 사용하여, 센서 데이터를 결합하는 단계를 포함할 수 있다.
상기 방법은 플라즈마의 상태의 생성된 잠재적 표현을 플라즈마의 이상적인 상태의 목표 잠재적 표현과 비교하는 단계; 및 생성된 잠재적 표현과 목표 잠재적 표현 사이의 차이를 식별하는 단계를 더 포함할 수 있다.
상기 방법은 생성된 잠재적 표현을 사용하여 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 실시간으로 조정하는 단계(단계 S106)를 포함할 수 있다. 바람직하게는, 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 조정하는 단계는, 생성된 잠재적 표현과 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계; 및 결정된 적어도 하나의 파라미터를 조정하는 단계를 포함할 수 있다.
선택적으로, 상기 방법은 생성된 잠재적 표현과 목표 잠재적 표현 사이의 식별된 차이가 임계값을 초과하거나 적어도 하나의 파라미터를 조정하여 최소화할 수 없는 경우 플라즈마 반응기의 조작자에게 경보를 출력하는 단계(단계 S108)를 더 포함할 수 있다.
도 4a는 웨이퍼 생산 프로세스를 실시간으로 제어하는데 사용하기 위한 예시적인 기계 학습 모델을 도시하는 개략도이다. 이 예에서 이미지들 및 스펙트럼(예: 광학 방출 스펙트럼들)은 모델을 훈련시키는 동안과 추론(inference)하는 동안 플라즈마의 상태의 잠재적 표현을 결정하기 위해 모델에 입력된다. 모델의 왼쪽(left-hand side)만 추론하는 동안(즉: 런타임 동안(during run-time)) 사용된다. 왼쪽은 잠재적 표현을 생성하기 위해 사용되는 ML 모델의 신경망 인코더 부분을 도시한다. 오른쪽은 모델의 훈련 중에 사용되는 신경망의 디코더 부분을 도시한다.
웨이퍼 생산 프로세스를 실시간으로 제어하는 기계 학습(ML) 모델을 훈련시키는 컴퓨터로 구현된 방법은 웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들로부터 센서 데이터를 포함하는 훈련 데이터(training data)를 수신하는 단계; 훈련 데이터를 ML 모델의 신경망에 입력하는 단계; 및 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기에서 플라즈마의 상태의 잠재적 표현을 생성하기 위해 ML 모델의 신경망을 훈련시키는 단계를 포함할 수 있다.
도 4a에 도시된 바와 같이, 훈련 데이터를 수신하는 단계는, 데이터 아이템(data item)들의 복수의 세트들을 수신하는 단계를 포함하고, 데이터 아이템들의 각각의 세트는 플라즈마의 이미지 및 플라즈마의 광학 방출 분광을 포함한다. 데이터 아이템들은 데이터 아이템들의 각각의 세트에 대해, 동일한 시점(same point in time)에 수집된다. 이것은, 생성될 주어진 시점의 플라즈마의 상태의 보다 정확한 표현을 가능하게 한다.
훈련 데이터를 형성하기 위해 센서들로부터 데이터를 수집하는 단계는, 수십만 개의 데이터 포인트(data point)들을 수집하기 위해 상이한 플라즈마 컨디션들을 사용하여 여러 날 동안 시스템(10)을 실행하는 단계를 포함한다. 특히, 복수의 시점들에서 이미지 및 스펙트럼 쌍들이 수집될 수 있다. 상이한 플라즈마 컨디션들은 고차원(high dimensionality)(2개의 전극 전력들, 압력, 3개의 온도들(테이블(table), 벽(wall), 라이너(liner)들), 많은 가능한 혼합물(mixture)이 있는 6-10개의 프로세스 가스들)을 가진 파라미터 공간에 걸친 컨디션 샘플들을 나타낸다. 도 5는 기계 학습 모델을 훈련시키기 위한 데이터를 수집하기 위해 사용되는 실험 데이터 스윕 패턴(experimental data sweep pattern)을 도시한다. Sobol 시퀀스를 사용하여 데이터 포인트들의 준난수 시퀀스(quasi-random sequence)를 생성하여 공간을 효율적으로 샘플링한 다음 파라미터 공간을 스윕(sweep)(도 5에 도시된 스윕 플롯(sweep plot)에 따라)하여 데이터를 수집할 수 있다. 스윕은 예를 들어 파라미터들이 동일한 빈도(frequency)로 변경되는 경우 8초마다 수행될 수 있다.
도 4a는 오토인코더의 연결들을 도시한다. 하나의 분기가 모델의 다른 모든 부분들과 분기를 훈련시키고 지배(dominate)할 수 있기 때문에 전체 모델을 동시에 훈련시키는 것은 작동하지 않는 것으로 나타났다. 따라서, 도 4a의 각 센서 분기(sensor branch)를 개별적으로 훈련해야 할 수도 있다고 결정되었다. 각 센서 분기가 훈련된 후 결정된 신경망 가중치(neural network weight)들은 완전한 오토인코더로 전송(transfer)될 수 있다.
도 4a에 도시된 바와 같이, 각 입력 센서 데이터는 먼저 ML 모델의 인코더에 의해 개별적으로 처리된다. 예를 들어, 이미지 데이터는 공간적 해상도가 높고 스펙트럼 해상도가 낮은 RGB 영상일 수 있고, 스펙트럼 데이터는 스펙트럼 해상도가 높은 공간적 평균인 스펙트럼들일 수 있다. ML 모델의 컨볼루션 인코더(convolutional encoder)는 도 4a의 분기에 도시된 바와 같이 각 데이터 아이템으로부터 개별적으로 특징들을 추출하는 방법을 학습하기 위해 분기할 수 있으며, ML 모델의 딥 인코더(deep encoder)는 추출된 특징들을 결합하는 방법을 학습할 수 있다. 특징 추출을 수행하기 위해 임의의 적합한 기술들이 사용될 수 있다.
서로 다른 시간적 해상도 데이터의 경우, 데이터를 결합하기 위해 2개의 기술들이 사용될 수 있다. 예를 들어, 입력 센서 데이터가 수십 초에 걸쳐 평균 에칭 또는 증착 속도(deposition rate)를 제공하는 현장 웨이퍼 계측 방법(in-situ wafer metrology method)/센서로부터 획득되는 경우(가령, 전체 웨이퍼 간섭계(full wafer interferometer)로부터 획득될 수 있는 것), 먼저 ML 모델의 자체 분기(branch)를 통해 시간 평균 계측 데이터를 딥 인코더로 전달한 다음, 다음 기술들 중 하나를 적용하여 데이터가 그 시간 동안 수집된 모든 스펙트럼들과 결합될 수 있다. 하나의 기술은 각 스펙트럼들을 컨볼루션 분기(convolutional branch)를 통해 전달하여 기능들을 추출하고 이러한 기능들을 장 단기 메모리(long short-term memory)(LSTM) 네트워크와 같은 시계열 네트워크(time series network)를 통해 전달한 다음 LSTM 네트워크의 출력을 딥 인코더로 전달하는 것이다. 또 다른 기술은 광학 방출 스펙트럼들을 함께 쌓아 2D 분광을 생성하고 이를 이미지 분기와 유사한 분기를 통해 딥 인코더로 전달하는 것이다. 이러한 두 기술들은 더 높은 차원 또는 더 낮은 차원에서 유사하게 작동한다.
전체 인코더에서 센서 딥 디코더의 출력의 평균 제곱근 오차(Root Mean Squared Error)는 계산되고 미리-훈련된(pre-trained) 개별적인 센서 인코더의 동일한 출력과 비교된다. 이는 신경망이, 훈련되는 동안 각 센서로부터 유사한 표현을 형성하도록 유도(guide)하는 데 도움이 되지만 딥 인코더, 잠재적 표현 및 딥 디코더가 훈련에서 충분한 자유를 허용하여 전반적으로 손실이 낮은 좋은 표현을 찾을 수 있다.
도 4b는 웨이퍼 생산 프로세스를 실시간으로 제어하는데 사용하기 위한 추가적인 예시적인 기계 학습 모델을 도시하는 개략도이다. 이것은 훈련 및 추론 중에 잠재적 표현을 생성하기 위해 추가적인 센서 데이터가 어떻게 사용될 수 있는지 도시한다. 따라서 모델을 훈련시키는 데(및 추론 시점에) 사용되는 각 데이터 아이템들의 세트는 플라즈마 반응기에 인가된 RF 전력(RF power), 플라즈마 반응기 내부의 온도, 플라즈마 반응기 내부의 압력(pressure), 플라즈마 반응기로의 가스 유량(gas flow rate), 플라즈마 임피던스(plasma impedance) 및 플라즈마 전자 밀도(plasma electron density) 중 적어도 하나를 더 포함할 수 있다.
신경망을 훈련시키는 단계가 특정한 시점에 플라즈마의 상태의 잠재적 표현을 생성하기 위해 데이터 아이템들의 각각의 세트를 결합하도록 신경망의 인코더(encoder)를 훈련시키는 단계를 포함한다는 것을 도 4a 및 도 4b로부터 알 수 있다.
유사하게, 도 4a 및 도 4b는 신경망을 훈련시키는 방법을 도시하고, 신경망을 훈련시키는 단계는, - 생성된 잠재적 표현으로부터, 생성된 잠재적 표현에 대응하는 데이터 아이템들의 세트를 재구성(reconstruct)하고, - 역전파(backpropagation)를 사용하여, 데이터 아이템들의 세트와 데이터 아이템들의 재구성된 세트 사이의 차이를 최소화하도록, 신경망의 디코더(decoder)를 훈련시키는 단계를 더 포함할 수 있다.
신경망을 훈련시키는 단계는, 플라즈마의 이상적인 상태의 목표 잠재적 표현을 신경망에 입력하는 단계; 각각의 생성된 잠재적 표현과 목표 잠재적 표현 사이의 차이를 식별하기 위해 신경망을 훈련시키는 단계; 및 각각의 생성된 잠재적 표현과 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계를 더 포함할 수 있다.
단일의 의미 있는 표현(single meaningful representation)과 타겟 표현의 비교는 강화 학습 에이전트/모듈이 단일의 의미 있는 표현과 타겟 표현 사이의 차이를 지시하는 연속적인 보상 신호(continuous reward signal)를 수신하고 훈련하는 동안 강화 학습 에이전트/모듈은 제어 파라미터들에 대한 조정들이 보상 신호에 미치는 영향을 학습하는 강화 학습 기술을 사용하여 수행되는 것이 바람직하다. 일단 훈련되면, 강화 학습 에이전트는 생산된 제품들이 허용 가능한 품질의 수준(acceptable level of quality)에 있는 안정적인 컨디션에서 프로세싱 장비를 유지하기 위해 지식(knowledge)을 활용(exploit)한다. 생산 중에, 보상 신호를 사용하여 추가적인 훈련을 달성하고 제어 파라미터들을 조정하여 동작(behaviour)의 느린 변화(slow change)들을 조정할 수 있다. 보상 신호의 갑작스러운 변화에 의해 식별되는 행동의 갑작스러운 변화들이 주목되는 경우, 조작자에게 통지되고 프로세싱 장비(10)가 정지될 수 있다.
본 발명에 따르면, 다수의 센서 출력들이 실질적으로 실시간으로 프로세싱 장비의 동작을 제어하기 위해 사용될 수 있음이 이해될 것이다. 따라서, 웨이퍼들의 프로세싱의 변동들이 신속하게 처리(address)될 수 있어 제품 균일도를 향상시킬 수 있다. 광범위한 파라미터들 또는 특성들에 민감한 다수의 센서들의 출력들을 사용하는 폐루프 제어(closed loop control)가 달성될 수 있다.
추가 예시적인 실시예들 및 특징들은 아래의 번호가 매겨진 단락들에 설명되어 있다:
예 1: 웨이퍼의 프로세싱에 사용되는 프로세싱 장비를 제어하기 위해 사용되는 제어 방법에 있어서, 제어 방법은, 제품 및/또는 프로세싱 특성들에 민감한 복수의 센서들로부터 센서 정보를 수신하는 단계, 비지도 기계 학습 모델 또는 딥 러닝 모델에 센서 정보를 입력하는 단계, 및 프로세싱 장비의 적어도 하나의 제어 파라미터를 조정하는 데 실질적으로 실시간으로 모델의 출력을 사용하는 단계를 포함한다.
예 2: 예 1의 방법에 있어서, 센서에 의해 모니터링되는 프로세싱 특성들은 RF 전력(RF power), 온도, 압력, 가스 유량(gas flow rate), 및 전자 밀도(electron density), 광학 카메라에 의해 검출(detect)되는 웨이퍼의 외관(appearance) 및 광학 방출 분광 출력들 중 적어도 하나를 포함한다.
예 3: 예 1의 방법에 있어서, 비지도 기계 학습 모델 또는 딥 러닝 모델은 신경망을 포함한다.
예 4: 예 3의 방법에 있어서, 신경망은 복수의 센서 출력들을 단일의 의미 있는 표현으로 병합하고 프로세싱 장비의 제어 파라미터들을 조정하기 위해 사용하기에 적합한 그 표현 출력들(또는 조정된 입력들)로부터 추출하도록 동작 가능한 오토인코더를 포함한다.
예 5: 예 4의 방법에 있어서, 오토인코더는 상이한 공간적 및/또는 시간적 차원과 데이터를 결합한다.
예 6: 예 4 또는 예 5의 방법에 있어서, 특정한 오토인코더 입력들은 그 자체가 신경망들 등으로부터의 출력들이다.
예 7: 프로세싱 장비는 프로세싱 챔버, 제품 및/또는 프로세싱 특성들에 민감한 복수의 센서들, 센서들로부터의 센서 정보가 공급되는 제어 유닛을 포함하고, 제어 유닛은 실질적으로 실시간으로 프로세싱 장비의 적어도 하나의 제어 파라미터를 제어하기 위해 사용되는 출력을 생산하도록 동작 가능한 비지도 기계 학습 모델 또는 딥러닝 모델을 포함한다.
전술한 내용은 최선의 모드(best mode)로 간주되는 것과 본 기술들을 수행하는 적절한 다른 모드들을 설명했지만, 당업자는 본 기술들이 바람직한 실시예의 설명에 개시된 특정한 구성들 및 방법들로 제한되어서는 안된다는 것을 이해할 것이다. 당업자는 본 기술들이 광범위한 적용 범위를 가지며 실시예들이 첨부된 청구범위에 정의된 발명의 개념을 벗어나지 않고 광범위한 수정들을 취할 수 있음을 인식할 것이다.

Claims (18)

  1. 훈련된 기계 학습(ML) 모델을 사용하여 웨이퍼 생산 프로세스를 실시간으로 제어하는 컴퓨터로 구현된 방법에 있어서,
    상기 웨이퍼 생산 프로세스를 실시간으로 모니터링하는 복수의 센서들로부터 센서 데이터를 수신하는 단계;
    훈련된 ML 모델의 신경망에 상기 복수의 센서들로부터의 상기 센서 데이터를 입력하는 단계;
    상기 훈련된 ML 모델을 사용하여 상기 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하는 단계; 및
    상기 생성된 잠재적 표현을 사용하여 상기 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 실시간으로 조정하는 단계
    를 포함하는,
    방법.
  2. 제1항에 있어서,
    상기 센서 데이터를 수신하는 단계는,
    상기 웨이퍼 생산 프로세스에 사용되는 상기 플라즈마의 적어도 하나의 이미지, 및
    상기 플라즈마의 적어도 하나의 광학 방출 분광
    을 수신하는 단계를 포함하는,
    방법.
  3. 제1항 또는 제2항에 있어서,
    상기 센서 데이터를 수신하는 단계는,
    상기 플라즈마 반응기에 인가된 RF 전력, 상기 플라즈마 반응기 내부의 챔버 부품 온도, 상기 플라즈마 반응기 내부의 압력, 상기 플라즈마 반응기로의 가스 유량, 플라즈마 임피던스 및 플라즈마 전자 밀도 중 적어도 하나를 수신하는 단계
    를 포함하는,
    방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하는 단계는,
    상기 플라즈마의 상기 상태의 잠재적 표현을 실시간으로 생성하기 위해, 상기 신경망을 사용하여, 상기 센서 데이터를 결합하는 단계
    를 포함하는,
    방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 신경망은,
    오토인코더를 포함하는,
    방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 플라즈마의 상기 상태의 상기 생성된 잠재적 표현을 상기 플라즈마의 이상적인 상태의 목표 잠재적 표현과 비교하는 단계; 및
    상기 생성된 잠재적 표현과 상기 목표 잠재적 표현 사이의 차이를 식별하는 단계
    를 더 포함하는,
    방법.
  7. 제6항에 있어서,
    상기 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 조정하는 단계는,
    상기 생성된 잠재적 표현과 상기 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 상기 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계; 및
    상기 결정된 적어도 하나의 파라미터를 조정하는 단계
    를 포함하는,
    방법.
  8. 제6항 또는 제7항에 있어서,
    상기 생성된 잠재적 표현과 상기 목표 잠재적 표현 사이의 상기 식별된 차이가 임계값을 초과하거나 적어도 하나의 파라미터를 조정하여 최소화할 수 없는 경우 상기 플라즈마 반응기의 조작자에게 경보를 출력하는 단계
    를 더 포함하는,
    방법.
  9. 제4항 내지 제8항 중 어느 한 항에 있어서,
    상기 센서 데이터를 결합하는 단계는,
    상이한 공간적 및/또는 시간적 차원을 가지는 센서 데이터를 결합하는 단계
    를 포함하는,
    방법.
  10. 웨이퍼 생산 프로세스를 실시간으로 제어하는 기계 학습(ML) 모델을 훈련시키는 컴퓨터로 구현된 방법에 있어서,
    웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들로부터 센서 데이터를 포함하는 훈련 데이터를 수신하는 단계;
    상기 훈련 데이터를 상기 ML 모델의 신경망에 입력하는 단계; 및
    상기 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기에서 플라즈마의 상태의 잠재적 표현을 생성하기 위해 상기 ML 모델의 상기 신경망을 훈련시키는 단계
    를 포함하는,
    방법.
  11. 제10항에 있어서,
    훈련 데이터를 수신하는 단계는,
    데이터 아이템들의 복수의 세트들을 수신하는 단계
    를 포함하고,
    데이터 아이템들의 각각의 세트는,
    상기 플라즈마의 이미지 및 상기 플라즈마의 광학 방출 분광을 포함하고,
    상기 데이터 아이템들은,
    데이터 아이템들의 각각의 세트에 대해, 동일한 시점에 수집되는,
    방법.
  12. 제11항에 있어서,
    데이터 아이템들의 각각의 세트는,
    상기 플라즈마 반응기에 인가된 RF 전력, 상기 플라즈마 반응기 내부의 온도, 상기 플라즈마 반응기 내부의 압력, 상기 플라즈마 반응기로의 가스 유량, 플라즈마 임피던스 및 플라즈마 전자 밀도 중 적어도 하나를 더 포함하는,
    방법.
  13. 제11항 또는 제12항에 있어서,
    상기 신경망을 훈련시키는 단계는,
    특정한 시점에 상기 플라즈마의 상기 상태의 잠재적 표현을 생성하기 위해 데이터 아이템들의 각각의 세트를 결합하도록 상기 신경망의 인코더를 훈련시키는 단계
    를 포함하는,
    방법.
  14. 제13항에 있어서,
    상기 신경망을 훈련시키는 단계는,
    - 상기 생성된 잠재적 표현으로부터, 상기 생성된 잠재적 표현에 대응하는 데이터 아이템들의 세트를 재구성하고,
    - 역전파를 사용하여, 데이터 아이템들의 상기 세트와 데이터 아이템들의 상기 재구성된 세트 사이의 차이를 최소화하도록,
    상기 신경망의 디코더를 훈련시키는 단계
    를 더 포함하는,
    방법.
  15. 제10항 내지 제14항 중 어느 한 항에 있어서,
    상기 신경망을 훈련시키는 단계는,
    상기 플라즈마의 이상적인 상태의 목표 잠재적 표현을 상기 신경망에 입력하는 단계;
    각각의 생성된 잠재적 표현과 상기 목표 잠재적 표현 사이의 차이를 식별하기 위해 상기 신경망을 훈련시키는 단계; 및
    각각의 생성된 잠재적 표현과 상기 목표 잠재적 표현 사이의 임의의 식별된 차이를 최소화하도록 조정하기 위해 상기 웨이퍼 생산 프로세스의 적어도 하나의 파라미터를 결정하는 단계
    를 더 포함하는,
    방법.
  16. 프로세서에서 구현되는 경우, 상기 프로세서로 하여금 제1항 내지 제15항 중 어느 한 항의 방법을 수행하게 하는 코드를 전달하는 비-일시적 데이터 캐리어.
  17. 웨이퍼 생산을 위한 시스템에 있어서,
    플라즈마 반응기;
    웨이퍼 생산 프로세스를 모니터링하는 복수의 센서들; 및
    메모리에 접속된 적어도 하나의 프로세서를 포함하고 훈련된 기계 학습(ML) 모델을 포함하는 제어 유닛
    을 포함하고,
    상기 제어 유닛은,
    상기 웨이퍼 생산 프로세스를 모니터링하는 상기 복수의 센서들로부터 실시간으로 센서 데이터를 수신하고;
    상기 훈련된 ML 모델의 신경망에 상기 복수의 센서들로부터의 상기 센서 데이터를 입력시키고;
    상기 훈련된 ML 모델을 사용하여, 상기 웨이퍼 생산 프로세스에 사용되는 플라즈마의 상태의 잠재적 표현을 생성하고; 및
    상기 생성된 잠재적 표현을 사용하여, 상기 웨이퍼 생산 프로세스에 사용되는 플라즈마 반응기의 적어도 하나의 제어 파라미터를 실시간으로 조정하도록
    구성되는,
    시스템.
  18. 제17항에 있어서,
    상기 복수의 센서는,
    온도 센서, 압력 센서, 이미징 장치, 현장 웨이퍼 계측 장비, 분광계, 광학 방출 분광 장비, 무선 주파수 센서, 포토다이오드, 마이크로파 프로브, 유량 센서 중 임의의 하나 이상을 포함하는,
    시스템.
KR1020237003565A 2020-07-08 2021-07-07 프로세싱 장비의 제어 KR20230031925A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GBGB2010471.7A GB202010471D0 (en) 2020-07-08 2020-07-08 Control of processing equipment
GB2010471.7 2020-07-08
PCT/GB2021/051725 WO2022008906A1 (en) 2020-07-08 2021-07-07 Control of processing equipment

Publications (1)

Publication Number Publication Date
KR20230031925A true KR20230031925A (ko) 2023-03-07

Family

ID=72050515

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237003565A KR20230031925A (ko) 2020-07-08 2021-07-07 프로세싱 장비의 제어

Country Status (7)

Country Link
US (1) US20230245872A1 (ko)
EP (1) EP4179560A1 (ko)
JP (1) JP2023534197A (ko)
KR (1) KR20230031925A (ko)
CN (1) CN115769336A (ko)
GB (1) GB202010471D0 (ko)
WO (1) WO2022008906A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US20230236586A1 (en) * 2022-01-27 2023-07-27 Applied Materials, Inc. Diagnostic tool to tool matching and full-trace drill-down analyasis methods for manufacturing equipment
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
WO2023239541A1 (en) * 2022-06-08 2023-12-14 Lam Research Corporation Systems and methods for compressing a sensor-based signal
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components
CN117373917B (zh) * 2023-12-07 2024-03-08 天津吉兆源科技有限公司 一种半导体器件加工方法、系统及电子设备

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0602855A1 (en) * 1992-12-14 1994-06-22 AT&T Corp. Active neural network control of wafer attributes in a plasma etch process
US7006205B2 (en) * 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
WO2020055555A1 (en) * 2018-09-12 2020-03-19 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
US20200166909A1 (en) * 2018-11-20 2020-05-28 Relativity Space, Inc. Real-time adaptive control of manufacturing processes using machine learning

Also Published As

Publication number Publication date
CN115769336A (zh) 2023-03-07
EP4179560A1 (en) 2023-05-17
GB202010471D0 (en) 2020-08-19
US20230245872A1 (en) 2023-08-03
WO2022008906A1 (en) 2022-01-13
JP2023534197A (ja) 2023-08-08

Similar Documents

Publication Publication Date Title
KR20230031925A (ko) 프로세싱 장비의 제어
EP3864470B1 (en) Advanced semiconductor process optimization and adaptive control during manufacturing
EP3512977B1 (en) Method and process of implementing machine learning in complex multivariate wafer processing equipment
US20210175104A1 (en) Adaptive control of variability in device performance in advanced semiconductor processes
US10929586B2 (en) Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
KR101848958B1 (ko) 분광 정보를 툴 동작 파라미터 및 재료 측정치와 연결하는 것에 의한 툴 성능의 개선
US20050154482A1 (en) Plasma processing method and apparatus
KR20210067920A (ko) 예측 장치, 예측 방법 및 예측 프로그램
JP6676020B2 (ja) プラズマ処理装置及びプラズマ処理装置状態予測方法
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
CN115428135B (zh) 用于基于光谱的计量和过程控制的机器和深度学习方法
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
Liamanond et al. Production data based optimal etch time control design for a reactive ion etching process
JP4274348B2 (ja) プロセスの予測方法及び処理装置並びにプロセス予測プログラム
KR20230158428A (ko) 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석
TW202431040A (zh) 高階半導體製程優化及製造期間適應性控制