CN115769336A - 加工设备的控制 - Google Patents

加工设备的控制 Download PDF

Info

Publication number
CN115769336A
CN115769336A CN202180047726.9A CN202180047726A CN115769336A CN 115769336 A CN115769336 A CN 115769336A CN 202180047726 A CN202180047726 A CN 202180047726A CN 115769336 A CN115769336 A CN 115769336A
Authority
CN
China
Prior art keywords
plasma
potential representation
production process
wafer production
training
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180047726.9A
Other languages
English (en)
Inventor
G·A·戴利
G·R·泰伯
J·E·菲尔森德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Exeter
Original Assignee
University of Exeter
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Exeter filed Critical University of Exeter
Publication of CN115769336A publication Critical patent/CN115769336A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32181Monitor production, assembly apparatus with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32187Correlation between controlling parameters for influence on quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32188Teaching relation between controlling parameters and quality parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feedback Control In General (AREA)

Abstract

本技术广义地提供了一种用于利用训练机器学习ML模型来实时控制晶片生产工艺的方法和系统。有利地,ML模型利用多个感测参数来确定用于晶片生产工艺中的等离子体的状态,并且这可用于调整晶片生产工艺所用的等离子体反应器的至少一个控制参数以减少工艺可变性。

Description

加工设备的控制
技术领域
本技术总体涉及控制加工设备的操作,特别地涉及加工设备的控制,该加工设备用于晶片通过等离子体沉积和/或蚀刻的生产,该晶片用于例如微米级和纳米级装置。
背景技术
晶片为半导体的薄切片,该薄切片通常可用于制造集成电路或制造太阳能电池。晶片通常用作基板,微米级或纳米级装置构建于该基板上。晶片通常由高纯度和理想的无缺陷单晶体材料来形成。为将晶片用于上述目的,它们可需要经历数种制造工艺,诸如掺杂、离子植入、蚀刻、薄膜沉积和光刻。
用于此类应用的晶片的加工为复杂的。通常,等离子体反应器用于蚀刻和/或沉积,并且虽然期望的是能够生产一致、可重复形式的晶片,但是晶片以此类布置的加工所涉及的控制参数和变量的数量为足够高的,使得难以实现。因此,在晶片的加工中可存在大量的工艺可变性。例如,工艺可变性(variability)影响了由半导体所生产的集成电路(或“芯片”)的产量,此类产品的质量,和可制造的芯片设计的类型。工艺可变性可源于加工腔室或等离子体反应器的变化,随着时间的工艺漂移,和工艺异常(其可由受损设备来引起)。
虽然用于电子工业中的晶片通常由硅形成,但是化合物半导体晶片可用于其它目的,诸如LED制造。化合物半导体晶片可例如由砷化镓、氮化镓或碳化硅制成。化合物半导体晶片的使用可产生特殊问题。例如,一些晶片可由两种材料形成,其中一种半导体材料在另一种半导体材料的顶部上(即,氮化镓在硅上)生长。在这种情况下,两种半导体材料之间的界面或界面层可引起问题,诸如装置稳定性问题,特别是当此类晶片用于光子或量子装置时。期望的是对于折射率和表面粗糙度具有良好控制,因为这影响了此类装置的性能或稳定性。因此,必要的是对于加工技术(processing technique)具有良好控制,该加工技术用于形成化合物半导体晶片。
为能够控制加工技术,一般可用的是能够获得关于加工的一些反馈以确定该加工是否根据预期/要求来执行。因此,可用的是测量例如等离子体的状态、等离子体反应器或腔室的条件,和晶片的状态。然而,用于生产晶片的等离子体为高度化学反应性的,并且与等离子体腔室中的任何内容物进行相互作用,包括等离子体腔室内的任何污物或残留物以及可用于测量等离子体的状态的任何探头。该相互作用引起等离子体发生变化,该变化进而影响晶片生产。因此,期望的是具有非侵入式技术来测量等离子体的状态。然而,现有非侵入式技术未提供特定期望信息,诸如等离子体密度。
现有控制策略通常为开环策略,其中在一批晶片的生产之后,该批晶片的分析可用于推导信息,该信息可用于调整某些控制参数以用于当加工下一批晶片时使用。这样,可考虑工艺变化和漂移。通常,成批晶片包括一种指定计量晶片,其用于检查工艺中的每个阶段或特定阶段的生产工艺。晶片计量能够具体地识别表面颗粒、图案缺陷和其它问题,这些问题可不利地影响利用晶片的装置的性能。通常,分析采取对于每个阶段的批次的计量晶片的快速检查的形式,以检查是否值得继续加工,或该批次是否应废弃;以及更详细计量分析的形式,该更详细计量分析用于查明控制调整来影响后续批次的加工。更详细分析是耗时的,并且因此,为避免生产延迟,在进行更详细分析时,加工可继续进行。因此,通过实例的方式,第一批次的更详细计量分析的结果可仅适用于对于例如第四或第五批次的加工进行调整。分析可显示,后续加工批次的质量不够好而无法使用,并且因此,该加工方法可导致较高废品水平,因为工艺中的问题识别太晚而不允许采取适当纠正措施。这种方式为耗时的、高成本的;并且如上文所提及,是浪费的。
为减轻前文所解释方式的缺点,布置是已知的,其中“虚拟计量”模型用于基于较低成本和较快速非侵入式诊断方式而预测输出(该输出通过执行加工晶片的完全分析来实现)并且利用建模输出对于后续批次的加工控制进行调整。虽然这种方式具有可实现成本节省的益处并且分析方式可为较低耗时的,但是后续生产质量取决于所用模型的准确性,并且这些模型通常为非常基本的形式。特别地,通过提取简单特征(诸如两个发射线的强度比)和将这些特征用作模型的输入,简单分析通常对于非侵入式诊断数据(诸如光学发射光谱)来进行;并且由于该参数的变化可源于多种原因,存在这样的风险:仅模型输出可能不足以允许采取适当纠正措施。
因此,本申请人已发现对于用于控制加工设备的控制方法的需求,由此与已知的布置相关联的至少一些缺点得以克服或降低影响。
发明内容
在本技术的第一种方式中,提供了一种用于利用训练机器学习(machinelearning)ML模型来实时控制晶片生产工艺的计算机实施方法,该方法包括:从监测晶片生产工艺的多个传感器实时接收传感器数据;将多个传感器的传感器数据输入至训练ML模型的神经网络中;利用训练ML模型来生成用于晶片生产工艺中的等离子体的状态的潜在表示;以及利用生成潜在表示来实时调整用于晶片生产工艺中的等离子体反应器的至少一个控制参数。
通过传感器所监测的加工特性可包括例如RF功率、温度、压力、气体流速,以及诸如通过光学相机所检测的电子密度、晶片外观的特性,以及光学发射光谱输出。然而,本发明未限制于这些特定特性和参数,并且如果需要,可使用对于其它特性和参数敏感的传感器。
传感器信息的至少一些可为高度复杂形式。通过实例的方式,其可包括数据丰富源,诸如光学发射光谱输出或光学图像,如上文所提及。
因此,接收传感器数据的步骤可包括接收:用于晶片生产工艺中的等离子体的至少一个图像,和等离子体的至少一个光学发射光谱图。
额外地或可替代地,接收传感器数据的步骤可包括接收以下项的至少一者:施加至等离子体反应器的RF功率、等离子体反应器内部的温度、等离子体反应器内部的压力、进入等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
生成用于晶片生产工艺中的等离子体的状态的潜在表示的步骤可包括:利用神经网络来组合传感器数据以生成等离子体的状态的实时潜在表示。
机器学习模型可为无监督机器学习或深度学习模型。机器学习模型的神经网络可包括自动编码器。自动编码器可操作以将多个传感器输出合并成单个有意义表示,并且从该表示提取输出(或所调整输入),该输出适合用于调整加工设备的控制参数。应当理解,这样,可考虑大量特性来控制加工设备,并且该设备的控制参数可大体实时调整,从而允许对于产品均匀性和一致性以及废品减少的良好控制水平,同时维持高生产速度。这样,生产可快速地和有效地进行。
该方法还可包括:将等离子体的状态的生成潜在表示与等离子体的理想状态的期望潜在表示相比较;以及识别该生成潜在表示和期望潜在表示之间的任何差异。
比较和识别步骤可执行如下。该生成潜在表示可为256个浮点。该事实可用于将期望潜在表示和生成潜在表示之间的整体欧几里得差异计算为潜在表示的每个数值之间的欧几里得距离的单个标量或矩阵。然后,标量或矩阵可馈送至ML模型的增强学习模块中。欧几里得距离还可用于训练增强学习模块,作为奖励函数的一部分。
可替代地,比较和识别步骤可执行如下。生成潜在表示和期望潜在表示可馈送至ML模型的增强学习模块中,该增强学习模块学习以确定两种表示之间的差异。欧几里得距离计算可仅用于计算增强学习模块的奖励函数,以用于训练模型。
期望潜在表示可为应在整个工艺期间维持的单个潜在表示,或可为一系列或一组潜在表示的一者,其中不同潜在表示可以在工艺的不同阶段是值得期望的。因此,比较可包括选择适当的期望潜在表示以与所生成潜在表示相比较。期望潜在表示可通过机器学习模型的训练来确定或学习。
优选地,调整用于晶片生产过程中的等离子体反应器的至少一个控制参数可包括:确定晶片生产工艺的至少一个参数进行调整以使生成潜在表示和期望潜在表示之间的任何识别差异最小化;以及调整该至少一个确定参数。该确定可通过ML模型来执行,诸如,例如通过增强学习模块。该模块可输出至少一个参数以通过下一时间步骤进行调整。
该方法还可包括:当生成潜在表示和潜在表示之间的识别差异超出阈值或不可通过调整至少一个参数来最小化时,将警报输出至等离子体反应器的操作者。
组合传感器数据(利用例如自动编码器)可包括组合具有不同空间和/或时间维度的传感器数据。某些自动编码器输入可自身为神经网络的输出等。
描述了一种用于组合传感器数据的实例技术,其中传感器数据为光谱数据和图像数据。图像数据可为RGB图像,该RGB图像具有低光谱分辨率和高空间分辨率。光谱数据可为光谱,该光谱为具有高光谱分辨率的空间平均值。ML模型的卷积编码器可分支以学习从而从每个数据项单独地提取特征,并且ML模型的深度编码器可学习以组合这些提取特征。
对于不同时间分辨率数据,两种技术可用于组合该数据。例如,如果输入传感器数据获得自原位晶片计量方法/传感器,该原位晶片计量方法/传感器提供了数十秒内的平均蚀刻或沉积速率(诸如可从完全晶片干涉仪获得的数据),那么该数据可与在该时间所收集的所有光谱进行组合(通过首先将时间平均计量数据传递通过ML模型中的其自有分支至深度编码器,和然后应用下述技术的一者)。一种技术包括将每个光谱传递通过卷积分支以提取特征,将这些特征传递通过时间序列网络(例如,长短期存储器(LSTM)网络),和然后将LSTM网络的输出传递至深度编码器。另一种技术包括将光学发射光谱一起堆叠以创建2D光谱图,和将该2D光谱图传递通过分支(类似于图像分支)至深度编码器。这两种技术在较高或较低维度上类似地起作用。
在本技术的第二种方式中,提供了一种用于训练机器学习ML模型来实时控制晶片生产工艺的计算机实施方法,该方法包括:接收训练数据,该训练数据包括来自监测晶片生产工艺的多个传感器的传感器数据;将训练数据输入至ML模型的神经网络中;以及训练ML模型的神经网络以生成用于晶片生产工艺中的等离子体反应器中等离子体的状态的潜在表示。
接收训练数据可包括接收多组数据项,其中每组数据项包括等离子体的图像和等离子体的光学发射光谱图,并且其中对于每组数据项,这些数据项在相同时间点进行收集。
每组数据项还可包括以下项的至少一者:施加至等离子体反应器的RF功率、等离子体反应器内部的腔室用具的温度、等离子体反应器内部的压力、进入等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
训练神经网络可包括训练神经网络的编码器以:组合每组数据项来在特定时间点生成等离子体的状态的潜在表示。
训练神经网络还可包括训练神经网络的解码器以:根据生成潜在表示重建对应于该生成潜在表示的组数据项;以及利用反向传播算法使该组数据项和重建组数据项之间的差异最小化。
训练神经网络还可包括:将等离子体的理想状态的期望潜在表示输入至神经网络中;训练神经网络以识别每个生成潜在表示和期望潜在表示之间的任何差异;以及确定晶片生产工艺的至少一个参数进行调整以使每个生成潜在表示和期望潜在表示之间的任何识别差异最小化。该确定可通过ML模型来执行,诸如,例如通过增强学习代理/模块。该模块可输出至少一个参数以通过下一时间步骤进行调整。
在本技术的第三种方式中,提供了一种用于晶片生产的系统,该系统包括:等离子体反应器;多个传感器,其用于监测晶片生产工艺;以及控制单元,其包括联接至存储器的至少一个处理器并且包括训练机器学习ML模型;其中该控制单元布置成:从监测晶片生产工艺的多个传感器实时接收传感器数据;将多个传感器的传感器数据输入至训练ML模型的神经网络中;利用训练ML模型来生成用于晶片生产工艺中的等离子体的状态的潜在表示;以及利用该生成潜在表示来实时调整用于晶片生产工艺中的等离子体反应器的至少一个控制参数。
上文相对于第一种方式所描述的特征等同地适用于第三种方式。
多个传感器可包括以下项的任一者或多者:温度传感器、压力传感器、成像装置、原位晶片计量设备、光谱仪、光学发射光谱设备、射频传感器、光电二极管、微波探头、流速传感器。
在本技术的相关方式中,提供了一种非暂态数据载体,该非暂态数据载体承载处理器控制代码以实施本文所描述的方法、工艺和技术的任一者。
如本领域的技术人员应理解,本技术可体现为系统、方法或计算机程序产品。因此,本技术可采取完全硬件实施例、完全软件实施例,或组合软件和硬件方面的实施例的形式。
此外,本技术可采取计算机程序产品的形式,该计算机程序产品体现于计算机可读介质中,该计算机可读介质具有体现于其上的计算机可读程序代码。计算机可读介质可为计算机可读信号介质或计算机可读存储介质。计算机可读介质可为例如但不限于电子、磁性、光学、电磁、红外或半导体系统、设备或装置,或前述的任何合适组合。
用于执行本技术的操作的计算机程序代码可以一种或多种编程语言的任何组合来编写,包括面向对象编程语言和常规程序性编程语言。代码组件可体现为程序、方法或等,并且可包括子组件,该子组件可采取任何抽象水平的指令或指令序列的形式,从原生指令组的直接机器指令至高级编译或解释语言构造。
本技术的实施例提供了一种非暂态数据载体,该非暂态数据载体承载代码,该代码当在处理器上实施时引起处理器执行本文所描述方法的任一者。
该技术还提供了处理器控制代码以例如在通用计算机系统上或在数字信号处理器(DSP)上实施上文所描述的方法。该技术还提供了承载处理器控制代码的载体,该处理器控制代码当运行时特别地在非暂态数据载体上实施上述方法的任一者。代码可提供于载体上,诸如硬盘、微处理器、CD-或DVD-ROM、编程存储器,诸如非易失性存储器(例如,闪存)或只读存储器(固件);或提供于数据载体上,诸如光学或电信号载体。用以实施本文所描述技术的实施例的代码(和/或数据)可包括常规编程语言(诸如C语言)中的源代码、目标代码或可执行代码(解释或编译),或汇编代码,用于设定或控制ASIC(专用集成电路)或FPGA(现场可编程门阵列)的代码,或用于硬件描述语言(诸如Verilog(RTM)或VHDL(极高速集成电路硬件描述语言))的代码。如技术人员将理解,此类代码和/或数据可分布于彼此通信的多个联接部件之间。该技术可包括控制器,该控制器包括联接至系统的一个或多个部件的微处理器、工作存储器和成像存储器。
对于本领域的技术人员还将明显的是,根据本技术的实施例的逻辑方法的全部或部分可适当地体现于逻辑设备中,该逻辑设备包括逻辑元件以执行上文所描述方法的步骤,并且此类逻辑元件可包括部件,诸如,例如可编程逻辑阵列或专用集成电路中的逻辑门。此类逻辑布置还可体现于启用元件中,以用于利用例如虚拟硬件描述符语言暂时地或永久地建立此类阵列或电路中的逻辑结构,该虚拟硬件描述符语言可利用固定或可传输载体介质进行存储和传输。
在一个实施例中,本技术可利用多个处理器或控制电路来实施。本技术可适于在设备的操作系统上运行,或集成于该操作系统中。
在一个实施例中,本技术可以数据载体的形式来实现,该数据载体在其上具有函数数据,所述函数数据包括函数计算机数据结构以当加载于计算机系统或网络中并在其上运行时允许所述计算机系统执行上文所描述方法的所有步骤。
附图说明
本发明将参考附图通过实例的方式来进一步描述,其中:
图1为用于晶片生产的系统的示意性框图;
图2为流程图,示出了用以利用训练机器学习模型来实时控制晶片生产工艺的实例步骤;
图3为控制布置的一部分的图解表示;
图4A为示意图,示出了用于实时控制晶片生产工艺的实例机器学习模型;
图4B为示意图,示出了用于实时控制晶片生产工艺的另一实例机器学习模型;以及
图5示出了用以收集数据的实验数据扫描模式,该数据用于训练机器学习模型。
具体实施方式
广义地讲,本技术提供了一种用于利用训练机器学习ML模型来实时控制晶片生产工艺的方法和系统。有利地,该ML模型利用多个感测参数来确定用于晶片生产工艺中的等离子体的状态,并且这可用于调整晶片生产工艺所用的等离子体反应器的至少一个控制参数以减少工艺可变性。
图1为用于晶片生产的系统10(在本文还称为“晶片加工设备”)的示意性框图。系统10包括加工腔室或等离子体反应器12,在使用时,待加工的晶片位于加工腔室或等离子体反应器12内。术语“加工腔室”和“等离子体反应器”在本文可互换地使用。工艺气体从源14供应至加工腔室12。控制计量和阀布置16可操作以控制并监测工艺气体供应至加工腔室12的速率。激励线圈18围绕加工腔室12。应当理解,通过将合适变化信号施加至激励线圈18,同时将工艺气体的受控脉冲递送至加工腔室12,等离子体沉积或位于加工腔室12内的晶片的等离子体蚀刻可以受控方式来实现。这样,等离子体蚀刻和/或沉积为周知的,并且因此在本文未进一步详细地描述。
系统10可包括与加工腔室12相关联的多个传感器13。传感器的输出13A供应至控制单元20,例如,适当编程计算机的形式。虽然适当编程计算机描述为构成了控制单元20,但是应当理解,控制单元20可采取其它形式,并且可包括特别地设计用于控制加工设备10的装置。控制单元20可包括联接至存储器的至少一个处理器。至少一个处理器可包括以下项的一者或多者:微处理器、微控制器和集成电路。存储器可包括用作暂时存储器的易失性存储器(诸如随机存取存储器(RAM)),和/或非易失性存储器,诸如,例如闪存、只读存储器(ROM),或电可擦除可编程ROM(EEPROM)(用于存储数据、程序或指令)。
传感器对于与该加工腔室12相关联的多个参数为敏感的。传感器13可包括以下项的任一者或多者:对于加工腔室12内的温度和压力条件敏感的温度和压力传感器22、定位成允许监测晶片外观的光学相机24、原位晶片计量设备26、光谱仪28,和其它光学监测器或传感器30。此外,控制单元20供应有工艺气体控制计量和阀布置16的流速信息,以及阻抗、相位和电压信息。
传感器13可包括多个传感器以用于测量等离子体的性质。传感器可包括成像装置(例如,相机或RGB相机)(用于使加工腔室12内的等离子体成像)、光学发射光谱设备、射频传感器、光电二极管,和/或微波探头。
传感器13可包括一个或多个原位计量传感器,以用于确定一批晶片中的指定计量晶片的性质。计量传感器可为全晶片干涉仪和/或光谱反射仪。
传感器13可包括一个或多个传感器以用于测量加工腔室的性质,诸如压力、电压、温度等。
优选地,为在给定时间点生成等离子体的准确潜在表示,传感器数据从多个传感器同时收集。传感器数据可以规则时间间隔进行收集,或例如在特定加工步骤已执行之后进行收集。
应当理解,一些传感器输出13a(诸如温度和压力)可为较简单形式,但其它输出(诸如光谱仪输出和光学相机输出)可为高度复杂的数据丰富形式。
控制单元20为可操作的(如下文所描述),以响应于如下文所解释的该接收传感器信息而控制加工设备10的控制参数,诸如线圈18和控制计量和阀布置16(以及在需要的情况下,与加工设备10相关联的其它控制参数)的操作。
因此,系统10包括:等离子体反应器12;多个传感器13,用于监测晶片生产工艺;和控制单元20,包括联接至存储器的至少一个处理器。控制单元20还包括训练机器学习ML模型(未示出)。控制单元20布置成:从监测晶片生产工艺的多个传感器13实时接收传感器数据;将多个传感器的传感器数据输入至训练ML模型的神经网络中;利用训练ML模型来生成用于晶片生产工艺中的等离子体的状态的潜在表示;以及利用该生成潜在表示来实时调整用于晶片生产工艺中的等离子体反应器12的至少一个控制参数。
如图3所示,控制单元20的机器学习模型可为无监督机器学习模型或深度学习模型。ML模型的神经网络可包括自动编码器32,自动编码器32限定了编码器34和解码器36,各种传感器输出13a在编码器34中彼此组合以形成单个有意义表示(即,等离子体的状态的潜在表示),该单个有意义表示可与理想表示、期望表示或目标表示相比较。解码器36尝试在ML模型的训练期间从该生成潜在表示重建输入。因此,作为模型训练过程的一部分,解码器用于减少该重建输入和原始输入数据之间的误差,该原始输入数据用于生成潜在表示。在ML模型已训练之后,控制单元20利用由解码器34所产生的生成潜在表示来控制或调整加工设备的控制参数,诸如,如通过控制计量和阀布置16所控制的气体流速。这样,应当理解,晶片加工可大体实时控制以补偿其中设备正在运行时方式的变化和正在加工的晶片的变化,以实现良好水平的产品均匀性和以减少废品水平,该废品通过产生不合格质量的产品的控制设备来产生。
自动编码器可以任何适合方式组合传感器输出,并且因此如果需要的话,具有不同时间或空间维度的数据可进行组合。
图2为流程图,示出了用以利用训练机器学习模型来实时控制晶片生产过程的实例步骤。计算机实施方法包括:从监测晶片生产工艺的多个传感器实时接收传感器数据(步骤S100)。接收传感器数据可包括接收:用于晶片生产工艺中的等离子体的至少一个图像,以及等离子体的至少一个光学发射光谱图。额外地或替代地,接收传感器数据的步骤可包括接收以下项的至少一者:施加至等离子体反应器的RF功率、等离子体反应器内部的温度、等离子体反应器内部的压力、进入等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
该方法可包括将多个传感器的传感器数据输入至训练ML模型的神经网络中(步骤S102)。
该方法可包括利用训练ML模型来生成用于晶片生产工艺中的等离子体的状态的潜在表示(步骤S104)。生成用于晶片生产工艺中的等离子体的状态的潜在表示的步骤可包括:利用神经网络来组合传感器数据以生成等离子体的状态的实时潜在表示。
该方法还可包括:将等离子体的状态的生成潜在表示与等离子体的理想状态的期望潜在表示相比较;以及识别该生成潜在表示和潜在表示之间的任何差异。
该方法可包括利用该生成潜在表示来实时调整用于晶片生产工艺中的等离子体反应器的至少一个控制参数(步骤S106)。优选地,调整用于晶片生产过程中的等离子体反应器的至少一个控制参数可包括:确定晶片生产工艺的至少一个参数进行调整以使生成潜在表示和期望潜在表示之间的任何识别差异最小化;以及调整该至少一个确定参数。
可选地,该方法还可包括:当生成潜在表示和潜在表示之间的识别差异超出阈值或不可通过调整至少一个参数来最小化时,将警报输出至等离子体反应器的操作者(步骤S108)。
图4A为示意图,示出了用于实时控制晶片生产工艺的实例机器学习模型。在该实例中,在模型的训练期间和在推理期间,图像和光谱(例如,光学发射光谱)输入至模型中,以确定等离子体的状态的潜在表示。在推理期间(即,在运行时间期间),仅使用模型的左手侧。左手侧示出了ML模型的神经网络的编码器部分,该编码器部分用于生成潜在表示。右手侧示出了神经网络的解码器部分,该解码器部分在模型的训练期间使用。
用于训练机器学习ML模型来实时控制晶片生产工艺的计算机实施方法可包括:接收训练数据,该训练数据包括来自监测晶片生产工艺的多个传感器的传感器数据;将训练数据输入至ML模型的神经网络中;以及训练ML模型的神经网络以生成用于晶片生产工艺中的等离子体反应器中等离子体的状态的潜在表示。
如图4A所示,接收训练数据可包括接收多组数据项,其中每组数据项包括等离子体的图像和等离子体的光学发射光谱图。对于每组数据项,数据项在相同时间点进行收集。这允许在给定时间点生成等离子体的状态的更准确表示。
收集传感器的数据来形成训练数据可包括利用不同等离子体条件使系统10运行多天,以收集成千上万个数据点。特别地,可在多个时间点收集图像和光谱对。不同等离子体条件表示具有高维度的参数空间的条件样本(2种电极功率、压力、3种温度(台面、壁面、衬里),具有多种可能混合物的6至10种工艺气体)。图5示出了用以收集数据的实验数据扫描模式,该数据用于训练机器学习模型。Sobol序列可用于生成数据点的准随机序列以在整个空间有效地取样,和然后扫描整个参数空间(根据图5所示的扫描曲线图)以收集数据。扫描可例如每8秒执行,其中参数以相同频率改变。
图4A示出了自动编码器中的连接部。已发现,同时训练整个模型不起作用,因为一个分支可训练并支配模型的所有其它部分和分支。因此,已确定,图4A的每个传感器分支可需进行单独地训练。然后,在每个传感器分支已训练之后所确定的神经网络权重可转移至完整自动编码器。
如图4A所示,每个输入传感器数据首先通过ML模型的编码器来单独地处理。例如,图像数据可为RGB图像,该RGB图像具有低光谱分辨率和高空间分辨率;并且光谱数据可为光谱,该光谱为具有高光谱分辨率的空间平均值。ML模型的卷积编码器可分支学习以从每个数据项单独地提取特征,如通过图4A的分支所示,并且ML模型的深度编码器可学习以组合这些提取特征。任何合适技术可用于执行特征提取。
对于不同时间分辨率数据,两种技术可用于组合该数据。例如,如果输入传感器数据获得自原位晶片计量方法/传感器,该原位晶片计量方法/传感器提供了数十秒内的平均蚀刻或沉积速率(诸如可从完全晶片干涉仪获得的数据),那么该数据可与在该时间所收集的所有光谱进行组合(通过首先将时间平均计量数据传递通过ML模型中的其自有分支至深度编码器,和然后应用下述技术的一者)。一种技术包括将每个光谱传递通过卷积分支以提取特征,将这些特征传递通过时间序列网络(例如,长短期存储器(LSTM)网络),以及然后将LSTM网络的输出传递至深度编码器。另一种技术包括将光学发射光谱一起堆叠以创建2D光谱图,和将该2D光谱图传递通过分支(类似于图像分支)至深度编码器。这两种技术在较高或较低维度上类似地起作用。
计算整个编码器中的传感器深度编码器的输出的均方根误差,并且与独立预训练传感器编码器上的相同输出相比较。这有助于引导神经网络在训练时形成每个传感器的类似表示,但允许深度编码器、潜在表示和深度解码器的足够训练自由度以找到良好表示,该良好表示达到整体较低损失。
图4B为示意图,示出了用于实时控制晶片生产工艺的另一实例机器学习模型。该图示出了额外传感器数据如何可用于在训练和推理两者期间生成潜在表示。因此,用以训练模型(和在推理时间)的每组数据项还可包括以下项的至少一者:施加至等离子体反应器的RF功率、等离子体反应器内部的温度、等离子体反应器内部的压力、进入等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
从图4A和图4B可看出,训练神经网络可包括训练神经网络的编码器以:组合每组数据项来在特定时间点生成等离子体的状态的潜在表示。
类似地,图4A和图4B示出了训练神经网络如何还可包括训练神经网络的解码器以:根据生成潜在表示重建对应于该生成潜在表示的组数据项;以及利用反向传播算法使这个组数据项和重建组数据项之间的差异最小化。
训练神经网络还可包括:将等离子体的理想状态的期望潜在表示输入至神经网络中;训练神经网络以识别每个生成潜在表示和期望潜在表示之间的任何差异;以及确定晶片生产工艺的至少一个参数进行调整以使每个生成潜在表示和期望潜在表示之间的任何识别差异最小化。
单个有意义表示与目标表示的比较优选地利用增强学习技术来执行,其中增强学习代理/模块接收指示单个有意义表示和目标表示之间的差异的连续奖励信号,并且在训练时学习对于控制参数的调整如何影响奖励信号。一旦训练,则增强学习代理利用其知识来将加工设备维持于稳定条件,其中由此所生产的产品处于合格质量水平。在生产期间,奖励信号仍可用于实现额外训练和对于控制参数所做出的调整,以适应行为的缓慢变化。如果注意到行为的突然变化(通过奖励信号的突然变化来识别),那么操作者可收到通知并且加工设备10关闭。
应当理解,根据本发明,大量的传感器输出可大体实时用于控制加工设备的操作。因此,晶片加工中的变化可快速地解决,从而得到增强产品均匀性。利用对于宽广范围的参数或特性敏感的多个传感器的输出,可实现闭合环路控制。
其它实例实施例和特征描述于下文编号段落中:
实例1:一种用于控制用于晶片加工的加工设备的控制方法,该方法包括从对于产品和/或加工特性敏感的多个传感器接收传感器信息,将该传感器信息输入至无监督机器学习或深度学习模型中,和大体同时利用该模型的输出来调整该加工设备的至少一个控制参数。
实例2:根据实例1所描述的方法,其中通过传感器所监测的加工特性包括RF功率、温度、压力、气体流速的至少一者,以及诸如通过光学相机所检测的电子密度、晶片外观的特性,以及光学发射光谱输出。
实例3:根据实例1所描述的方法,其中无监督机器学习或深度学习模型包括神经网络。
实例4:根据实例3所描述的方法,其中神经网络包括自动编码器,该自动编码器可操作以将多个传感器输出合并成单个有意义表示,并且从该表示提取输出(或所调整输入),该输出适合用于调整加工设备的控制参数。
实例5:根据实例4所描述的方法,其中自动编码器组合了具有不同空间和/或时间维度的数据。
实例6:根据实例4或实例5所描述的方法,其中某些自动编码器输入自身是神经网络等的输出。
实例7:一种加工设备,该加工设备包括加工腔室、多个传感器和控制单元,该多个传感器对于产品和/或加工特性敏感,传感器的传感器信息供应至控制单元,该控制单元包括无监督机器学习或深度学习模型,该无监督机器学习或深度学习模型可操作以产生输出,该输出大体实时用于控制加工设备的至少一个控制参数。
本领域的技术人员应理解,虽然前文已描述了被视为执行本技术的最佳模式和在适当情况下其它模式的内容,但是本技术不应限制于在优选实施例所公开的具体配置和方法。本领域的技术人员将认识到,本技术具有广泛应用范围,并且实施例可进行宽广范围的修改而不脱离如附属权利要求书所限定的任何发明理念。

Claims (18)

1.一种用于利用训练机器学习ML模型来实时控制晶片生产工艺的计算机实施方法,所述方法包括:
从监测所述晶片生产工艺的多个传感器实时接收传感器数据;
将所述多个传感器的传感器数据输入至所述训练ML模型的神经网络中;
利用所述训练ML模型来生成用于所述晶片生产工艺中的等离子体的状态的潜在表示;以及
利用所述生成潜在表示来实时调整用于所述晶片生产工艺中的等离子体反应器的至少一个控制参数。
2.根据权利要求1所述的方法,其中接收传感器数据包括接收:
用于所述晶片生产工艺中的所述等离子体的至少一个图像,以及
所述等离子体的至少一个光学发射光谱图。
3.根据权利要求1或2所述的方法,其中接收传感器数据包括接收以下项的至少一者:施加至所述等离子体反应器的RF功率、所述等离子体反应器内部的腔室用具的温度、所述等离子体反应器内部的压力、进入所述等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
4.根据任一项前述权利要求所述的方法,其中生成用于所述晶片生产工艺中的等离子体的状态的潜在表示包括:
利用所述神经网络来组合所述传感器数据以生成所述等离子体的状态的实时潜在表示。
5.根据任一项前述权利要求所述的方法,其中所述神经网络包括自动编码器。
6.根据任一项前述权利要求所述的方法,还包括:
将所述等离子体的状态的生成潜在表示与所述等离子体的理想状态的期望潜在表示相比较;以及
识别所述生成潜在表示和期望潜在表示之间的任何差异。
7.根据权利要求6所述的方法,其中调整用于所述晶片生产工艺中的等离子体反应器的至少一个控制参数包括:
确定所述晶片生产工艺的至少一个参数进行调整以使所述生成潜在表示和所述期望潜在表示之间的任何识别差异最小化;以及
调整至少一个确定参数。
8.根据权利要求6或7所述的方法,还包括:
当所述生成潜在表示和所述潜在表示之间的识别差异超出阈值或不可通过调整至少一个参数来最小化时,将警报输出至所述等离子体反应器的操作者。
9.根据权利要求4至8中任一项所述的方法,其中组合所述传感器数据包括组合具有不同空间和/或时间维度的传感器数据。
10.一种用于训练机器学习ML模型的计算机实施方法,所述ML模型用于实时控制晶片生产工艺,所述方法包括:
接收训练数据,所述训练数据包括得自监测晶片生产工艺的多个传感器的传感器数据;
将所述训练数据输入至所述ML模型的神经网络中;以及
训练所述ML模型的神经网络以生成用于所述晶片生产工艺中的等离子体反应器中等离子体的状态的潜在表示。
11.根据权利要求10所述的方法,其中接收训练数据包括接收多组数据项,其中每组数据项包括所述等离子体的图像和所述等离子体的光学发射光谱图,并且其中对于每组数据项,所述数据项在相同时间点进行收集。
12.根据权利要求11所述的方法,其中每组数据项还包括以下项的至少一者:施加至所述等离子体反应器的RF功率、所述等离子体反应器内部的温度、所述等离子体反应器内部的压力、进入所述等离子体反应器中的气体流速、等离子体阻抗,以及等离子体电子密度。
13.根据权利要求11或12所述的方法,其中训练所述神经网络包括训练所述神经网络的编码器以:
组合每组数据项以在特定时间点生成所述等离子体的状态的潜在表示。
14.根据权利要求13所述的方法,其中训练所述神经网络还包括训练所述神经网络的解码器以:
根据生成潜在表示重建对应于所述生成潜在表示的组数据项;以及
利用反向传播算法使所述组数据项和重建的组数据项之间的差异最小化。
15.根据权利要求10至14中任一项所述的方法,其中训练所述神经网络还包括:
将所述等离子体的理想状态的期望潜在表示输入至所述神经网络中;
训练所述神经网络以识别每个生成潜在表示和所述期望潜在表示之间的任何差异;以及
确定所述晶片生产工艺的至少一个参数进行调整以使每个生成潜在表示和所述期望潜在表示之间的任何识别差异最小化。
16.一种非暂态数据载体,所述非暂态数据载体承载代码,所述代码在处理器上实施时引起所述处理器执行根据权利要求1至15中任一项所述的方法。
17.一种用于晶片生产的系统,所述系统包括:
等离子体反应器;
多个传感器,所述多个传感器用于监测晶片生产工艺;以及
控制单元,所述控制单元包括至少一个处理器,所述至少一个处理器联接至存储器并且包括训练机器学习ML模型,其中所述控制单元布置成:
从监测所述晶片生产工艺的所述多个传感器实时接收传感器数据;
将所述多个传感器的传感器数据输入至所述训练ML模型的神经网络中;
利用所述训练ML模型来生成用于所述晶片生产工艺中的等离子体的状态的潜在表示;以及
利用生成潜在表示来实时调整用于所述晶片生产工艺中的等离子体反应器的至少一个控制参数。
18.根据权利要求17所述的系统,其中所述多个传感器包括以下项的任一者或多者:温度传感器、压力传感器、成像装置、原位晶片计量设备、光谱仪、光学发射光谱设备、射频传感器、光电二极管、微波探头、流速传感器。
CN202180047726.9A 2020-07-08 2021-07-07 加工设备的控制 Pending CN115769336A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GBGB2010471.7A GB202010471D0 (en) 2020-07-08 2020-07-08 Control of processing equipment
GB2010471.7 2020-07-08
PCT/GB2021/051725 WO2022008906A1 (en) 2020-07-08 2021-07-07 Control of processing equipment

Publications (1)

Publication Number Publication Date
CN115769336A true CN115769336A (zh) 2023-03-07

Family

ID=72050515

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180047726.9A Pending CN115769336A (zh) 2020-07-08 2021-07-07 加工设备的控制

Country Status (7)

Country Link
US (1) US20230245872A1 (zh)
EP (1) EP4179560A1 (zh)
JP (1) JP2023534197A (zh)
KR (1) KR20230031925A (zh)
CN (1) CN115769336A (zh)
GB (1) GB202010471D0 (zh)
WO (1) WO2022008906A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117373917A (zh) * 2023-12-07 2024-01-09 天津吉兆源科技有限公司 一种半导体器件加工方法、系统及电子设备

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230236586A1 (en) * 2022-01-27 2023-07-27 Applied Materials, Inc. Diagnostic tool to tool matching and full-trace drill-down analyasis methods for manufacturing equipment
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
WO2023239541A1 (en) * 2022-06-08 2023-12-14 Lam Research Corporation Systems and methods for compressing a sensor-based signal
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0602855A1 (en) * 1992-12-14 1994-06-22 AT&T Corp. Active neural network control of wafer attributes in a plasma etch process
US7006205B2 (en) * 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US11568198B2 (en) * 2018-09-12 2023-01-31 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
US20200166909A1 (en) * 2018-11-20 2020-05-28 Relativity Space, Inc. Real-time adaptive control of manufacturing processes using machine learning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117373917A (zh) * 2023-12-07 2024-01-09 天津吉兆源科技有限公司 一种半导体器件加工方法、系统及电子设备
CN117373917B (zh) * 2023-12-07 2024-03-08 天津吉兆源科技有限公司 一种半导体器件加工方法、系统及电子设备

Also Published As

Publication number Publication date
US20230245872A1 (en) 2023-08-03
JP2023534197A (ja) 2023-08-08
KR20230031925A (ko) 2023-03-07
EP4179560A1 (en) 2023-05-17
GB202010471D0 (en) 2020-08-19
WO2022008906A1 (en) 2022-01-13

Similar Documents

Publication Publication Date Title
US20230245872A1 (en) Control of Processing Equipment
US10955832B2 (en) Adaptive chamber matching in advanced semiconductor process control
EP3512977B1 (en) Method and process of implementing machine learning in complex multivariate wafer processing equipment
US20210175104A1 (en) Adaptive control of variability in device performance in advanced semiconductor processes
KR101848958B1 (ko) 분광 정보를 툴 동작 파라미터 및 재료 측정치와 연결하는 것에 의한 툴 성능의 개선
US10929586B2 (en) Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US7289866B2 (en) Plasma processing method and apparatus
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
CN1860487A (zh) 使用第一原理仿真分析半导体处理工具执行的处理的系统和方法
KR20210067920A (ko) 예측 장치, 예측 방법 및 예측 프로그램
CN1867896A (zh) 用于工具上半导体仿真的系统和方法
US8805567B2 (en) Method of controlling semiconductor process distribution
Kim et al. Wafer edge yield prediction using a combined long short-term memory and feed-forward neural network model for semiconductor manufacturing
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
CN115428135A (zh) 用于基于光谱的计量和过程控制的机器和深度学习方法
Liamanond et al. Production data based optimal etch time control design for a reactive ion etching process
JP4274348B2 (ja) プロセスの予測方法及び処理装置並びにプロセス予測プログラム
Lin et al. A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing
Chang Wavelet-based virtual metrology technique
KR20230158428A (ko) 기판 처리 장비를 위한 다차원 센서 데이터의 전체적 분석
Popov et al. A Study on the Effect of Ion Implantation Process Variations on the Small-Signal MESFET Model Parameters
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭
Chiu et al. Applying the AVM system for run-to-run control: A preliminary study

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination