CN108281346A - 从光谱的时间序列进行特征提取以控制工艺结束点的方法 - Google Patents

从光谱的时间序列进行特征提取以控制工艺结束点的方法 Download PDF

Info

Publication number
CN108281346A
CN108281346A CN201711390799.XA CN201711390799A CN108281346A CN 108281346 A CN108281346 A CN 108281346A CN 201711390799 A CN201711390799 A CN 201711390799A CN 108281346 A CN108281346 A CN 108281346A
Authority
CN
China
Prior art keywords
blanket
virtual
etch process
manufacture
spectrum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711390799.XA
Other languages
English (en)
Other versions
CN108281346B (zh
Inventor
冯野
普拉尚斯·库马尔
安德鲁·D·贝利三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108281346A publication Critical patent/CN108281346A/zh
Application granted granted Critical
Publication of CN108281346B publication Critical patent/CN108281346B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Abstract

本发明涉及从光谱时间序列进行特征提取以控制工艺结束点的方法。方法包括访问从在训练操作期间收集的用于蚀刻工艺的光谱时间序列产生的虚拟毯。在制造晶片上运行制造蚀刻工艺,使得执行制造蚀刻工艺时,为制造蚀刻工艺产生由光谱时间序列定义的毯的部分。将制造蚀刻工艺的毯的部分与虚拟毯比较。当比较指示已达到制造晶片的期望指标时,处理制造蚀刻工艺的结束指示。一示例中,毯的部分包括当前捕获的光谱帧和至少一先前捕获的光谱帧。制造蚀刻工艺的毯的部分拟合至虚拟毯以识别在相关中使用的虚拟帧号与相关联的浮动参数来预测该指标的值。在训练期间产生的每个毯和虚拟毯由多项式定义。在训练中产生的毯的系数是虚拟毯的多项式的系数的子集。

Description

从光谱的时间序列进行特征提取以控制工艺结束点的方法
技术领域
本发明的实施方式涉及用于检查在蚀刻处理操作的处理期间提取的光谱信息的时间序列以便控制蚀刻结束点操作的方法和计算机实现的工艺。这些方法和系统利用训练过程来生成三维强度曲面轮廓,在此被称为毯(carpet)。然后将在训练期间生成的毯转换成虚拟毯,虚拟毯在晶片的实时处理期间被用于预测或识别在当前时间点的有效的蚀刻深度,该蚀刻深度然后用于确定蚀刻结束点是否已经达到。
背景技术
长期以来,等离子体已被用于处理衬底(例如晶片或平板)以形成电子产品(例如,集成电路或平板显示器)。通常将半导体晶片放置在蚀刻室中,半导体晶片具有掩模层以引导下伏的材料的蚀刻。蚀刻工艺去除未被掩模覆盖的下伏的材料。尽管已经很好地研究了蚀刻工艺,并且精确的配方通常已被定义用于特定结构、材料和/或材料堆,但仍然会发生蚀刻性能的变化。其原因是在实时制造环境中的蚀刻工艺是在不同的蚀刻室中进行的。这些室虽然经常多次进行调整和匹配,但在物理方面或电气方面仍然不是相同的。此外,正在处理的晶片会在晶片与晶片之间或在批次与批次之间存在差异。更进一步地,可以通过将晶片放置到每个室中的方式(例如晶片偏移变化、晶片倾斜、晶片厚度等等)而引入变化。
结果,晶片蚀刻工艺通常利用至少一种类型的结束指示(pointing)技术。这样的技术可以根据制造者不同而变化,但是最常用的结束指示可以包括基于时间的结束指示或光学结束指示。基于时间的结束指示依赖于使用对特定蚀刻工艺何时应该结束的预校准估计,例如以便去除预定量的材料。光学结束指示系统设计用于监测等离子体的光谱发射或离开晶片的光谱反射,以试图识别何时光谱发射的变化指示蚀刻材料的变化。例如,如果蚀刻已经去除了所有的一定量的材料,或者当不同的材料开始被去除,那么在该时间点的光谱发射将会改变。不幸的是,目前的技术在准确性方面仍然存在问题,随着特征尺寸的不断缩小,这种技术受到的挑战甚至更大。就目前的光学结束指示而言,使用光学条件的变化依赖于时间上的一个特定点的光谱条件。
本发明的实施方式就是在这个背景下产生的。
发明内容
一些方法和系统用于检查在蚀刻处理操作的处理期间提取的光谱信息的时间序列以便控制蚀刻结束点操作。这些方法和系统利用训练过程来生成三维强度曲面轮廓,其在本文被称为毯。毯是指由多个强度光谱信息的采样帧(frame)构成的模型,使得不仅对当前帧而且对一个或多个先前帧的时间信息进行采样。结果,毯定义了一系列时间(t)样本的模型,并且每个时间样本具有其相关联的强度光谱信息(I(λ),例如波长)。因此,毯不仅提供在一个特定时间点的光谱信息,而且还提供给定晶片的在其整个过程中的光谱信息变化的历史。
在训练阶段,多个晶片被处理(例如蚀刻),并且对于每个晶片,产生毯。对于每个毯,采样的最后的帧可以与通常来自计量系统的实际测得的蚀刻深度相关联。每个毯都是通过具有系数值的多项式在数学上进行描述性定义的。然后处理用于训练毯的多项式拟合,以利用浮动系数定义虚拟毯,从而描述在训练期间产生的所有毯。使用虚拟毯的多项式系数,在虚拟毯上获得每个晶片的最后的帧的虚拟帧号(frame number)。这些帧号与测得的蚀刻深度的相关性被进一步优化以获得良好的准确度。
在训练结束时,优化的多项式系数被下载作为用于运行时执行(run-timeexecution)的配方参数。在晶片的实时处理期间,虚拟毯的多项式系数可以被蚀刻系统的控制器利用,以检查结束点。在一个实施方式中,控制器从实时处理中生成毯。当正在生成毯的帧时,可以将成组的连续帧(例如毯小片(patch))拟合到虚拟毯上以便识别当前的虚拟帧号。虚拟帧号与预测指标预先相关。在一个实施方式中,除了虚拟帧号之外,浮动参数也被用于映射到指标的预测值。指标的预测值在与期望的指标基本匹配时指示达到了蚀刻结束点。
使用该工艺,可以操作结束点指示,使得当达到预测的蚀刻点时,蚀刻工艺可以结束。以下将参考附图更详细地描述关于用于生成训练毯、生成虚拟毯以及实时使用虚拟毯的处理的其他细节。
在一些实施方式中,公开了一种用于使用光谱的时间序列来识别蚀刻工艺的结束点的方法。该方法包括:访问从在训练操作期间收集的用于所述蚀刻工艺的光谱的时间序列产生的虚拟毯。并且,在制造晶片上运行制造蚀刻工艺,使得当执行所述制造蚀刻工艺时,为所述制造蚀刻工艺产生由光谱的时间序列定义的毯的部分。然后,将所述制造蚀刻工艺的所述毯的所述部分与所述虚拟毯进行比较。当所述比较指示已经达到所述制造晶片的期望的蚀刻深度时,处理所述制造蚀刻工艺的结束指示。在一个示例中,所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧。所述制造蚀刻工艺的所述毯的所述部分拟合至所述虚拟毯,以识别与所述蚀刻工艺的预测的所述蚀刻深度相关的虚拟帧号。
在一些实施方式中,所述训练操作包括在多个晶片上执行所述蚀刻工艺,并且针对每个晶片在单独采样的帧时间处捕获光谱的时间序列。在所述单独采样的帧时间处的所述捕获的光谱的时间序列定义相应的毯,并且每个相应的毯以具有相应的系数的多项式表征,所述系数以不同值描述虚拟毯。
在一些实施方式中,在训练期间产生的每个毯的所述相应多项式的系数通过降维算法处理以产生所述虚拟毯。在一些实施方式中,具有若干处理降维的方式(例如,逐步法,主成分分析法等等)。所述虚拟毯由具有相应的系数的标准化多项式定义,所述相应的系数作为所述训练操作的所有系数的超集。
在一些实施方式中,将所述制造蚀刻工艺的所述毯的部分与所述虚拟毯进行比较包括将所述毯的所述部分拟合到所述虚拟毯以便从所述虚拟毯识别虚拟帧号。所述虚拟帧号被映射到预测的蚀刻深度,并且所述预测的蚀刻深度当基本上与所述期望的蚀刻深度匹配时表示蚀刻结束点。
在一些实施方式中,所述训练操作包括:从在蚀刻衬底期间产生的采样光谱数据产生多个毯,其中每个毯由正被蚀刻的衬底产生。然后,测量或接收针对每个被蚀刻的所述衬底的关于蚀刻深度的数据。该过程还包括从所述多个毯中的每个毯生成所述虚拟毯。所述虚拟毯由具有系数的多项式定义,所述多项式通过拟合所述多个毯中的每个毯的具有相应的系数的多个多项式产生。在一实施方式中,所述虚拟毯的所述多项式系数中的一些可以是浮动的,并且其他参数是固定的或耦合到所述浮动参数,使得所述多个毯中的每个毯的所述相应系数的所有所述多项式是虚拟毯的所述系数的子集。在一实施方式中,除了所述虚拟帧号之外的所述浮动参数也被用于映射到所述蚀刻深度的预测值,并且所述蚀刻深度的预测值当与期望的蚀刻深度基本匹配时表示蚀刻结束点。
在一实施方式中,所述时间序列光谱是与宽带原位反射测量法相关联的光谱强度数据,或者是与光学发射光谱法(OES)相关联的光谱强度数据,或者是与宽带原位椭圆光度学相关联的椭偏光谱数据,其中所述光谱数据是正在晶片上蚀刻特征时从用于蚀刻的室收集的。
在另一实施方式中,提供了一种用于从在室中的蚀刻工艺期间产生的时间序列光谱产生训练数据的方法。该方法包括:在一个或多个室中蚀刻多个衬底,其中在所述蚀刻被处理的同时,捕获光谱帧的多个样本。捕获的每个光谱帧识别作为波长的函数的光谱强度。然后,在所述蚀刻完成之后,通过将所测得的指标与所述相应的衬底的最后的光谱帧相关联来使指标(例如蚀刻深度)与每个衬底相关联。该方法还包括为被蚀刻的所述衬底中的每一个生成多个毯。所述毯中的每个毯由多个光谱帧定义,并且具有相应系数的多项式定义所述毯中的每个毯的特征。该方法通过使用多项式拟合算法处理所述多个毯来生成虚拟毯,所述虚拟毯是所述多个毯的超集,使得所述多个毯中的每个毯都能投影到所述虚拟毯上以确定在所述虚拟毯内的虚拟帧号。举例而言,由于虚拟地毯的维度的灵活性,投影的虚拟帧号与来自计量的该指标的预测值之间的相关性可以通过浮动、固定和/或耦合虚拟地毯的超参数来优化。该方法包括使所述虚拟毯的所述虚拟帧号与所述指标的预测值相关联。在一个实施方式中,该方法还包括跟踪决定系数(r-square)或调整的决定系数。
在一个实施方式中,所述虚拟毯在衬底的实时处理期间由控制器访问,以便确定从所述虚拟毯获得的所述指标的当前预测值何时对应于表示在所述实时处理中所述蚀刻工艺的结束点的预定义值。
在一些实施方式中,与所述蚀刻相关联的所述指标是蚀刻深度、关键尺寸值、晶片翘曲、或其两者或者更多者中的一种。
在一些实施方式中,所述控制器被配置为连接到数据库或配方文件以访问在用于所述衬底的所述实时处理的训练期间产生的所述虚拟毯。
在一些实施方式中,在所述实时处理期间,所述控制器被配置为生成用于所述实时处理的毯;并且当正在产生所述毯时,使用所述毯的至少一部分或小片来与所述虚拟毯进行比较。
在一些实施方式中,所述比较包括:针对所述虚拟毯的超参数,执行所述毯的所述部分或所述小片的多项式拟合,以使得能够与所述虚拟毯对应,并且所述毯被产生,以确定所述虚拟帧号,使得蚀刻深度能够使用在训练期间确定的配方参数来确定。因此在所述实时处理期间有效地确定虚拟帧号。
在一些实施方式中,所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧,其中在与所述虚拟毯进行所述比较期间使用所述至少一个先前捕获的光谱帧使得能够准确识别所述衬底的所述实时处理的当前状态。
在一些实施方式中,所述毯的所述部分拟合到所述虚拟毯以识别与用于所述蚀刻工艺的预测蚀刻深度相关的虚拟帧号。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于使用光谱的时间序列来识别蚀刻工艺的结束点的方法,其包括:
访问从在训练操作期间收集的用于所述蚀刻工艺的光谱的时间序列产生的虚拟毯;
在制造晶片上运行制造蚀刻工艺,使得当执行所述制造蚀刻工艺时,为所述制造蚀刻工艺产生由光谱的时间序列定义的毯的部分;
将所述制造蚀刻工艺的所述毯的所述部分与所述虚拟毯进行比较;以及当所述比较指示已经达到所述制造晶片的期望指标时,处理所述制造蚀刻工艺的结束指示。
2.根据条款1所述的方法,其中所述期望指标与预定义蚀刻深度、预定义关键尺寸值、预定义晶片翘曲值、预定线宽值、预定义特征节距值、预定义特征间隔值或预定的可测量值中的至少一个关联。
3.根据条款1所述的方法,其中,所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧,其中所述毯的每个部分包括至少两个帧,并且每个部分是所述毯的小片。
4.根据条款1所述的方法,其中,所述制造蚀刻工艺的所述毯的所述部分拟合至所述虚拟毯,以识别与所述指标的预测值相关的虚拟帧号。
5.根据条款1所述的方法,其中,所述训练操作包括在多个晶片上执行所述蚀刻工艺,并且针对每个晶片在单独采样的帧时间处捕获光谱的时间序列,在所述单独采样的帧时间处的所述捕获的光谱的时间序列定义相应的毯,并且每个相应的毯以具有相应的系数的多项式表征,其中所述虚拟毯具有从所述多项式和在所述训练操作期间产生的所述毯的相应的系数导出的具有系数的对应的多项式。
6.根据条款5所述的方法,其中,在训练期间产生的每个毯的所述相应多项式的所述系数通过降维算法处理以产生所述虚拟毯,所述虚拟毯由具有相应的系数的标准化多项式定义,所述相应的系数是从所述训练操作期间产生的毯获得的所有系数的超集。
7.根据条款6所述的方法,其中将所述制造蚀刻工艺的所述毯的部分与所述虚拟毯进行比较包括将所述毯的所述部分拟合到所述虚拟毯以便从所述虚拟毯识别虚拟帧号,所述虚拟帧号被映射到所述指标的预测值,并且所述指标的所述预测值当基本上与期望指标匹配时表示蚀刻结束点。
8.根据条款1所述的方法,其中所述训练操作包括:
从在蚀刻衬底期间产生的采样光谱数据产生多个毯,其中每个毯由正被蚀刻的衬底产生;
测量或接收针对每个被蚀刻的所述衬底获得的关于指标的数据;
从所述多个毯中的每个毯生成所述虚拟毯,所述虚拟毯由具有系数的多项式定义,所述多项式通过拟合所述多个毯中的每个毯的具有相应的系数的多个多项式产生;
其中,所述虚拟毯的所述系数中的至少一些是浮动参数,并且其他参数是固定的或耦合到所述浮动参数,使得在所述训练操作期间产生的所述多个毯中的每个毯的所述多项式的所有的所述系数是所述虚拟毯的所述系数的子集。
9.根据条款8所述的方法,其中,除了所述虚拟帧号之外的所述浮动参数也被用于映射到所述指标的预测值,并且所述指标的所述预测值当与期望指标基本匹配时表示蚀刻结束点。
10.根据条款1所述的方法,其中,所述光谱的时间序列是与宽带原位反射测量法相关联的光谱强度数据,或者是与光学发射光谱法(OES)相关联的光谱强度数据,或者是与宽带原位椭圆光度学相关联的椭偏光谱数据,其中所述光谱数据是正在晶片上蚀刻特征时从用于蚀刻的室收集的。
11.一种用于从在室中的蚀刻工艺期间产生的光谱的时间序列产生训练数据的方法,其包括:
在一个或多个室中蚀刻多个衬底,其中在所述蚀刻被处理的同时,捕获光谱帧的多个样本,捕获的每个光谱帧将所述光谱的强度作为波长的函数识别;
在所述蚀刻完成之后,通过将所测得的指标与所述对应衬底的最后的光谱帧相关联来测量与每个衬底相关联的指标;
生成多个毯,对于被蚀刻的所述衬底中的每一个有一个毯,其中所述毯中的每个毯由多个光谱帧定义,并且具有对应系数的多项式定义所述每个毯的特征;
通过使用多项式拟合算法处理所述多个毯来生成虚拟毯,所述虚拟毯是所述多个毯的超集,使得所述多个毯中的每个毯都能投影到所述虚拟毯上以确定在所述虚拟毯内的虚拟帧号;
使所述虚拟毯的所述虚拟帧号与所述指标的预测值相关联;
其中所述虚拟毯在衬底的实时处理期间由控制器访问,以便确定从所述虚拟毯获得的所述指标的当前预测值何时对应于表示在所述实时处理中所述蚀刻工艺的结束点的所述指标的预定义值。
12.根据条款11所述的方法,其中与所述蚀刻相关联的所述指标是蚀刻深度、关键尺寸值、晶片翘曲值、线宽度值、特征节距值、特征间隔值或可测量值中的一种。
13.根据条款12所述的方法,其中所述控制器被配置为连接到数据库或配方文件以访问在所述生成用于所述衬底的所述实时处理的训练数据期间产生的所述虚拟毯。
14.根据条款12所述的方法,
其中在所述实时处理期间,所述控制器被配置为生成用于所述实时处理的毯;
其中当正在产生所述毯时,使用所述毯的至少一部分或小片来与所述虚拟毯进行比较,以确定是否已经达到所述指标的所述预定义值。
15.根据条款14所述的方法,其中,所述比较包括:针对所述虚拟毯的超参数,执行所述毯的所述部分或所述小片的多项式拟合,以使得能够与所述虚拟毯对应,并且所述毯在所述实时处理期间产生,其中所述虚拟毯被用于确定所述虚拟帧号,其中所述指标是使用在所述训练数据的生成期间实现的配方参数来确定的。
16.根据条款15所述的方法,其中所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧,其中在与所述虚拟毯进行所述比较期间使用所述至少一个先前捕获的光谱帧使得能够准确识别所述衬底的所述实时处理的当前状态。
17.根据条款15所述的方法,其中所述指标是蚀刻深度,并且所述毯的所述部分拟合到所述虚拟毯以识别与用于所述蚀刻工艺的预测蚀刻深度相关的虚拟帧号。
18.具有用于使用光谱的时间序列来识别蚀刻工艺的结束点的程序指令的计算机可读介质,其包括:
用于访问从训练操作期间收集的用于所述蚀刻工艺的光谱的时间序列产生的虚拟毯的程序指令;
用于在制造晶片上运行制造蚀刻工艺,使得当执行所述制造蚀刻工艺时,为所述制造蚀刻工艺产生由光谱的时间序列定义的毯的部分的程序指令;
用于将所述制造蚀刻工艺的所述毯的所述部分与所述虚拟毯进行比较的程序指令;以及
用于当所述比较指示已经达到用于所述制造晶片的期望指标时,处理所述制造蚀刻工艺的结束指示的程序指令。
19.根据条款18所述的计算机可读介质,其中,所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧,其中所述毯的每个部分包括至少两个帧,并且每个部分是所述毯的小片。
20.根据条款18所述的计算机可读介质,其中,所述制造蚀刻工艺的所述毯的所述部分拟合至所述虚拟毯,以识别与所述指标的预测值相关的虚拟帧号。
21.根据条款19所述的计算机可读介质,其中,所述训练操作包括在多个晶片上执行所述蚀刻工艺,并且针对每个晶片,在单独采样的帧时间处捕获光谱的时间序列,在所述单独采样的帧时间处捕获的光谱的时间序列定义相应的毯,并且每个相应的毯以具有相应的系数的多项式表征,其中所述虚拟毯具有从所述多项式和在所述训练操作期间产生的所述毯的相应的系数导出的具有系数的对应的多项式。
22.根据条款17所述的计算机可读介质,其中所述训练操作包括:
从在蚀刻衬底期间产生的采样光谱数据产生多个毯的程序指令,其中每个毯由正被蚀刻的衬底产生;
用于测量或接收针对每个被蚀刻的所述衬底获得的关于指标的数据的程序指令;
用于从所述多个毯中的每个毯生成所述虚拟毯的程序指令,所述虚拟毯由具有系数的多项式定义,所述多项式通过拟合所述多个毯中的每个毯的具有相应的系数的多个多项式产生;
其中,所述虚拟毯的所述系数中的至少一些是浮动参数,并且其他参数是固定的或耦合到所述浮动参数,使得在所述训练操作期间产生的所述多个毯中的每个毯的所述多项式的所有的所述系数是所述虚拟毯的所述系数的子集。
23.根据条款18所述的计算机可读介质,其中所述期望的指标与预定蚀刻深度、预定关键尺寸值、预定晶片翘曲值、预定线宽度值、预定特征节距值、预定特征间隔值或预定可测量值中的至少一种相关联。
通过结合附图的下面的详细的描述,其他一些方面也将变得显而易见。
附图说明
通过结合附图,参考下面的说明,可最佳地理解本发明。
图1根据一个示例示出了包括用于处理晶片的室的系统。
图2示出了毯的一个示例,该毯是通过对帧的时间序列捕获产生的曲面的三维表示,其中每个帧表示时间上的实例,其将强度报告为波长的函数。
图3根据一个实施方式示出了被蚀刻到特定深度的特征的示例性横截面。
图4根据一个实施方式示出了关于使用训练发生器的更多细节,其包括为训练晶片中的每一个产生毯。
图5根据一个实施方式示出了毯的一个示例,当晶片(W0)在训练期间被蚀刻时产生毯的对应的多项式。
图6A示出了虚拟毯的示例,其具有其对应的多项式,该多项式从在训练操作期间生成的所有的多项式导出。
图6B示出了训练毯(例如在训练期间产生的毯)的示例多项式系数,并且在该示例中,使用平均值来定义虚拟毯。
图7根据一个实施方式示出了针对在生成不同毯的训练期间执行的蚀刻操作在虚拟毯的虚拟帧号与测得深度之间的映射图的示例。
图8A示出了根据一个实施方式的从多个晶片产生训练数据以产生随后被拟合到虚拟毯的多个毯的示例过程。
图8B根据一个实施方式示出了从多个晶片产生训练数据以产生然后被拟合到虚拟毯的多个毯的另一个示例过程,并且可以确定关于经由回归直到三阶的毯多项式参数(包括虚拟毯数和毯的其他浮动多项式参数)的蚀刻深度的加载。
图9根据一个实施方式示出了用于生成虚拟毯的系统以及室和访问虚拟毯数据以便确定蚀刻结束点的控制器的示例。
图10A根据一个实施方式示出了一示例过程,其中在操作中正在进行晶片的实时处理。
图10B是根据一个实施方式的说明降维(dimensionality reduction)的迭代性质的流程图。
图11是用于实现实施方式的计算机系统的简化示意图。
具体实施方式
一些方法和系统用于检查在蚀刻处理操作的处理期间提取的光谱信息的时间序列以便控制蚀刻结束点操作。这些方法和系统利用训练过程来生成三维曲面轮廓,该三维曲面轮廓在本文被称为毯。毯是指由多个光谱信息的采样帧构成的模型,使得不仅对当前帧而且对一个或多个先前帧的时间信息进行采样。结果,毯定义了一系列时间(t)样本的模型,并且每个时间样本具有其相关联的光谱信息(λ,例如波长)。因此,毯不仅提供在一个特定时间点的光谱信息,而且还提供关于光谱信息的一个或多个先前的样本的光谱信息变化的历史。在一个实施方式中,定义了一种算法,其中在训练过程中生成毯以产生虚拟毯。在一个实施方式中,毯和虚拟毯是在时间和光谱维度上通过多项式回归来提取的宽带原位反射光谱响应。毯基本上是多个时间片/帧的模型,其中针对每个帧捕获作为波长的函数的强度。因此,对于每个时间样本,捕获帧,这也使得在产生毯时能够使用被捕获的一个或多个先前的帧。通过使用本文描述的算法/方法,在实时处理期间使用毯处理具有另外的益处,即将由于晶片级变化而引起的光谱变化与由于蚀刻引起的光谱的时间演变解耦。
在一个实施方式中,可以实施机器学习以使用光谱的时间序列来提取晶片的关键条件。在一个实施方式中,使用训练阶段,其中使用目标工艺配方来蚀刻多个晶片。训练阶段可以使用不同的处理室来实现,从而实现目标工艺配方。可以以许多方式(例如由于晶片处理的先前步骤的变化、室中的变化、晶片性质的变化、晶片批次中的变化、可能的晶片倾斜或旋转中的变化以及其他晶片级变化)引入晶片级变化。结果是,即使在同一台机器上使用相同的目标配方,蚀刻工艺也会有所不同。然而,根据一个实施方式,在训练期间在每个晶片的处理期间,对于多个晶片在蚀刻工艺的一段时间内对光谱数据进行采样。因此,采样产生多个采样的光谱信息帧,其被定义为作为λ的函数的强度。
帧的时间序列因此定义表示强度的三维(3D)曲面的数据,其在本文中被称为毯。因此,在一个实施方式中,毯提供了光谱强度变化的历史信息,而不仅仅是单个强度光谱图。对于用于训练的每个晶片,测量蚀刻的深度。测量可以用任何数量的计量工具进行。一个示例性的方法是使用光学CD(OCD)计量。OCD计量可用于确定各种指标,包括蚀刻深度、特征特性、预蚀刻CD、特征或蚀刻轮廓等。在一个实施方式中,所测得的蚀刻深度然后与毯的最后的帧相关联,最后的帧包括在测量蚀刻深度的状态下的光谱强度。但是,因为毯也拥有关于以前帧的信息,所以其对于理解导致最终帧的光谱条件是有用的。在一个实施方式中,通过将实验光谱与具有唯一系数(C0、...Cmn)的多项式或阶数m*n进行拟合而在数学上获得每个毯,其中m表示时间维度上的阶数,n表示波长维度上的阶数。在数学上,拟合算法是最小化优值系数(the figure of merit)的回归方法,优值系数被定义为多项式估计与实验光谱的差异。
在一个实施方式中,引入操作来降低多项式系数的维数。这种降维可以通过逐步回归、多毯式耦合回归或主成分分析来实现。降维的目的是使用最小维度来解决毯之间的变化,并且根据这些超维中的浮动参数以及表示蚀刻时间影响的虚拟帧号来成功地与蚀刻深度测量值关联。
在一个实施方式中,通过执行多毯式耦合回归来处理回归。该算法被配置为将在训练期间生成的毯中的每一个的多项式作为输入,然后通过使用包含所有毯的组合均方误差(MSE),拟合成具有定义虚拟毯的降维的参数(C0,...Cp)的多项式。举例而言,MSE通常被定义为
在一个实施方式中,一些多项式系数在毯间耦合,由线性关系定义,以表示毯与毯之间的恒定性,同时使其余部分浮动。关于哪些参数耦合以及哪些参数浮动的选择由对毯和实验光谱之间均方误差的影响决定。
在另一个实施方式中,通过逐步减少参数来处理降维。根据决定系数(R square)和调整后的决定系数的关系,减小的参数空间与蚀刻深度测量值的关系在参数空间被调整以找到与最少参数的良好相关性时进行评估。并非所有参数都需要与蚀刻深度测量值相关联。
在又一个示例性实现方式中,使用主成分分析来查找主成分的分数、虚拟帧号和测得的蚀刻深度的相关性。可以增加主成分的数量以达到更好的相关性。一旦达到用以解释具有从之前维数降低了的超维数的测得的蚀刻深度与虚拟帧号的令人满意的相关性时,则训练完成。
在训练毯的多项式的差异小并且虚拟毯帧号本身足以以期望的准确度来负责蚀刻深度的测量的情况下,虚拟毯的多项式可以通过平均多项式拟合系数来得到。
在另一个实施方式中,可以使用参考晶片的光谱响应来比较其他晶片。此外,还有其他几种将多项式系数和虚拟帧号关联刻蚀深度的方式。一种这样的方法是偏最小二乘法,而在另一个实施方式中采用神经网络处理来建立参数与测得的蚀刻深度的关系。
一旦训练过程完成,就可以在生产晶片的实时处理期间使用虚拟毯来确定蚀刻结束点。在一个实施方式中,使用虚拟毯信息结合实时光谱来测量作为光谱历史的函数的有效蚀刻深度。将参考附图来描述关于使用虚拟毯的更多信息。
在一些实施方式中,代替测量蚀刻深度,虚拟毯可以与关键尺寸(CD)测量值、线宽度、节距、间隔、翘曲检测指标以及其他可测量指标相关联。也就是说,对于在训练过程中处理的每个晶片,所得到的毯可以与测得的指标相关,该指标不一定是蚀刻深度。举例而言,在Lam Research Corporation(朗姆研究公司)的美国专利No.9,123,582中描述了晶片翘曲,该专利通过引用并入本发明中。
在一个实施方式中,在实时处理(即,运行时间)期间,虚拟毯可用于预测宽带原位反射测量光谱与时间及预期的目标蚀刻深度的关系曲线。因此该过程能够准确预测晶片级的蚀刻速率以及停止蚀刻的时间。宽带原位反射测量系统或干涉仪通过在蚀刻(或沉积)期间将光束聚焦在晶片上的一部位上并测量多个波长下的反射光的强度来测量晶片表面的反射。宽带原位反射测量系统的一个示例是闪光灯/连续波反射测量系统(例如,有时称为Lam光谱反射仪(LSR))。关于原位干涉仪系统的更多相关信息,可以参考朗姆研究公司的美国专利No.6,400,458和No.6,160,621,其通过引用并入本文。
在另一实现方式中,可以使用动态时间规整(DTW)算法来计算光谱与参考光谱的匹配,其然后可以直接用于计算蚀刻速率和理想的蚀刻停止。
使用光谱的时间序列有几个优点。一个优点是确保了光谱的因果关系的模型依赖性。这起到约束建模参数并且还提供了更高的准确度的作用。举例而言,两个不同时间序列的相同光谱可以表明晶片的不同条件,因为偏差可能来自输入的变化(incomingvariations)。另一个优点是光谱和时间协方差在虚拟毯中被明确地建模以保存信息内容。因此,没有实验信息的损失。更进一步,确保了可扩展性的优点以处理大量的实验光谱,因为每个毯都是单独拟合的。
用于主动控制的算法的训练比需要大量物理建模的许多其他基于物理的模型更快。另外,对于混合阵列的这种复杂反射,运行时间执行速度也比基于物理的模型更快。
应当理解,本文描述的方法不限于强度光谱。这些方法可适用于经适当扩展的任何成组的时间信号,其中在每个时间帧内,相关信号可在“x”上表示,以沿x的主成分意义上的相关性特定标记作为时间上的“光谱”,并且可以采用相同的降维和训练策略。例如,类似于分析来自晶片的波长时间迹线以预测局部深度,可以以类似的方式分析来自与静电卡盘(ESC)有关的多个传感器的时间迹线来预测CD(关键尺寸)或CD均匀性。这些非光谱信号的协方差可以通过主成分分析来处理,以提取给定时间帧的基本信息,因此能够以更高的准确度进行结束点控制。
将显而易见的是,可以在没有这些具体细节中的一些或全部的情况下实践本发明的实施方式,例如蚀刻速率。在其他情况下,公知的处理操作未被详细描述以免不必要地使本发明的实施方式难以理解。
图1根据一个示例示出了包括用于处理晶片106的室102的系统100。在此示例中,等离子体108用于处理晶片106。等离子体108可用于将特征蚀刻到晶片106内。室102将连接到电源,该电源被配置成传送RF功率到室102的电极以产生等离子体108,但未示出。控制器110被配置为与室102和RF电源连接,以控制蚀刻工艺。在一些实施方式中,室102可以是电容耦合等离子体(CCP)室或电感耦合等离子体(ICP)室。作为进一步的示例性系统,可以参考授权给朗姆研究公司的美国专利No.6,979,578,其通过引用并入本文。在专利No.6,979,578中,在图5中示出了用于原位数据收集的光纤的示例。
在任一种情况下,室102及其处理与控制器110接口,控制器110可以提供用于处理配方所必要的设置,该配方用于由系统100进行蚀刻。原位监测装置104可以与室102集成并且耦合到控制器110。原位监测装置104可以被配置成检测与晶片的处理相关的光谱发射的光学特性或光谱数据。在一实施方式中,原位监测装置104被配置为对与反射测量或干涉测量光学信号相关联的光谱数据,或者与光学发射光谱(OES)相关联的光谱数据进行收集和/或采样。
在一具体示例中,原位监测装置104被配置成生成投射到晶片106的表面上的宽带光,而检测器收集与来自衬底的表面的反射光相关联的光谱数据。尽管下面的讨论主要集中于监测反射测量或干涉测量光学信号,但是该系统可以使用OES或其他检查技术来操作。
在一实施方式中,控制器110被配置为执行利用由原位监测装置104收集的光谱数据的处理操作,以便处理来自晶片106的发射的毯信息。如上所述,毯被定义为表示捕获的以时间序列形式的光谱数据的实例的帧的集合。也就是说,光谱数据由现场监测装置104以预定的时间间隔(诸如在每个预定数量的毫秒、秒或者一些定制时间设置)收集。
图2示出了毯120的示例,其是通过对帧进行时间序列捕获产生的曲面的三维抽象,其中每个帧表示将强度作为波长的函数进行分类的时间上的实例。如图所示,帧0是为毯120的所捕获的第一帧,并且直到帧n的每个后续帧代表图3中所示的用于蚀刻操作的毯。帧1-n中的每一个在特定时间t0-tn被捕获。因此,每一帧都有其各自的光谱,各自的光谱根据波长描述了强度。随着每个帧被捕获,毯120被构造,因此随着时间的推移,暴露关于强度根据波长而变化的信息。
因此,不仅收集关于其中强度作为波长的函数的单个时间帧的信息,而且收集关于作为波长的函数的强度多次连续变化的信息。因此,在任何一个时间点,都有可能确定所发生的导致当前时间状态的变化。这个信息将暴露当衬底材料130被蚀刻以定义蚀刻特征132时发生了什么样的强度变化。图3所示的示例示出了单个蚀刻特征,但是应当理解的是,蚀刻操作通常针对可能小于光波长的任何数量的特征基本上同时执行,并且可能对应于分布在整个半导体晶片中的光刻暴露的单个场或多个场。在使用单个反射计传感器的实施方式中,仅在照明点下的光谱时间序列被收集,但被用于控制整个晶片的结束点。当特征关键尺寸和深度随着蚀刻进展而变化时,入射光束的衍射将在远场中产生作为波长的函数的强度的变化,并且导致光谱仪处的强度变化。
因此,仅提供图3的图示以示出随着蚀刻的进行,作为波长的函数的光谱强度的帧将继续被捕获,从而构建和限定毯120。在一实施方式中,对于特定的晶片处理操作,诸如蚀刻操作,被蚀刻的特征将达到特定的深度,该特定的深度在图3中示出为测得的深度(dm)。此时,蚀刻操作完成,并且图2的毯120完成。这导致在时间tn的最后的帧(例如帧n),该最后的帧对应于测得的深度dm。
示出了图2的毯120的图示和图3中的蚀刻操作,以图解多个光谱数据帧的捕获。应该理解的是,基于期望的采样频率将捕获更多的帧,从而可以提供具有与晶片级上的特征CD、深度或轮廓信息的变化相关联的丰富信息的更致密毯120。在一个实施方式中,毯120被认为随着时间的变化而变化,其被作为波长的函数捕获的多个帧所揭示。在一个实施方式中,对于波长范围,毯120可以使用数学多项式以及其相关系数来表征。因此,多项式的系数将以时间和波长定义曲面,其可以如下所述被访问。
如上所述,这里描述的一个实施方式利用训练过程,该训练过程要求针对特定的蚀刻配方和蚀刻工艺来处理多个晶片。在一些实施方式中,相同的室102将被用于不同晶片。在其他实施方式中,对于晶片中的每一个可以使用不同的室。在训练操作期间处理的每个晶片将产生相应的毯120。每个毯将基于采样频率,根据在每个帧处捕获的光谱数据来定义由现场监测装置104观察到的特性。一旦定义了多个毯,就可以使用多项式拟合算法来拟合这些毯以生成具有浮动的、固定的和/或耦合的系数参数的毯,其在本文中被称为虚拟毯。
图4根据一个实施方式示出了关于使用训练发生器150的更多细节,训练发生器150包括为每个训练晶片产生毯。如图所示,训练发生器150包括毯120a-120n的生成,其中每个毯与相应的多项式相关联,并且每个毯具有与测得的蚀刻深度相关的最后的帧。因为在工艺条件、室配置和其他因素之间会有变化,所以可能在产生每个毯时的蚀刻终止会是不同的。这种效应将通过逐步回归、多毯耦合回归或主成分分析以加载多项式系数来建模,其中不同毯的参数差异将揭示其对结束点估计的影响,并随后将通过针对测得的蚀刻深度进行线性回归来确定。
在一些实施方式中,各种晶片可以有意地被蚀刻到不同的深度,以便生成各种尺寸的毯120。在任一种情况下,每个毯120根据它们的多项式和相关系数来捕获。如上所述,多项式的各种系数将描述毯的三维轮廓形状,其由该蚀刻操作随时间推移而捕获的多个帧限定。在这个示例中,多项式拟合处理器162被配置为从毯120a-120n中的每一个接收多项式。另外,晶片中的与毯120a-120n中的每一个相关联的每个晶片的测得的深度也将由测量仪器160捕获。测量仪器160可以采取各种形式,并且广义地说,我们的半导体计量工具能够测量晶片、特征、深度和一般特性的特定参数或指标。实例包括横截面SEM、TEM和散射测量。
多项式拟合处理器162被配置为与虚拟毯生成器164通信。虚拟毯生成器164是一种降维和线性回归过程,通过该过程生成虚拟毯120。根据光谱数据帧,虚拟毯120被配置为具有预定尺寸,其是作为波长的函数的光谱强度。如上所述,多项式拟合处理器162被配置为接收各种毯120a-120n的多项式,并且因此根据虚拟毯生成器164所限定的约束来拟合它们。在一个实施方式中,虚拟毯生成器164被配置为生成虚拟毯220,其可以通过上述各种技术来生成。
因此产生虚拟毯220,并且虚拟毯220以及测量仪器160输出在224中相关联,以将虚拟毯的虚拟帧号与通过测量仪器160测得的特定深度或指标相关联。因此,在实时处理和结束点操作226期间,室的控制器可以访问虚拟毯220和/或虚拟帧号与深度相关器224来识别何时刻蚀工艺已经到达结束点。结束点在蚀刻工艺已经达到要蚀刻的特定特征的预期深度时达到,并且通过使用虚拟毯,可以通过将当前处理的毯(即,用于当前的制造操作)的一部分关联到虚拟毯220来达到结束点。
举例而言,实际制造晶片的实时处理可以利用该算法,其中控制器正在为当前蚀刻操作生成毯。在处理过程中,正在生成用于毯的帧,这些帧被添加到已经生成的以前的帧中。在一个实施方式中,可以在蚀刻的实时处理期间根据当前生成的毯使用当前帧和一个或多个先前的帧(即,小片)以执行拟合到虚拟毯。通过以动态和实时的方式拟合到虚拟毯,可以实时识别预测的蚀刻深度。如上所述,虚拟毯将保存关于与刻蚀深度预先相关的虚拟帧号的信息。
如下面将要描述的,各种蚀刻深度可以根据训练期间处理的各种晶片来近似。而且,之前的训练阶段产生了虚拟毯,因此,关于当前捕获的光谱数据帧(或帧的小片)的预测深度的信息将产生实际蚀刻深度的紧密相关的估计或预测。因此,通过在实时处理过程中继续处理毯,对于特定的蚀刻操作,将到达某点,在该点,被拟合和映射到虚拟毯的帧将指示期望的深度。在该点,室的控制器可以向系统指示已经到达结束点,并且蚀刻操作将被停止。
图5示出了根据一个实施方式的毯102a的示例,当晶片(W0)在训练期间被蚀刻时产生其对应的多项式。在这个示例中,示出了通过包括帧号231和时间232的实际帧样本230而产生毯120a。在完成蚀刻操作过程以生成毯120a时,各种样本帧的最后的帧已到达。在这个示例中,最后的帧是帧467。帧467仅作为一示例性的数显示,并且捕获的帧将取决于采样频率和蚀刻操作的持续时间。
继续该实例,帧467将与测得的蚀刻深度或由测量装置或系统正在检查或测量的一些其他参数或指标相关联。如上所述,也可以针对不同的指标来测量或关联作为波长的函数的光谱强度的帧。这样的指标可以包括关键尺寸检查、晶片中的翘曲特性以及通常测量或可测量的其他指标。
图6A示出了具有对应的多项式的虚拟毯220的示例,该对应的多项式从训练操作期间生成的所有多项式导出。如图所示,对于这个虚拟毯,虚拟帧样本240也是可识别的,其中虚拟帧号与不同的时间相关联,虚拟帧样本240是从多个实际帧样本230导出的,这些实际帧样本230对应于由在训练期间从不同的晶片产生的各种毯产生的所有多项式。虚拟帧样本240还将包括虚拟帧号241和对应的时间242。
在该示例中,因为虚拟帧号已经被标准化,所以虚拟帧号将从虚拟帧号0延伸到虚拟帧号300。应当理解的是,所有各种训练毯的虚拟帧号将具有不同数量的帧,并且导出各种帧及其相关联的多项式系数,使得它们被标准化为被定义用于虚拟毯220的虚拟帧号集。通过生成虚拟毯220,可以提取从各种训练毯发生的变化,从而生成并消除每个单独毯中可能已经发生的异常或误报。此外,通过生成虚拟毯220,可以使用虚拟毯220供正在运行生产晶片的工艺随后参考,并且这样的生产晶片可以利用识别结束点的虚拟毯224。
为了提供与训练期间的晶片运行(例如晶片1-5)相关联的多项式系数的实例和所得的虚拟毯(例如,平均值),下文的图6B显示了一些示例性的数字。在这个示例中,使用平均值来定义虚拟毯,但也可以使用其他方法。例如,代替平均,
图7根据一个实施方式示出了在虚拟毯220的虚拟帧号和测得的深度304之间的映射图300的示例,其用于在生成各种毯的训练期间执行的蚀刻操作。在制造晶片的加工过程中,控制器可以产生自己的毯,其由具有作为波长的函数的强度的多个帧限定。当正在产生毯时,周期性地将帧中的两个或更多个毯的小片捕获并拟合到虚拟毯220。通过拟合成虚拟毯220中,可以识别正在由在晶片上执行蚀刻的室处理的最当前的帧的虚拟帧号302。
如310所示,可以从虚拟帧号302中识别当前帧号(VFNc),并且将其关联以从映射图300的蚀刻深度304预测当前深度dc。如在映射图300中所示,在训练期间使用的各种测试晶片也可以被映射到图表,这将产生基本上线性近似。线性近似将显示针对每个测试晶片测得的深度,因为它们与相应毯120中的最后的帧相关联。这示出了晶片0被蚀刻到深度d1,晶片3被蚀刻到深度d2,晶片1被蚀刻到深度d3,并且晶片Wn被蚀刻到深度dn。
由于虚拟帧号是从每个毯120收集的帧的拟合表示,所以可以示出这些步骤基本上沿着基本上直的线发生。因此,预期虚拟毯提供的标准化会产生这种实质性的线性响应或表示。因此,在处理期间,可以将当前虚拟帧号VFNc按线性近似映射到点306,然后在处理期间将点306与预测的当前深度dc相关联。基于线性近似和所识别的虚拟帧号,深度dc被进一步近似为介于深度d2和d3之间。在一个实施方式中,深度dc可以使用内插来识别。如果实时处理要求达到深度d3,则系统将继续处理用于当前制造操作的毯,并且在将当前的小片或帧拟合到虚拟毯上,将继续将晶片的当前正在生成的毯的两个或更多个帧或小片与虚拟毯进行比较。
因此,该过程可以继续检查当前虚拟帧号是否对应于期望深度d3。一旦处理生产晶片的系统达到VFN5,例如将达到深度d3,并且控制器将指示该蚀刻工艺停止。
图8A示出了根据一个实施方式的从多个晶片产生训练数据以产生随后被拟合到虚拟毯上的多个毯的示例过程。在操作402中,训练数据,其是从多个晶片的多个蚀刻工艺产生的。如上所述,类似地配置的相同的蚀刻系统或各种蚀刻系统可以处理多个晶片,并且在处理期间,可以捕获作为波长的函数的强度。在操作404中,生成用于针对每个晶片进行的每个工艺的毯。
毯将包含作为波长的函数的强度的多个采样的帧。当针对训练晶片,工艺蚀刻完成时,操作406将测量每个晶片的所得深度,使得每个毯中的最后的帧将对应于所测得的所得深度。在一个示例中,计量系统可以用于进行测量。在操作408中,针对每个产品毯处理多项式拟合以产生虚拟毯。虚拟毯的一些多项式系数可以是浮动的,并且其他的固定或耦合到浮动参数,使得多个毯中的每一个毯的相应系数的所有多项式都是虚拟毯的多项式的子集。因此,虚拟毯是在训练期间处理晶片期间产生的多个毯的超集。在操作410中,在虚拟毯的虚拟帧号与预测的蚀刻深度之间生成相关性。这包括对虚拟毯的虚拟帧号进行有监督的训练以预测蚀刻深度或指标。
举例来说,在图7中通过映射图300示出了相关性。在操作412中,虚拟毯和相关性被存储到数据库以在晶片的实时处理期间使用。
图8B是图8A的过程的另一示例,其中关于操作410和412提供了额外的细节。在该示例中,操作410'描述了蚀刻深度的加载可以根据毯多项式参数来定义。这样的参数可以包括毯的虚拟毯帧号和其他浮动多项式参数,并且在一些情况下可以通过回归达到3阶。在操作412'中,存储虚拟毯的多项式。多项式可以作为浮点、固定和/或耦合参数和相关常数存储在数据库中。在这个示例中,在410'中获得回归的系数。
如本文所使用的,实时处理晶片意味着生产晶片正在被处理,并且所使用的结束点机构实施关于将生成的毯小片拟合到在先前的训练操作期间产生的虚拟毯的使用。在一些实施方式中,室的控制器可以处理正在产生的毯与虚拟毯的相关性。在其他实现方式中,单独的计算机或者甚至网络计算机可以访问虚拟毯并且从比较、拟合操作以及所得到的结束点确定中产生结果。
在进一步的实施方式中,该过程可以由实际计算机或虚拟化计算机的形式的一台或多台计算机或一个或多个工艺共享。在一些实施方式中,处理可以分布在多个虚拟机中。以任一种方式,制造晶片的处理都可以实现虚拟毯,使得在制造过程中产生的毯可以与虚拟毯进行比较,以确定结束点或验证与刻蚀工艺相关的指标。如上所述,可以测量蚀刻深度。然而,可以测量任何数量的特征指标,例如晶片特性、关键尺寸、晶片翘曲等。
图9根据一个实施方式示出了用于生成虚拟毯220的系统以及室和访问虚拟毯数据以确定蚀刻结束点或一些其他指标的控制器的示例。如图所示,过程表征引擎500被配置为定义由各种系统执行的功能操作。训练生成器150可以包括可以与一个或多个室通信的处理操作和指令,以在一个或多个晶片上运行训练操作。
训练的结果将产生相应的毯120,然后将其用于产生虚拟毯220。测量仪器502可以被用来测量所得到的蚀刻深度、特征参数或其他指标,其与一个或多个训练系统中的每个产生的毯120中的最后的帧相关联。可以提供虚拟帧号与深度相关器506,其中蚀刻深度是被测量的指标。在一个实施方式中,相关器506可以被定义为可以被存储在包括虚拟毯220的数据的数据库504中的代码或指令或数据。在另一个实施方式中,虚拟帧号与深度或指标相关器506可以存储在配方文件、硬编码的数据中,或者这样的数据可以是从服务器检索到的。这样的数据可以是如图11所示的734和/或714实现。在任一配置中,使虚拟帧号与深度或指标相关器506可以由晶片的实时处理访问。在实时处理期间,控制器110将从数据库504获取或下载数据(即虚拟毯数据)以供使用。
如图所示,室102将具有其相应的现场监测装置104。控制器110将与室102和现场监测装置104接口。控制器110将被配置为通过实时毯生成器520实时地生成毯。实时毯生成器520实质上在每次采样期间产生表示作为波长的函数的强度的帧。因此,甚至在蚀刻工艺完成之前,对于每个对应的预定义采样时间,实时毯生成器520将忙于生成越来越多的帧。在操作522中,执行拟合到虚拟毯的多项式拟合。
为此,针对毯的由发生器520产生的至少一部分的多项式和与多项式相关的系数将拟合到虚拟毯220,以便从虚拟帧号与深度或指标相关器506识别虚拟帧号。结果是控制器110将接收或识别当前的蚀刻深度或指标524。如图7所示,该过程可能需要通过控制器110查看虚拟毯来识别已经与蚀刻深度或指标相关的虚拟帧号。一旦达到了蚀刻深度,凭借由控制器110对虚拟毯的连续或重复查找,随着实时毯生成器520继续进行处理,蚀刻结束点处理器526可以识别出通过使用虚拟毯220预测的蚀刻深度对应于期望的深度蚀刻。此时,系统将确定结束点已经到达。
图10A示出了根据一个实施方式的在操作602中正在进行晶片的实时处理的示例过程。如图所示,实时晶片处理可以通过耦合到或连接到原位监测装置104的制造室(例如室102)来执行。在一些实施方式中,室102可以与许多其他室安装在制造设施中。每个室本身可以连接到现场监测装置104,使得可以在时间序列上针对多个帧收集光谱数据。
在操作604中,从在当前蚀刻操作的处理期间捕获的多个帧生成部分毯。如上所述,在制造处理过程中,通过以预定的采样速率添加越来越多的帧来连续生成毯,以定义当前的毯。在可以以编程方式设置的周期性时间点处,系统的控制器或单独的过程可以触发部分毯的多项式拟合到虚拟毯(即,之前在训练期间已经产生的虚拟毯)以根据操作606表征与当前蚀刻操作相关联的过程。在操作608中,从与虚拟毯相关联的数据中识别虚拟帧号和其他毯多项式系数。
在操作610中,如参考图7的示例所示,基于所识别的虚拟帧号来识别预测的蚀刻深度。在一个实施方式中,蚀刻深度的预测将使用虚拟帧号以及其他毯多项式系数。举例而言,至少部分预测来自虚拟帧号,但在运行时间过程中浮动的多项式系数捕获局部毯的差异,并(通过预定的加载参数)提供对预测的校正。在操作612中,确定是否已经到达结束点。如果尚未达到结束点,则系统将继续处理局部毯的另一部分,该另一部分包括最后或最当前处理的帧,并将继续进行操作606、608和610,直到完成。一旦达到处理结束点,则意味着所需的蚀刻深度已经达到并且对应于操作610中的预测的深度蚀刻,蚀刻操作将被停止。
图10B是根据一个实施方式的用于说明降维的迭代性质的流程图。在操作(a)中,使用由总体平均获得的多项式系数定义虚拟毯,其中所有系数都是固定的。如上所述,具有固定的系数符合一种实现方式。在操作(b)中,获得在训练期间生成的每个毯的虚拟帧号。在一实施方式中,为每个训练毯获得一个虚拟帧号,以产生具有测得的蚀刻深度的X/Y散射图,从而确定斜率和截距。这提供了相关性,如在本申请中所讨论的。
在操作(c)中,虚拟帧号仅与测得的蚀刻深度相关。在这个示例中,直到操作(c),当所有的虚拟毯多项式系数都是固定的时候,仅仅有将随虚拟毯拟合而变化的虚拟帧号。因此,只有这个信息被用来与测得的蚀刻深度相关联,并检查预测的准确性。如果其不是良好的,如下面的(d)所示,该过程将需要开始将浮动参数引入虚拟毯,该虚拟毯将随虚拟帧号一起确定,每个训练毯也是一组参数。然后,我们将使用VFN+1,VFN+2,...VFN+q参数来预测测得的蚀刻深度。这个过程被称为特征提取。
因此,在操作(d)中,根据所需的预定准确度进行检查。如果步骤(c)的结果足够好,则过程停止。这意味着虚拟毯可以准确预测蚀刻深度。如果没有达到所需要的准确度,则在操作(e)中扩展虚拟毯的浮动参数空间以及降低均方误差。在操作(f)中,使用逐步回归、多毯耦合回归或PCA来降低(e)中获得的维度。
在操作(g)中,基于在步骤(f)定义的超维,在使这些超维的加载参数浮动的同时,过程继续获得在训练期间生成的每个毯的虚拟帧号。可以理解的是,在训练过程中发生虚拟毯评估的多个步骤。因此,我们正在重复地改善虚拟毯与测得的深度的相关性的质量。在(e)-(i)的每次迭代中,我们重新定义虚拟毯维度。此外,在回归结束时,针对这些浮动维度获得某些毯特定的加载参数以及虚拟帧号。在操作(h)中,通过线性回归执行来自步骤(g)的加载参数和虚拟毯数与测得的蚀刻深度的相关性。在操作(i)中,需要针对准确性进行检查。如果结果足够好,则停止。如本文所使用的,“足够好”意味着监督训练和参考指标的差异足够小,使得使用虚拟毯的原位过程控制被认为是独立的异位测量系统的有效替代。
如果(i)中的结果不是足够好,则在操作(j)中,进一步降低超维并且从操作(g)进行迭代。
在操作(k)中,如果没有更多的超维数要降低,则再次扩展浮动参数空间并迭代操作(e)。通过引入更高阶的多项式,均方误差将继续下降。在操作(l)中,在一个实施方式中,均方误差(MSE)可由误差方差的无偏估计(例如,残差平方和除以自由度数)代替。
尽管提供了关于使用测得的宽带原位反射光谱来生成毯的具体示例,但是还可以使用其他测量方法。此外,可以使用激光吸收光谱法等激光方式。在一个示例中,可以使用在积分带上利用毯的激光吸收或使用全光谱的激光吸收光谱。在其他实施方式中,具有频谱的RF信号也可以适用于所公开的分析,所述频谱已知显示与晶片上指标变化、室部件、等离子体阻抗(化学)变化都相关的类似的复杂毯特征。关于RF信号,相信所获得的指标将与结束点相关较少,与室匹配/公制化相关较多或更加有用于室匹配/公制化。在一些实施方式中,所收集的光谱数据与光或激光干涉测量、反射测量和吸收、或OES、或RF电压和电流迹线本身相关联,或者在数学上转换成RF谱幅值。在一实施方式中,当在晶片上蚀刻特征时,从用于蚀刻的室收集光谱数据。
在其他实施方式中,可以将更多的数据流放在一起以产生具有类似毯特征的合成“光谱”。如本文所述,使用毯的一个有用之处在于任何光谱元素及其近谱维近邻(near-spectral-dimension neighbor)和其近时维近邻(near-temporal-dimension neighbors)之间的物理约束强相关性和连续性关系。如果将不同的工具数据与所收集的光谱结合使用,则可以减少“光谱”和“时间”空间中的相关性的自然法则强制连续性(law-of-nature-enforced continuity)。这是因为由于物理性质原因,工具数据变量不一定彼此相“近”。在一个实施方式中,可以对工具数据进行分类以找到使工具数据变量彼此“相邻”的物理性质,或者我们需要对变量进行数学选择和排序,以便对于“良好操作工具”“通过发现”变量在已知具有“时间-光谱”相关性和连续性的“伪谱”中如此排列。
以这种方式,可以使用毯处理来调用控制动作并检测工具之间的差异。在一个实施方式中,参照上述图1和图9描述的控制器110可以包括处理器、存储器、软件逻辑、硬件逻辑以及与等离子体处理系统通信、监测和控制的输入和输出子系统。控制器110还可操纵一个或多个配方的处理,该一个或多个配方包括针对例如用于操作等离子体处理系统的各种操作参数(例如,电压、电流、频率、压力、流量、功率、温度等)的多个设定点。此外,虽然参考蚀刻操作(例如蚀刻工具)提供了更详细的实例,但是应当理解的是,操作同样可以用于沉积操作(例如,沉积工具)。例如,在验证操作中,验证可以是验证沉积性能,而不是验证蚀刻性能。沉积性能可以以不同的方式量化,并且可以使用各种类型的指标方法和/或工具,但不受限于此。此外,沉积性能可以原位或离线的方式被测量、感测、近似和/或测试。
在一些实现方式中,控制器110是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器110可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器110可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传输到控制器110的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由被工程化的工艺定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器110可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器110可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。
在一些实例中,控制器110接收数据形式的指令,该指令指示在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器110被配置成连接或控制该工具类型。因此,如上所述,控制器110可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器110通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器110的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器110可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器110、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口的材料搬运中使用的工具通信。
图11是用于实现实施方式的计算机系统的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统包括中央处理单元(CPU)704,其通过总线710耦合到随机存取存储器(RAM)728、只读存储器(ROM)712和大容量存储设备714。系统控制器程序708驻留在随机访问存储器(RAM)728内,但也可以驻留在大容量存储设备714内。
大容量存储设备714表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口730提供经由网络732的连接,允许与其它设备通信。但应当理解的是,CPU 704可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口提供与不同的外围设备的通信,并且通过总线710与CPU 704、RAM 728、ROM 712和大容量存储设备714连接。外围设备实例包括显示器718、键盘722、光标控制724、可移动媒体设备734,等等。
显示器718被配置成显示本文所描述的用户接口。键盘722、光标控制724、可移除媒体设备734和其它外围设备被耦合到I/O接口720,以便在命令选择中向CPU 704传送信息。应该理解的是,出入外部设备的数据可通过I/O接口720传输。实施方式也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方式可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方式也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方式,应当理解的是,实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方式一部分的本文所描述的任何操作是有用的机器操作。实施方式还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地激活或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方式也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
关于用于监测处理条件的方法和用于调整设置的方法的更多信息,可参考名称为“Methods and Systems for Monitoring Plasma Processing Systems and AdvancedProcess and Tool Control,”的于2016年8月3日提交的美国临时专利申请No.62/370,658、名称为“Integrated electronic hardware for wafer processing control anddiagnostic,”的美国专利No.6,622,286、名称为“Methods and apparatus to predictetch rate uniformity for qualification of a plasma chamber,”的美国专利No.8,295,966、名称为“Arrangement for identifying uncontrolled events at the processmodule level and methods thereof,”U.S.Pat No.8,983,631、名称为“Methods andapparatus for predictive preventive maintenance of processing chambers,”U.S.Pat No.8,473,089、名称为“Methods and arrangements for in-situ processmonitoring and control for plasma processing tools,”的美国专利No.8,271,121、以及名称为“Methods for constructing an optimal endpoint algorithm,”的美国专利No.8,538,572,所有这些均被转让给本申请的受让人朗姆研究公司,并且为了所有目的将其中的每一个并入本申请中。
关于机器学习算法、现象学模型和相关过程的附加信息,可以参考:论文,其名称为“Virtual Metrology for Semiconductor Manufacturing Applications,”byBertorelle Nicola,University of Padua,Department of Information Engineering,dated 28June 2010;论文,其名称为“Statistical Methods for SemiconductorManufacturing,”by Gian Antonio Susto,Universita Degli Studi di Padova,Schoolin Information Engineering,January 2013;以及论文,其名称为“Etchingcharacteristics and mechanisms of the MgO thin films in the CF4/Arinductively coupled plasma,”by A.Efremov,et al.Department of ElectronicDevices and Materials Technology,Sate University of Chemistry and Technology,7,F.Engels St.,15300Ivanovo,Russia,January 12,2007,其各自通过引用并入本发明。
此外,通过引用文献和申请并入的以上文献中描述的实施方式和任何特定特征可以与在本文描述的一个或多个特征组合,以定义或实现特定的实施方式。
虽然为了清楚理解的目的而对前述实施方式已经在一些细节上进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。因此,这些实施方式应被认为是说明性的而不是限制性的,并且实施方式并不限于本文所给出的细节,而是可以在所附权利要求的范围和等同方案内进行修改。

Claims (10)

1.一种用于使用光谱的时间序列来识别蚀刻工艺的结束点的方法,其包括:
访问从在训练操作期间收集的用于所述蚀刻工艺的光谱的时间序列产生的虚拟毯;
在制造晶片上运行制造蚀刻工艺,使得当执行所述制造蚀刻工艺时,为所述制造蚀刻工艺产生由光谱的时间序列定义的毯的部分;
将所述制造蚀刻工艺的所述毯的所述部分与所述虚拟毯进行比较;以及
当所述比较指示已经达到所述制造晶片的期望指标时,处理所述制造蚀刻工艺的结束指示。
2.根据权利要求1所述的方法,其中所述期望指标与预定义蚀刻深度、预定义关键尺寸值、预定义晶片翘曲值、预定线宽值、预定义特征节距值、预定义特征间隔值或预定的可测量值中的至少一个关联。
3.根据权利要求1所述的方法,其中,所述毯的所述部分包括当前捕获的光谱帧和至少一个先前捕获的光谱帧,其中所述毯的每个部分包括至少两个帧,并且每个部分是所述毯的小片。
4.根据权利要求1所述的方法,其中,所述制造蚀刻工艺的所述毯的所述部分拟合至所述虚拟毯,以识别与所述指标的预测值相关的虚拟帧号。
5.根据权利要求1所述的方法,其中,所述训练操作包括在多个晶片上执行所述蚀刻工艺,并且针对每个晶片在单独采样的帧时间处捕获光谱的时间序列,在所述单独采样的帧时间处的所述捕获的光谱的时间序列定义相应的毯,并且每个相应的毯以具有相应的系数的多项式表征,其中所述虚拟毯具有从所述多项式和在所述训练操作期间产生的所述毯的相应的系数导出的具有系数的对应的多项式。
6.根据权利要求5所述的方法,其中,在训练期间产生的每个毯的所述相应多项式的所述系数通过降维算法处理以产生所述虚拟毯,所述虚拟毯由具有相应的系数的标准化多项式定义,所述相应的系数是从所述训练操作期间产生的毯获得的所有系数的超集。
7.根据权利要求6所述的方法,其中将所述制造蚀刻工艺的所述毯的部分与所述虚拟毯进行比较包括将所述毯的所述部分拟合到所述虚拟毯以便从所述虚拟毯识别虚拟帧号,所述虚拟帧号被映射到所述指标的预测值,并且所述指标的所述预测值当基本上与期望指标匹配时表示蚀刻结束点。
8.根据权利要求1所述的方法,其中所述训练操作包括:
从在蚀刻衬底期间产生的采样光谱数据产生多个毯,其中每个毯由正被蚀刻的衬底产生;
测量或接收针对每个被蚀刻的所述衬底获得的关于指标的数据;
从所述多个毯中的每个毯生成所述虚拟毯,所述虚拟毯由具有系数的多项式定义,所述多项式通过拟合所述多个毯中的每个毯的具有相应的系数的多个多项式产生;
其中,所述虚拟毯的所述系数中的至少一些是浮动参数,并且其他参数是固定的或耦合到所述浮动参数,使得在所述训练操作期间产生的所述多个毯中的每个毯的所述多项式的所有的所述系数是所述虚拟毯的所述系数的子集。
9.一种用于从在室中的蚀刻工艺期间产生的光谱的时间序列产生训练数据的方法,其包括:
在一个或多个室中蚀刻多个衬底,其中在所述蚀刻被处理的同时,捕获光谱帧的多个样本,捕获的每个光谱帧将所述光谱的强度作为波长的函数识别;
在所述蚀刻完成之后,通过将所测得的指标与所述对应衬底的最后的光谱帧相关联来测量与每个衬底相关联的指标;
生成多个毯,对于被蚀刻的所述衬底中的每一个有一个毯,其中所述毯中的每个毯由多个光谱帧定义,并且具有对应系数的多项式定义所述每个毯的特征;
通过使用多项式拟合算法处理所述多个毯来生成虚拟毯,所述虚拟毯是所述多个毯的超集,使得所述多个毯中的每个毯都能投影到所述虚拟毯上以确定在所述虚拟毯内的虚拟帧号;
使所述虚拟毯的所述虚拟帧号与所述指标的预测值相关联;
其中所述虚拟毯在衬底的实时处理期间由控制器访问,以便确定从所述虚拟毯获得的所述指标的当前预测值何时对应于表示在所述实时处理中所述蚀刻工艺的结束点的所述指标的预定义值。
10.具有用于使用光谱的时间序列来识别蚀刻工艺的结束点的程序指令的计算机可读介质,其包括:
用于访问从训练操作期间收集的用于所述蚀刻工艺的光谱的时间序列产生的虚拟毯的程序指令;
用于在制造晶片上运行制造蚀刻工艺,使得当执行所述制造蚀刻工艺时,为所述制造蚀刻工艺产生由光谱的时间序列定义的毯的部分的程序指令;
用于将所述制造蚀刻工艺的所述毯的所述部分与所述虚拟毯进行比较的程序指令;以及
用于当所述比较指示已经达到用于所述制造晶片的期望指标时,处理所述制造蚀刻工艺的结束指示的程序指令。
CN201711390799.XA 2016-12-23 2017-12-21 从光谱的时间序列进行特征提取以控制工艺结束点的方法 Active CN108281346B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/389,451 US10262910B2 (en) 2016-12-23 2016-12-23 Method of feature exaction from time-series of spectra to control endpoint of process
US15/389,451 2016-12-23

Publications (2)

Publication Number Publication Date
CN108281346A true CN108281346A (zh) 2018-07-13
CN108281346B CN108281346B (zh) 2023-08-11

Family

ID=62630709

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711390799.XA Active CN108281346B (zh) 2016-12-23 2017-12-21 从光谱的时间序列进行特征提取以控制工艺结束点的方法

Country Status (5)

Country Link
US (2) US10262910B2 (zh)
JP (1) JP2018117116A (zh)
KR (1) KR20180074574A (zh)
CN (1) CN108281346B (zh)
TW (1) TW201838053A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116415691B (zh) * 2018-10-09 2024-04-02 应用材料公司 用于构建器件的维度的空间分布的预测模型的方法和系统

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US11074376B2 (en) * 2017-04-26 2021-07-27 United Microelectronics Corp. Method for analyzing process output and method for creating equipment parameter model
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10984334B2 (en) * 2017-05-04 2021-04-20 Viavi Solutions Inc. Endpoint detection in manufacturing process by near infrared spectroscopy and machine learning techniques
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2020106297A1 (en) * 2018-11-21 2020-05-28 Lam Research Corporation Method for determining cleaning endpoint
JP7220573B2 (ja) * 2019-01-24 2023-02-10 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP7129356B2 (ja) * 2019-02-13 2022-09-01 キオクシア株式会社 測定方法
TWI704093B (zh) * 2019-05-09 2020-09-11 辛耘企業股份有限公司 處理液容置裝置
JP7453853B2 (ja) 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
KR20240012605A (ko) * 2021-06-10 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US20240128100A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Methods and systems for a spectral library at a manufacturing system

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US20030043383A1 (en) * 2001-09-06 2003-03-06 Tatehito Usui Method and apparatus for determining endpoint of semiconductor element fabricating process and method and apparatus for processing member to be processed
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
CN1675517A (zh) * 2002-08-13 2005-09-28 朗姆研究公司 凹槽蚀刻过程的控制方法
US20130023065A1 (en) * 2011-07-22 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Methods for End Point Determination in Reactive Ion Etching
WO2016118979A2 (en) * 2015-01-23 2016-07-28 C3, Inc. Systems, methods, and devices for an enterprise internet-of-things application development platform
CN106128931A (zh) * 2011-09-07 2016-11-16 朗姆研究公司 双室结构的脉冲等离子体室

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US20030043383A1 (en) * 2001-09-06 2003-03-06 Tatehito Usui Method and apparatus for determining endpoint of semiconductor element fabricating process and method and apparatus for processing member to be processed
CN1675517A (zh) * 2002-08-13 2005-09-28 朗姆研究公司 凹槽蚀刻过程的控制方法
US20130023065A1 (en) * 2011-07-22 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Methods for End Point Determination in Reactive Ion Etching
CN106128931A (zh) * 2011-09-07 2016-11-16 朗姆研究公司 双室结构的脉冲等离子体室
WO2016118979A2 (en) * 2015-01-23 2016-07-28 C3, Inc. Systems, methods, and devices for an enterprise internet-of-things application development platform

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116415691B (zh) * 2018-10-09 2024-04-02 应用材料公司 用于构建器件的维度的空间分布的预测模型的方法和系统

Also Published As

Publication number Publication date
US10847430B2 (en) 2020-11-24
US20180182632A1 (en) 2018-06-28
KR20180074574A (ko) 2018-07-03
CN108281346B (zh) 2023-08-11
JP2018117116A (ja) 2018-07-26
US10262910B2 (en) 2019-04-16
TW201838053A (zh) 2018-10-16
US20190244870A1 (en) 2019-08-08

Similar Documents

Publication Publication Date Title
CN108281346A (zh) 从光谱的时间序列进行特征提取以控制工艺结束点的方法
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
CN101542280B (zh) 用多元分析对来自半导体处理系统的计量数据进行变形
TWI733765B (zh) 蝕刻基板上之一或更多特徵部的方法、系統、及電腦可讀媒體
US10197506B2 (en) Optical metrology for in-situ measurements
TWI364808B (en) Creating a library for measuring a damaged structure formed on a wafer using optical metrology
Zeng et al. Virtual metrology modeling for plasma etch operations
WO2016086138A1 (en) Improved process control techniques for semiconductor manufacturing processes
JP2008020452A (ja) 光学計測システムに係る選択された変数の最適化
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
TW201506348A (zh) 用於光學度量衡之高度相關參數的相關性之動態移除
US7663766B2 (en) Incorporating film optical property measurements into scatterometry metrology
US20230169643A1 (en) Monitoring of deposited or etched film thickness using image-based mass distribution metrology
US20230163002A1 (en) Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
US6535288B1 (en) Machine readable code to trigger data collection
TW202344834A (zh) 製造系統處的多位準射頻脈衝監測和射頻脈衝參數最佳化
WO2022256194A1 (en) In situ sensor and logic for process control
CN117222860A (zh) 用于测量蚀刻参数的多反射测量法
Yang et al. Similarity Ratio Analysis for Early Stage Fault Detection with Optical Emission Spectrometer in Plasma Etching

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant