TW201838053A - 由光譜之時間序列的特徵部抽取以控制程序終點的方法 - Google Patents

由光譜之時間序列的特徵部抽取以控制程序終點的方法 Download PDF

Info

Publication number
TW201838053A
TW201838053A TW106144506A TW106144506A TW201838053A TW 201838053 A TW201838053 A TW 201838053A TW 106144506 A TW106144506 A TW 106144506A TW 106144506 A TW106144506 A TW 106144506A TW 201838053 A TW201838053 A TW 201838053A
Authority
TW
Taiwan
Prior art keywords
virtual
blanket
complex
spectra
time series
Prior art date
Application number
TW106144506A
Other languages
English (en)
Inventor
燁 馮
普拉尚特 庫瑪
安祖D 貝利三世
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201838053A publication Critical patent/TW201838053A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Abstract

使用光譜之時間序列識別蝕刻程序終點的方法及系統。一方法包含接取一虛擬毯狀物,該虛擬毯狀物係自一訓練操作期間所收集到之該蝕刻程序之一光譜的時間序列所產生。及在一製造晶圓上進行一製造蝕刻程序,俾以在進行該製造蝕刻程序時針對該製造蝕刻程序產生自一光譜之時間序列所定義的一毯狀物的複數部分。接著,將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較。當該比較指示已針對該製造晶圓到達一期望的度量數據時,處理該製造蝕刻程序的終點。在一實例中,該毯狀物之該複數部分包含經捕捉之複數光譜的一目前畫格及經捕捉之複數光譜的至少一先前畫格。該製造蝕刻程序之該毯狀物的該複數部分係擬合至該虛擬毯狀物以識別與該度量數據之一預測值相關聯的一虛擬畫格數及複數相關浮動參數。又,在該訓練操作期間所產生之該複數毯狀物的每一者及該虛擬毯狀物係由多項式所定義。在該訓練操作期間所產生之該複數毯狀物之複數係數為該虛擬毯狀物之多項式之複數係數的一子集合。

Description

由光譜之時間序列的特徵部抽取以控制程序終點的方法
本發明實施例係關於檢查在蝕刻程序操作之程序期間所抽取之光譜資訊之時間序列以控制蝕刻終點操作的方法及電腦施行程序。方法及系統使用訓練程序以產生三維強度表面輪廓,其在本文中被稱為毯狀物。接著將訓練期間所生成之複數毯狀物轉換為一虛擬毯狀物,虛擬毯狀物係用於晶圓之實時程序中以預測或識別目前時間點處的一有效蝕刻深度,接著使用有效蝕刻深度判斷是否已達到蝕刻終點。
長久以來使用電漿處理基板(如晶圓或平板)以形成電子產品(如積體電路或平面顯示器)。半導體晶圓通常被放置於蝕刻室中,其具有遮罩層以引導下方材料之蝕刻。蝕刻程序移除未被遮罩層所遮覆的下方材料。雖然業界已多加研究蝕刻程序且通常針對特定的結構、材料及/或材料堆疊定義精準的配方,但仍發生蝕刻效能的變異。其原因在於,實時製造環境中的蝕刻程序係於不同的蝕刻室中進行。雖然常會調制並匹配此些蝕刻室,但此些蝕刻室在物理上或電性上仍不相同。此外,受到處理的晶圓可能會有晶圓與晶圓之間的差異或批次與批次之間的差異。又,晶圓被置入蝕刻室中亦可能引入變異,如晶圓偏差變異、晶圓偏斜、晶圓厚度等。
因此,晶圓蝕刻程序通常使用至少一種終點技術。此類技術可能隨製造而不同,但最常使用的終點可包含基於時間之終點或光學終點。基於時間之終點仰賴使用為了例如移除預定量材料之特定蝕刻程序何時應終結的校正前估計。光學終點系統係設計以監測電漿或自晶圓反射之光譜發射,試著識別出光譜發射的變化何時代表蝕刻材料的變化。例如,若蝕刻已移除了所有特定量的材料或當蝕刻開始移除不同材料時,在此時間點處的光譜發射會變化。不幸地,現行的技術仍苦於精準度,當特徵部尺寸更縮減時挑戰更甚。關於目前的光學終點,使用光學條件的變化仍仰賴一特定時間點的光譜條件。
本發明之實施例係於此背景下產生。
檢查在蝕刻程序操作之程序期間所抽取之光譜資訊之時序以控制蝕刻終點操作的方法及系統。方法及系統使用訓練程序以產生三維強度表面輪廓,其在本文中被稱為毯狀物(carpet)。毯狀物係指由強度光譜資訊之複數經取樣的畫格(frame)所建構的一模型,俾以不僅取樣時間訊號之一目前畫格亦取樣時間訊號的一或多個先前畫格。因此,毯狀物定義了一系列時間(t)樣本的一模型,且每一時間樣本具有其相關的強度光譜資訊(I (λ),如波長)。因此該毯狀物不僅提供在一特定時間點處的光譜資訊,亦提供一特定晶圓之程序期間內之光譜資訊之變化的歷史。
在一訓練階段中,處理(如蝕刻)複數晶圓且針對每一晶圓產生一毯狀物。針對每一毯狀物,取樣到的最後畫格可關於通常自一量測系統所量測到的一真實蝕刻深度。每一毯狀物係由具有複數係數值之複數多項式以數學方式描述定義。接著處理複數訓練毯狀物的多項式擬合以定義具有複數浮動係數的一虛擬毯狀物,浮動係數描述訓練期間所產生的所有毯狀物。使用該虛擬毯狀物的複數多項式係數,在該虛擬毯狀物上獲得每一晶圓之該最後畫格的複數虛擬畫格數。進一步最佳化此些畫格數對量測到的蝕刻深度之間的關聯性,以獲得良好的精準度。
在訓練終止處,下載經最佳化的複數多項式係數作為運行時執行用的複數配方參數。在複數晶圓的實時程序期間,蝕刻系統的一控制器可使用該虛擬毯狀物的該複數多項式係數以檢查終點。在一實施例中,該控制器自該實時程序產生一毯狀物。當正在產生該毯狀物的複數畫格時,可使一群連續畫格(如毯狀物區域)擬合至該虛擬毯狀物以識別一目前虛擬畫格數。該虛擬畫格數係預先關聯至一預測度量數據。在一實施例中,除了該虛擬畫格數外使用複數浮動參數以映射一度量數據的一預測值。當該度量數據之該預測值實質上匹配一期望度量數據時代表到達蝕刻終點。
使用此程序可操作終點俾使蝕刻程序在到達預測蝕刻點時可終止。下面將參考圖示更詳細說明產生訓練毯狀物、產生虛擬毯狀物、及虛擬毯狀物之實時使用的程序相關細節。
在一實施例中,揭露一種使用光譜之時間序列識別蝕刻程序之終點的方法。此方法包含接取一虛擬毯狀物,該虛擬毯狀物係自一訓練操作期間所收集到之該蝕刻程序之一光譜的時間序列所形成。又,在一製造晶圓上進行一製造蝕刻程序俾以在進行該製造蝕刻程序時針對該製造蝕刻程序產生自一光譜之時間序列所定義的一毯狀物的複數部分。接著,將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較,當該比較指示已針對該製造晶圓到達一期望的蝕刻深度時針對該製造蝕刻程序處理一終點。在一實例中,該毯狀物之該複數部分包含經捕捉之光譜的一目前畫格及經捕捉之光譜的至少一先前畫格。該製造蝕刻程序之該毯狀物的該複數部分係擬合至該虛擬毯狀物以識別與該蝕刻程序之一預測蝕刻深度相關的一虛擬畫格數。
在某些實施例中,該訓練操作包含在複數晶圓上進行該蝕刻程序及針對每一晶圓在複數獨立取樣畫格時間處捕捉一光譜之時間序列。在該複數獨立取樣畫格時間處所捕捉之該光譜之時間序列定義一各別毯狀物,每一該各別毯狀物係由具有各別複數係數的一多項式特徵化,該複數係數描述在不同數值處的一虛擬毯狀物。
在某些實施例中,於訓練期間所產生之每一該毯狀物之該各別多項式的該複數係數係藉由一維度縮減演算法處理以產生該虛擬毯狀物。在某些實施例中,有數種方式處理維度縮減(如步進、主成分分析等)。該虛擬毯狀物係由具有複數各別係數的一標準化的多項式所表示,作為複數訓練毯狀物之所有係數的一超集合。
在某些實施例中,將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較包含將該毯狀物的該複數部分擬合至該虛擬毯狀物以自該虛擬毯狀物識別一虛擬畫格數。該虛擬畫格數係映射至一預測的蝕刻深度,且當該預測的蝕刻深度匹配至該期望的蝕刻深度時能指示蝕刻終點。
在某些實施例中,該訓練操作包含自蝕刻複數基板期間所產生之經取樣的光譜數據產生複數毯狀物,其中每一該毯狀物係自受到蝕刻的基板所產生。接著,針對每一受到蝕刻的基板量測或接收關於一蝕刻深度的數據。該程序更包含自該複數毯狀物的每一者產生該虛擬毯狀物。以藉著擬合該複數毯狀物之每一者之具有各別係數的多項式所產生之具有複數係數的一多項式定義該虛擬毯狀物。在一實施例中,該虛擬毯狀物之該複數多項式係數中的某些者可為浮動的而其他者為固定的或耦合至該複數浮動參數,俾使所有該複數毯狀物之每一者之具有各別係數的多項式皆為該些虛擬毯狀物的一子集合。在一實施例中,除了該虛擬畫格數外使用該複數浮動參數以映射該蝕刻深度一預測值,當該蝕刻深度之該預測值實質上匹配一期望的蝕刻深度時能指示蝕刻終點。
在某些實施例中,該光譜之時間序列為與寬頻原位反射儀相關的強度光譜數據、或與光發射光譜(OES)相關的強度光譜數據、或與寬頻原位橢圓量測儀相關的橢圓度量光譜數據,其中該光譜數據係自一晶圓上之一特徵部正受到蝕刻時用以蝕刻之一腔室所收集。
在另一實施例中,提供一種自蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法。該方法包含在一或多個腔室中蝕刻複數基板,其中在進行該蝕刻時捕捉光譜之複數畫格之複數樣本。經捕捉之該複數光譜之每一畫格識別該複數光譜之一強度為一波長的函數。接著,藉著使量測到的度量數據關聯至對應基板之複數光譜之最後畫格,該度量數據如蝕刻深度係關於該蝕刻完成後的每一基板。該方法更包含針對受到蝕刻的每一基板產生複數毯狀物。該複數毯狀物的每一者係由複數光譜的複數畫格所定義,具有複數對應係數的一多項式定義該複數毯狀物之該每一者的特性。該方法藉著使用一多項式擬合演算法處理該複數毯狀物產生一虛擬毯狀物且該虛擬毯狀物為該複數毯狀物的一超子集,俾使該複數毯狀物的每一者可被投影至該虛擬毯狀物上以決定一虛擬畫格數。例如,由於該虛擬毯狀物的維度彈性,因此可藉著浮動、固定及/或耦合該虛擬毯狀物的複數超參數而最佳化經投影之虛擬畫格數與來自量測設備之度量數據的預測值之間的關聯性。該方法包含將該虛擬毯狀物之該複數虛擬畫格數關聯至該度量數據的一預測值。在一實施例中,該方法更包含追蹤R平方或經調整的R平方。
在一實施例中,該虛擬毯狀物在一基板的實時程序期間受到一控制器接取以判斷自該虛擬毯狀物所獲得之該度量數據的一目前預測值何時符合能指示在該實時程序中之該蝕刻程序之終點的一預設值。
在某些實施例中,與該蝕刻相關的該度量數據為下列的一者:一蝕刻深度、一關鍵尺寸值、一晶圓彎曲、或上述兩或更多者的一組合。
在某些實施例中,該控制器係用以連接至一數據庫或一配方檔案以接取在針對該基板之該實時程序的該訓練期間所產生的該虛擬毯狀物。
在某些實施例中,在該實時程序期間該控制器係用以針對該實時程序產生一毯狀物,當該毯狀物正在產生時該毯狀物的至少一部分或一區域被用來與該虛擬毯狀物比較。
在某些實施例中,該比較包含使該毯狀物之該部分或該區域對該虛擬毯狀物的複數超參數進行一多項式擬合,以致使該虛擬毯狀物與正在產生之該毯狀物的關聯性而決定該虛擬畫格數,俾以利用在訓練期間所決定的複數配方參數決定蝕刻深度。是以在該實時程序期間能有效率地決定該虛擬畫格數。
在某些實施例中,該毯狀物之該部分包含經捕捉之該複數光譜的一目前畫格與經捕捉之該複數光譜的至少一先前畫格,其中在與該虛擬毯狀物的比較期間使用經捕捉之該複數光譜的該至少一先前畫格能精準識別該基板之該實時程序的一目前狀態。
在某些實施例中,該毯狀物的該部分係擬合至該虛擬毯狀物以識別與該蝕刻程序之一預測蝕刻深度相關聯的一虛擬畫格數。
自下列參考附圖的詳細說明將更明白其他態樣。
檢查在蝕刻程序操作之程序期間所抽取之光譜資訊之時間序列以控制蝕刻終點操作的方法及系統。方法及系統使用訓練程序以產生三維表面輪廓,其在本文中被稱為毯狀物。毯狀物係指由光譜資訊之複數經取樣的畫格所建構的一模型,俾以不僅取樣時間訊號之一目前畫格亦取樣時間訊號的一或多個先前畫格。因此,毯狀物定義了一系列時間(t)樣本的一模型,且每一時間樣本具有其相關的光譜資訊(λ,如波長)。因此毯狀物不僅提供在一特定時間點處的光譜資訊,亦提供在一或多個先前光譜資訊樣本期間光譜資訊之變化的歷史。在一實施例中定義一演算法,其中在訓練期間產生複數毯狀物以產生一虛擬毯狀物。在一實施例中,該複數毯狀物與虛擬毯狀物為在時間與空間兩維度中藉由多項式迴歸對寬頻原位反射光譜回應的抽取。毯狀物基本上為複數時間片段/畫格的模型,其中針對每一畫格捕捉是為波長的函數之強度。是以,針對每一時間取樣,捕捉一畫格,其亦致使在產生毯狀物時使用一或多個已捕捉的先前畫格。藉著使用文中所述的演算法/方法,在實時程序期間使用毯狀物處理具有下列的額外優點:能使因晶圓層級變異所造成的光譜變化自因蝕刻所造成的光譜時間演變解耦。
在一實施例中,可施行機器學習以使用光譜之時間序列抽取晶圓的關鍵條件。在一實施例中,使用訓練階段,在訓練階段中使用目標處理配方蝕刻複數晶圓。可利用施用目標處理配方的不同處理室來施行訓練階段。晶圓層級的變異可以許多方式導入,如因晶圓處理之先前步驟的變異、處理室的變異、晶圓特性的變異、晶圓批次的變異、潛在晶圓偏斜或旋轉的變異、及其他晶圓層級的變異。結果為蝕刻程序將改變,即便是在相同的機器設備上使用相同的目標配方。然而,根據一實施例,在訓練期間之每一晶圓的處理期間,在複數晶圓之蝕刻程序期間對光譜數據進行取樣。因此,該取樣產生光譜資訊之複數取樣畫格,其被定義為以λ之函數表示的強度。
因此畫格的時間序列定義代表強度的三維(3D)表面的數據,在文中被稱為毯狀物。因此在一實施例中,毯狀物提供光譜強度之變化的歷史資訊而非只是單一強度光譜圖。對於用於訓練的每一晶圓而言,量測係由蝕刻深度所構成。可利用任何數目之量測設備進行量測。一例示性的方式為使用光學CD (OCD)量測。OCD量測可用以判斷各種度量數據,包含蝕刻深度、特徵部特性、蝕刻前之CD、特徵部或蝕刻輪廓等。在一實施例中,接著使量測到的蝕刻深度與毯狀物的最後畫格關聯,毯狀物之最後畫格包含量測蝕刻深度之狀態處的光譜強度。但,由於毯狀物亦包含和先前畫格相關的資訊,因此瞭解導致最後畫格的光譜條件為何是有用的。在一實施例中,所產生的每一毯狀物係由下列數學方式獲得:以具有獨特係數(C0, ... Cmn)之m * n階多項式擬合實驗光譜,其中m代表在時間維度的階次而n為波長維度的階次。在數學上,擬合演算法為用以最小化質量因數(figure of merit)的迴歸方法,質量因數(figure of merit)被定義為多項式估算與實驗光譜之間的差異。
在一實施例中,導入一操作以縮減多項式係數的維度。此維度縮減可藉由步進迴歸、多毯狀物耦合迴歸、或主成分分析來加以施行。維度縮減的目的在於,就此些超維度中的浮動參數及代表蝕刻時間影響的虛擬畫格數而言,使用最少的維度來解釋毯狀物之間的變異並成功地與蝕刻深度量測數據關聯。
在一實施例中,藉著執行多毯狀物耦合迴歸來進行迴歸。演算法將在訓練期間所產生之複數毯狀物中之每一毯狀物的多項式作為輸入,然後使用組合均方根誤差(MSE)涵蓋所有毯狀物以將所有毯狀物的多項式擬合至具有較低參數維度(C0, ... Cp)的一多項式(此多項式定義了一虛擬毯狀物)。例如,MSE通常被定義為:
在一實施例中,某些多項式係數在毯狀物之間相互耦合(以一線性關係定義)以代表毯狀物與毯狀物之間的恆常性,同時使其他多項式係數浮動。哪些參數耦合而哪些參數浮動的選擇係由在毯狀物與實驗光譜之間之均方根誤差上的影響所決定。
在另一實施例中,藉著步進參數縮減來進行維度縮減。當調整參數空間時,就R平方及經調整之R平方的角度而言評估經縮減之參數空間與蝕刻深度量測數據之間的關聯性,以找到利用最少參數的良好關聯性。找到與蝕刻深度量測數據的關聯性並非需要所有參數。
在仍另一實例中,使用主成分分析找到主成分之分數、虛擬畫格數、及量測到的蝕刻深度之間的關聯性。可增加主成分的數目以達到較佳關聯性。一旦自上述及虛擬畫格數達到能利用較低超維度解釋量測到的蝕刻深度的滿意關聯性,則完成訓練。
在訓練毯狀物之多項式之間的差異小且虛擬毯狀物之畫格數本身足以以期望精準度解釋蝕刻深度的量測值的情況下,可藉由多項式擬合係數的平均值來獲得虛擬毯狀物多項式。
在另一實施例中,可使用參考晶圓的光譜回應來與其他晶圓比較。此外,有數種其他方式將多項式係數與虛擬畫格數鏈結至蝕刻深度。一種此類方法為部分最小平方法,在另一實施例中使用神經網路處理來建立參數與量測到的蝕刻深度之間的關係。
一旦完成訓練程序後,可在製造晶圓的實時程序期間使用虛擬毯狀物判斷蝕刻終點。在一實施例中,使用虛擬毯狀物資訊與實時光譜來量測有效蝕刻深度作為光譜歷史的函數。下面將參考圖示說明與使用虛擬毯狀物相關的更多資訊。
在某些實施例中,虛擬毯狀物可鏈結至關鍵尺寸(CD)量測數據、線寬、節距、間距、彎曲偵測度量數據、及其他可量測的度量數據,以取代量測蝕刻深度。即,針對在訓練期間所處理的每一晶圓,所得的毯狀物可與量測到的度量數據關聯而毋需蝕刻深度。例如,在科林研發公司(Lam Research Corporation)的美國專利US 9,123,582中揭露了晶圓彎曲,將其包含於此作為參考。
在一實施例中,在實時程序(即運作時間)期間,可用虛擬毯狀物以預測寬頻原位反射光譜對時間及期望目標蝕刻深度。因此此程序能精準預測晶圓層級的蝕刻率及停止蝕刻的時間。寬頻原位反射光譜或干涉量測藉著迫使光束聚焦於晶圓上的一位置處並量測多波長之反射光強度,能量測在蝕刻(或沉積)期間之晶圓表面的反射。寬頻原位反射儀的一實例為閃光燈/連續波反射儀(如其有時被稱為蘭姆光譜反射儀(Lam Spectral Reflectometer (LSR))。可參考科林研發公司之美國專利US 6,400,458與US 6,160,621以瞭解原位干涉系統上的相關資訊,將上述文獻包含於此作為參考。
在另一實施例中,可使用動態時間校正(DTW)演算法以計算光譜對參考光譜的匹配,接著可將結果直接用以計算蝕刻率與理想蝕刻停止。
使用光譜之時間序列有幾個優點。一優點為能確保光譜之因果關係的模型相依性。這能限制模型化參數且亦提供較高的精準度。例如,兩個不同時間序列的相同光譜可識別晶圓的不同條件,因偏差可來自於進入的變異。額外的優點為,在虛擬毯狀物中明確模型化了光譜與時間的共變異以保存資訊內容。是以,實驗資訊並未遺失。又,由於每一毯狀物皆獨立擬合,因此確保了可縮放性的優點以處理大量的實驗光譜。
主動控制用之演算法的訓練比需要大量實體模型化之許多其他實體系模型更快。此外,對於此類來自混合陣列的複雜反射而言,運作時間執行速度亦比實體系模型更快。
應瞭解, 文中所述的方法並不限於強度光譜。方法可應用至在時間中適當縮放之任何訊號組,在每一時間畫格內經關聯的訊號可以具有特定關聯特徵的「x」代表,主成分沿著x便如同光譜在時間中,可採取相同的維度縮減及訓練策略。例如,以類似方式分析來自複數感測器之關於靜電夾頭(ESC)之時間曲線以預測CD(關鍵尺寸)或CD均勻度可類比於分析來自晶圓的波長時間曲線以預測局部深度。可以主成分分析處理此些非空間訊號的共變異以針對特定時間畫格抽取基本資訊,因此以較高精準度作終點控制。
當明白,可在缺乏部分或所有此些特定細節(如蝕刻率)的情況下施行本發明的實施例。在其他情況中,不詳細說明習知的程序操作以免不必要地模糊本發明實施例。
圖1例示根據一實例包含用以處理晶圓106之腔室102的系統100。在此實例中,電漿108係用以處理晶圓106。電漿108可用以將特徵部蝕刻至晶圓106中。雖然未顯示,但腔室102係連接至電源,電源係用以將RF功率輸送至腔室102的電極以產生電漿108。控制器110係用以與腔室102及RF電源交界以控制蝕刻程序。在某些實施例中,腔室102可為電容耦合電漿(CCP)室或感應耦合電漿(ICP)室。其他例示性的系統可參考發證予科林研發公司之美國專利US 6,979,578,將其包含於此作為參考。在‘578專利中,在原位數據收集中所用之光纖的實例係顯示於圖5中。
在任一情況中,腔室102與其程序係與控制器110交界,控制器110可提供處理系統100蝕刻用之配方所需的設定。原位監測裝置104可與腔室102整合並耦合至控制器110。原位監測裝置104可用以偵測與晶圓106之程序相關的光譜發射的光學特性或光譜數據。在一實施例中,原位監測裝置104係用以收集及/或取樣與反射或干涉光學訊號相關的光譜數據或與光發射光譜(OES)相關的光譜數據。
在一特定的實例中,原位監測裝置104係用以產生被投影至晶圓106之表面上的寬頻光,同時偵測器收集與來自基板表面之反射光相關的光譜數據。雖然下面的討論主要聚焦於監測反射或干涉光學訊號,但系統可利用OES或其他檢測技術操作。
在一實施例中,控制器110係用以執行使用由原位監測裝置104所收集之光譜數據的程序操作,以處理來自晶圓106發射之毯狀物資訊。如上所述,毯狀物被定義為代表在時間序列中經捕捉之光譜數據之多個實況之複數畫格的集合。即,光譜數據係由原位監測裝置104於預定的時間間隔處所收集,預定的時間間隔例如是每預定數目的毫秒、秒、或某些自訂的時間設定。
圖2例示毯狀物120的實例,毯狀物120為複數畫格之時間序列捕捉物所產生之表面的三維抽取物,其中每一畫格代表在時間中將強度歸類為波長之函數的一實況。如所示,畫格0為針對毯狀物120所捕捉的第一畫格,畫格0與每一接續的畫格直至畫格 n代表圖3中所例示之蝕刻操作的毯狀物。畫格1-n之每一者係於一特定時間t0-tn處所捕捉。因此每一畫格皆具有其各自的光譜,各自的光譜係根據波長而說明強度。隨著捕捉每一畫格而建構毯狀物120,因此揭露關於當時間推移時根據波長的強度變化之資訊。
是以,並非僅收集單一時間畫格的資訊(其中強度為波長的函數),而是亦收集複數時間之強度的連續變化(其中強度為波長的函數)。是以,在任何時間點處,可確定導致目前時間狀態的已發生變化。此資訊將揭露當基板材料130正在受到蝕刻以定義蝕刻特徵部132時所發生的強度改變。圖3中所示之實例顯示單一蝕刻特徵部,但應瞭解, 蝕刻操作通常針對任何數目的特徵部實質上同時進行,特徵部可能小於光的波長且可能對應至分散至半導體晶圓上之微影曝光的單一場區或許多場區。在使用單一反射儀感測器的實施例中,只收集照明點下的光譜時間序列但將其用於控制整個晶圓的終點。當特徵部的關鍵尺寸與深度隨著蝕刻程序改變,進入光束的繞射會在遠場區中產生強度變化(強度變化為波長的函數)並導致在光譜儀處的強度變化。
是以,圖3的例示僅提供用以顯示,隨著蝕刻進行會持續捕捉以波長為函數之光譜強度的畫格,因而建立並定義毯狀物120。在一實施例中,針對一特定的晶圓程序操作如蝕刻操作,受到蝕刻的特徵部會到達一特定深度(在圖3中以量測到的深度(dm)顯示)。在該點處,蝕刻操作完成且圖2的毯狀物120完成。這導致最後畫格(如畫格 n)為對應至時間tn處所量測到之深度dm的畫格。
圖2之毯狀物120的例示及圖3中的蝕刻操作係用以例示捕捉光譜數據的複數畫格。應瞭解,基於期望的取樣頻率可捕捉更多的畫格,更多畫格可提供更緻密的毯狀物120而具有與晶圓層級處之特徵部CD、深度、或輪廓資訊相關的更豐富資訊。在一實施例中,認為毯狀物120的改變為時間的函數,其可被經捕捉的複數畫格(為波長的函數)所揭露。毯狀物120在一實施例中可利用數學多項式及其針對一波長範圍相關的複數係數所特徵化。因此多項式的複數係數定義時間及波長中的表面,下面將說明此表面可被接取。
如上所述,文中所述的一實施例使用一訓練程序,此訓練程序需要針對一特定的蝕刻配方與蝕刻程序處理複數片晶圓。在某些實施例中,針對不同的晶圓使用相同的腔室102。在其他實施例中,針對每一晶圓使用不同的腔室。在訓練操作期間所處理的每一晶圓將產生一各別的毯狀物120。複數毯狀物中的每一者將定義由原位監測裝置104所見以每一畫格處所捕捉到之光譜數據所表現的特徵,畫格的捕捉係基於取樣頻率。一旦定義了複數毯狀物後,可利用一多項式擬合演算法擬合此些毯狀物,以產生具有複數浮動、固定、及/或耦合係數參數的一毯狀物,在文中此一毯狀物被稱為虛擬毯狀物。
圖4例示根據一實施例使用訓練產生器150相關的更多細節,使用訓練產生器150包含針對每一訓練晶圓產生毯狀物。如所示,訓練產生器150包含產生毯狀物120a-120n,其中每一毯狀物係與一各別的多項式相關,且每一毯狀物具有與量測到的蝕刻深度相關聯的一最後畫格。由於程序條件、腔室組態、及其他因子之間有變異,因此何時蝕刻終止產生每一毯狀物亦不同。藉著步進迴歸、多毯狀物耦合迴歸、或主成分分析而載入多項式係數可模型化此效應,其中不同毯狀物的參數差異會揭露其在終點估算上的影響且後續藉由對量測到之蝕刻深度線性迴歸所決定。
在某些實施例中,可刻意將各種晶圓蝕刻至不同深度以產生各種尺寸的毯狀物120。在任一情況中,就其多項式及相關的複數係數而言捕捉複數毯狀物120中的每一者。如上所述,多項式的各種係數將說明毯狀物的三維輪廓形狀,毯狀物的三維輪廓形狀係由在蝕刻操作期間所捕捉到的複數畫格所定義。在此實例中,多項式擬合處理器162係用以自毯狀物120a-120n中的每一者接收多項式。此外,量測儀器160亦捕捉到與毯狀物120a-120n中之每一者相關之每一晶圓的量測深度。量測儀器160可具有任何形式,廣義而言為可量測晶圓之特定參數或度量數據、特徵部、深度、及通用特性的半導體量測設備。實例包含橫剖面SEM、TEM、及散射儀。
多項式擬合處理器162係用以與虛擬毯狀物產生器164通訊。虛擬毯狀物產生器164為藉以產生虛擬毯狀物120的維度縮減與線性迴歸程序。就光譜數據的畫格(以波長的函數表現的光譜強度)而言,虛擬毯狀物120係具有預定的尺寸。如上所述,多項式擬合處理器162係用以接收各種毯狀物120a-120n的多項式並根據虛擬毯狀物產生器164所定義的限制擬合此些多項式。在一實施例中,虛擬毯狀物產生器164係用以產生虛擬毯狀物220,虛擬毯狀物220可由上述的各種技術所產生。
因此產生虛擬毯狀物220,虛擬毯狀物220以及量測儀器160的輸出在224中關聯,以將虛擬毯狀物的複數虛擬畫格數關聯至量測儀器160所量測到的特定深度或度量數據。是以,在實時程序及終點操作226期間,腔室的控制器可接取虛擬毯狀物220及/或虛擬畫格數至深度關聯器224,以識別蝕刻程序何時到達終點。當蝕刻程序已到達針對受到蝕刻之特定特徵部的期望深度時到達終點,且藉著使用虛擬毯狀物可藉著使目前處理的毯狀物的一部分(即針對目前製造操作)關聯至虛擬毯狀物220而到達終點。
例如,實際製造晶圓的實時程序可使用控制器針對目前蝕刻操作產生毯狀物的此演算法。在程序期間,針對毯狀物產生畫格然後此些畫格被添加至已製造出的先前畫格。在一實施例中,可使用來自蝕刻之實時程序期間目前產生之毯狀物的一目前畫格及一或多個先前畫格(即一區域)。藉著動態且實時的方式擬合至虛擬毯狀物,可實時識別預測蝕刻深度。如上所述,虛擬毯狀物會包含關於已預先關聯至複數蝕刻深度之複數虛擬畫格數的資訊。
如下面所將說明的,可自訓練階段期間所處理的各種晶圓大致估計各種蝕刻深度。又,先前訓練階段產生虛擬毯狀物,因此光譜數據之目前所捕捉之畫格(或畫格區域)之預測深度相關的資訊將產生真實蝕刻深度的緊密相關估計或預測。是以,藉著在實時程序期間持續處理毯狀物,針對一特定蝕刻操作將達到一點,在此點處被擬合及映射至虛擬毯狀物的畫格將代表期望深度。在此點處,腔室的控制器會指示系統已到達終點,於是將停止蝕刻操作。
圖5例示根據一實施例之毯狀物102a的實例,當在訓練期間蝕刻晶圓(W0)時產生毯狀物102a的對應多項式。在此實例中顯示,毯狀物102a為複數對真實畫格樣本230所產生的結果(包含複數畫格數231與時間232)。在完成蝕刻操作程序以產生毯狀物102a處,到達來自各種樣本畫格的一最後畫格。在此實例中,最後畫格為畫格467。畫格 467僅被顯示為例示性數字,所捕捉的畫格會取決於取樣頻率及蝕刻操作的期間。
繼續實例,畫格 467將關聯至量測到的蝕刻深度或量測裝置或系統正檢視或量測之某其他參數或度量數據。如上所述,針對其他度量數據亦可量測或關聯為波長之函數的光譜強度的畫格。此類度量數據可包含關鍵尺寸檢視、晶圓中的彎曲特性、及通常量測或可量測的其他度量數據。
圖6A例示具有其對應多項式之虛擬毯狀物220的實例,對應多項式係由訓練操作期間所產生之所有複數多項式所推導出。如所示,針對此虛擬毯狀物,亦可識別複數虛擬畫格樣本240,其中複數虛擬畫格數係關於不同的時間,其係自複數真實畫格樣本230所推導出,複數真實畫格樣本230對應至訓練期間之不同晶圓所產生之各種毯狀物所產生之所有多項式。 複數虛擬畫格樣本240亦包含複數虛擬畫格數241及對應的時間242。
在此實例中,由於虛擬畫格數已被標準化,因此虛擬畫格數將自虛擬畫格數0延伸至虛擬畫格數300。當瞭解,所有各種訓練毯狀物的虛擬畫格數將具有不同的畫格數,且各種畫格與其相關的多項式係數的推導俾使其被標準化為針對虛擬毯狀物220所定義的複數虛擬畫格數之組合。藉著產生虛擬毯狀物220可自各種訓練毯狀物抽取出變異,是以產生及消除在每個獨立毯狀物中可能產生的異常或假陽性。又,藉著產生虛擬毯狀物220可使用虛擬毯狀物220作為運行製造晶圓之程序的後續參考,且此類製造晶圓可使用虛擬毯狀物224識別終點。
為了提供與訓練期間之晶圓運行(如晶圓1-5)相關之多項式係數及所得虛擬毯狀物(如平均值)的實例的目的,下面的圖6B顯示了某些例示性的數字。在此實例中使用平均值定義虛擬毯狀物,但可使用其他方法。
圖7例示根據一實施例虛擬毯狀物220之複數虛擬畫格數與產生各種毯狀物之訓練期間針對所進行之蝕刻操作所量測到之複數深度304之間的映射圖300的實例。在製造晶圓的程序期間,控制器可產生其自己之由複數畫格所定義的毯狀物,畫格所具有之強度為波長的函數。當正在產生毯狀物時,可週期性地捕捉到兩或更多畫格或一毯狀物區域並將其擬合至虛擬毯狀物220。藉著擬合至虛擬毯狀物220中,可識別在晶圓上進行蝕刻之腔室所處理之最目前畫格的虛擬畫格數302。
如310中所示,從複數虛擬畫格數302可識別出目前畫格數(VFNc)並將其關聯至來自映射圖300之蝕刻深度304的目前深度dc。如映射圖300中所示,亦可將在訓練期間所用之各種測試晶圓映射至圖,產生實質上線性的近似。線性近似將顯示針對每一測試晶圓所量測到的深度,其係關於各別毯狀物120中的最後畫格。這例示晶圓0係蝕刻至深度d1、晶圓3係蝕刻至深度d2、晶圓1係蝕刻至深度d3、晶圓n係蝕刻至深度dn。
由於複數虛擬畫格數為自所有複數毯狀物120所收集之複數畫格的一擬合表示,因此此些步驟可被顯示成沿著一實質直線實質發生。是以,期望虛擬毯狀物所提供的標準化能產生此實質上線性的響應或表示。因此在程序期間,目前虛擬畫格數VFNc可被映射至沿著線性近似分佈的點306,接著可將點306關聯至程序期間的預測目前深度dc。基於線性近似及所識別的虛擬畫格數,深度dc又大致上落在深度d2與d3之間。在一實施例中,可使用內插識別深度dc。若實時程序需要達到深度d3,系統將持續針對目前製造操作處理毯狀物,且在將目前畫格或區域擬合至虛擬毯狀物時持續將目前正在產生之晶圓毯狀物的兩或更多畫格或區域與虛擬毯狀物比較。
是以,程序可持續檢查目前的虛擬畫格數是否對應至期望深度d3。一旦系統處理的製造晶圓到達VFN5如深度d3,控制器將指示蝕刻程序停止。
圖8A例示根據一實施例自複數晶圓產生訓練數據以產生複數毯狀物然後將複數毯狀物擬合至一虛擬毯狀物的例示性程序。在操作402中,自複數晶圓之複數蝕刻程序產生訓練數據。如上所述,相同的蝕刻系統或組態配置類似的各種蝕刻系統可在程序期間處理複數晶圓並捕捉是為波長函數的強度。在操作404中,針對每一晶圓所進行的每一程序產生一毯狀物。
毯狀物將包含強度(為波長函數)之複數經取樣的畫格。當針對訓練晶圓完成蝕刻程序時,操作406將量測每一晶圓的所得深度,俾使每一毯狀物的一最後畫格對應至被量測到的所得深度。在一實例中,可使用量測系統進行量測。在操作408中,針對每一毯狀物進行多項式擬合以產生一虛擬毯狀物。虛擬毯狀物之複數多項式係數中的某些者可為浮動而其他為固定或耦合至浮動參數,俾使每一毯狀物之各別係數的所有多項式皆為虛擬毯狀物的子集。因此虛擬毯狀物為訓練期間所處理之晶圓期間所產生之複數毯狀物的一超集合。在操作410中,在虛擬毯狀物之複數虛擬畫格數與蝕刻之複數預測深度之間產生關聯。這包含進行虛擬毯狀物之複數虛擬畫格數的監督訓練,以預測蝕刻深度或一度量數據。
例如,藉由映射圖300在圖7中顯示關聯。在操作412中,將虛擬毯狀物與關聯儲存至晶圓之實時程序期間用的數據庫。
圖8B為圖8A之程序的另一實例,其針對操作410與412提供額外細節。在此實例中,操作410’說明可就毯狀物多項式參數定義蝕刻深度的負載。此類參數可包含虛擬毯狀物畫格數及毯狀物之其他浮動多項式參數,在某些情況中藉由迴歸上至第三階。在操作412’中,儲存虛擬毯狀物的複數多項式。可將複數多項式以浮動、固定、及/或耦合至參數與相關常數的形式儲存至數據庫中。在此實例中,迴歸的複數係數係於410'中獲得。
文中所用之實時處理晶圓表示:正在處理製造晶圓、且所用的終點機制使用將所產生的複數毯狀物區域擬合至在先前訓練操作期間所產生的一虛擬毯狀物。在某些實施例中,腔室的控制器可處理正在產生的毯狀物與虛擬毯狀物之間的關聯。在其他實施例中,分離的電腦或甚至網路電腦可接取虛擬毯狀物並自比較、擬合操作、及所得終點的判斷產生結果。
在其他實施例中,程序可被具有真實電腦或虛擬電腦形式的一或多個電腦或一或多個程序所分享。在某些實施例中,程序可分散於複數虛擬機器之間。無論以任一方式,製造晶圓的程序可使用一虛擬毯狀物,俾使在製造期間正在產生的複數毯狀物可與虛擬毯狀物比較以判斷終點或驗證與蝕刻程序相關的度量數據。如上所述,量測數據可由蝕刻深度所構成。然而,量測數據可以任何數目的特徵部度量數據如晶圓特性、關鍵尺寸、晶圓彎曲等所構成。
圖9例示根據一實施例用以產生虛擬毯狀物220之系統的實例,腔室與控制器接取虛擬毯狀物數據以判斷蝕刻終點或某些其他度量數據。如所示,程序特徵化引擎500係用以定義各種系統所進行之功能操作。訓練產生器150可包含針對在一或多片晶圓上進行訓練操作可與一或多個腔室通訊的操作或指令。
訓練的結果將產生複數各別的毯狀物120,接著使用複數各別的毯狀物120產生一虛擬毯狀物220。可使用量測儀器502量測所得蝕刻深度、特徵部參數、或與訓練系統或複數訓練系統中的每一者所產生之毯狀物120中之最後畫格相關的其他度量數據。可提供虛擬畫格數與深度關聯器506,其中蝕刻深度為正量測的度量數據。在一實施例中,可將關聯器506定義為可被儲存在數據庫504中之包含虛擬毯狀物220數據的碼、指令、或數據。在另一實施例中,虛擬畫格數與深度或度量數據關聯器506可被儲存在配方檔案、硬編碼數據中,或可自伺服器取回此類數據。例如,可藉由圖11中所示的可移除之媒體裝置 734及/或大量儲存裝置714進行配方傳送。無論以任一方式,使晶圓的實時程序可接取虛擬畫格數與深度或度量數據關聯器506。在實時程序期間,控制器110將自數據庫504獲得或下載數據即虛擬毯狀物數據以使用之。
如所示,腔室102將具有其對應的原位監測裝置104。控制器110係與腔室102及原位監測裝置104交界。控制器110係用以藉由實時毯狀物產生器520實時產生毯狀物。實時毯狀物產生器520基本上在每一取樣期間產生畫格,畫格代表為波長函數的強度。是以,即便在完成蝕刻程序之前,實時毯狀物產生器520都會忙著針對每一對應預定取樣時間產生一或多個畫格。在操作522中,進行多項式擬合至虛擬毯狀物。
為此,產生器520所產生之毯狀物之至少一部分的多項式及多項式的相關係數將擬合至虛擬毯狀物220以自虛擬畫格數與深度或度量數據關聯器506識別一虛擬畫格數。結果是,控制器110將接收或識別一目前蝕刻深度或度量數據524。如圖7中所示,此程序以控制器110查找虛擬毯狀物可識別已關聯至一蝕刻深度或度量數據的一虛擬畫格數。當實時毯狀物產生器520持續操作時,一旦藉由控制器110持續或重覆地查找虛擬毯狀物而確認達到蝕刻深度,蝕刻終點處理器526可辨識出使用虛擬毯狀物220所預測的蝕刻深度對應至期望蝕刻深度。在此點處,系統將決定已達到終點。
圖10A例示根據一實施例在操作602中進行晶圓實時程序的例示性程序。如所示,可以耦合至或連接至原位監測裝置104的製造腔室如腔室102進行實時晶圓程序。在某些實施例中,可將腔室102與許多其他腔室安裝於製造設施中。每一腔室本身係連接至原位監測裝置104俾以針對時間序列各處的複數畫格收集光譜數據。
在操作604中,自一目前蝕刻操作的程序期間所捕捉的複數畫格產生一部分毯狀物。如上所述,在製造程序期間藉著以預定取樣速率添加一或多個畫格持續產生毯狀物以定義目前毯狀物。在時間的週期點處(可以程式設定),系統或分離程序的控制器可依據操作606開始將部分毯狀物的多項式擬合至虛擬毯狀物(即在先前訓練期間所產生的虛擬毯狀物),以特徵化與目前蝕刻操作相關的程序。在操作608中,自與虛擬毯狀物相關的數據識別虛擬畫格數及其他毯狀物多項式係數。
在操作610中,如參考圖7之實例所示,基於所識別的虛擬畫格數識別預測蝕刻深度。在一實施例中,蝕刻深度的預測將使用虛擬畫格數以及其他毯狀物多項式係數。例如,至少部分預測來自於虛擬畫格數,但在程序運行時間中浮動的多項式係數捕捉複數部分毯狀物的差異並對預測提供修正(藉由預定的負載參數)。在操作612中,判斷是否已到達終點。若尚未到達終點,系統將持續處理部分毯狀物的另一部分(其包含最後或最目前之經處理的畫格)並將進行操作606、608、及610直到完成。一旦到達了程序終點,即已到達期望的蝕刻深度且對應至在操作610中的預測深度,則停止蝕刻操作。
圖10B之根據一實施例的流程圖例示維度縮減的疊代本質。在操作(a)中,利用整體平均所獲得的複數多項式係數定義一虛擬毯狀物,其中所有係數皆為固定的。如上所述,根據一實施例使用固定係數。在操作(b)中,針對在訓練期間所產生的每一毯狀物獲得虛擬畫格數。在一實施例中,針對每一訓練毯狀物獲得一虛擬畫格數以與量測到的蝕刻深度產生X/Y散佈圖,以決定斜率與截距。如此申請案中所討論的,這提供了關聯性。
在操作(c)中,將虛擬畫格數僅關聯至量測到的蝕刻深度。在此實例中,直到操作(c),當所有的虛擬毯狀物多項式係數皆固定時,只有虛擬畫格數會在虛擬毯狀物擬合時變動。是以,此唯一資訊係用以與量測到的蝕刻深度關聯並檢查預測精準度。若其不佳,如下面的(d)所示,則程序需要開始將浮動參數導入虛擬毯狀物,浮動參數係與虛擬畫格數一起決定;一訓練毯狀物有一組參數。接著使用VFN+1、VFN+2、…VFN+q等參數預測量測到的蝕刻深度。此程序係稱為特徵抽取。
是以,在操作(d)中對所需的預定精準度進行檢查。若步驟(c)的結果夠好,則程序停止。這意味著虛擬毯狀物能精準地預測蝕刻深度。若未達到所需的精準度,在操作(e)中擴張虛擬毯狀物的浮動參數空間並降低均方誤差。在操作(f)中,使用步進迴歸、多毯狀物耦合迴歸、或PCA以減少(e)中所獲得的維度。
在操作(g)中,基於步驟(f)處所定義的超維度,在使該些超維度的負載參數浮動時進行程序以針對訓練期間所產生的每一毯狀物獲得虛擬畫格數。應瞭解,虛擬毯狀物評估的多步驟在訓練程序期間發生。是以,疊代地改善虛擬毯狀物對量測到之深度之關聯性的品質。利用(e)-(i)之每一疊代,可重新定義虛擬毯狀物維度。又,在迴歸終點處除了虛擬畫格數外針對該些浮動維度獲得某些毯狀物特定的負載參數。在操作(h)中,藉由線性迴歸就來自步驟(g)的負載參數與虛擬毯狀物數對量測到的蝕刻深度進行關聯性。若結果夠好,停止程序。文中所用的「夠好」代表監督訓練數據與參考量測數據之間的差異夠小,俾使利用虛擬毯狀物的原位程序控制被認為是獨立異位量測系統的有效取代。
若步驟(i)中的結果不夠好,則在操作(j)中更減少超維度並自操作(g)重覆。
在操作(k)中,若已無更多的超維度需要減少,則再次擴張浮動參數空間並重覆操作(e)。藉著導入更高階次的多項式,將減少均方誤差。在操作(l)中,在一實施例中,均方誤差(MSE)可被誤差變異數的無偏估計量所取代,如藉由殘差平方和除以自由度數。
雖然提供利用原位所量測到的寬頻反射光譜產生毯狀物的特定實例,但可使用其他量測方法。又,可使用雷射方法如雷射吸收光譜。在一實例中,可使用毯狀物位於整合頻譜上雷射吸收或具有全光譜的雷射吸收光譜。在其他實施例中,亦可對文中所揭露的分析使用顯示器已知之具有頻率光譜且關於晶圓上之度量數據變化、腔室部件、電漿阻抗(化學)之變化有類似於複雜毯狀物行為的RF訊號。關於RF訊號,一般相信所獲得的度量數據較少係關於終點而較多係關於或可用於腔室匹配/公制化。 在某些實施例中,收集到的光譜數據係關於光或雷射干涉、或反射與吸收、或OES、或RF電壓與電流,其本身或以數學方式轉換為RF光譜振幅。在一實施例中,在正在晶圓上蝕刻特徵部時,自蝕刻用的腔室收集光譜數據。
在其他實施例中,可將更多數據流放在一起以製造具有類毯狀物行為的合成「光譜」。使用文中所述的毯狀物的一用處在於任何光譜元素與其近光譜維度鄰居和其近時間維度鄰居之間的物理限制強關聯及連續關係。若使用不同設備的數據與收集到的光譜,可縮減在「光譜」與「時間」空間中之自然法則所實行的關聯連續性。這是因為設備數據變數因物理而並非必然彼此「相近」。在一實施例中,可分類整理設備數據以找到使設備數據變數彼此「鄰接(next to)」的物理,或者需要數學上地選擇變數並對其排序俾以針對「良好操作設備」「藉由發現」以已知具有「時空」關聯性與連續性的「假光譜」配置變數。
以此方式,可使用毯狀物程序呼叫控制手段及偵測設備之間的差異。在一實施例中,參考圖1與9所述的控制器110可包含與電漿處理系統通訊、監測電漿處理系統與控制電漿處理系統的處理器、記憶體、軟體邏輯、硬體邏輯、及輸入與輸出子系統。控制器110亦可處理包含操作電漿處理系統用之各種操作參數(如電壓、電流、頻率、壓力、流率、功率、溫度等)用之複數設定點的一或多個配方。又,雖然參考蝕刻操作提供更詳細的實例(如蝕刻設備),但應瞭解,操作可相等地用於沉積操作(如沉積設備)。例如,在驗證操作中可驗證沉積效能而非驗證蝕刻效能。沉積效能可以各種方式量化,不限於可使用各種量測方法及/或設備。又,可量測、感測、近似、及/或原位或離線測試沉積效能。
在某些實施例中,控制器110為系統的一部分,系統可為上述實例的一部分。此類系統可包含半導體製程設備,半導體製程設備包含處理工具或複數處理工具、處理室或複數處理室、處理平臺或複數平臺、及/或特定的處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板的程序之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於程序需求及/或系統類型,控制器110可被程式化以控制文中所揭露的任何程序,程序包含處理氣體的輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至系統或與系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器110可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓或對系統進行特定處理所用的操作參數。在某些實施例中,操作參數為程序為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個程序步驟所定義之配方的一部分。
在某些實施例中控制器110為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器110係位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓程序。電腦致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有程序的參數、設定程序步驟以符合現有程序、或開始一新的程序。在某些實施例中,遠端電腦(或伺服器)可經由電腦網路對系統提供處理配方,電腦網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。
在某些實例中,控制器110接收數據形式的指令,此些指令指定在一或多個操作期間欲進行之每一處理步驟用的參數。應瞭解,參數係特別針對欲施行之程序的類型及控制器110用以交界或控制之設備的類型。因此如上所述,可分散控制器110如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之程序與控制工作的離散控制器。為了此類目的的分散控制器110的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準處或為遠端電腦的一部分)的積體電路通訊而共同控制處理室中的程序。
不受限地,例示系統包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關及/或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所欲進行的處理步驟或複數步驟,控制器110可與下列的一或多者通訊交流:其他設備電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器110、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
圖11為用以實施實施例之電腦系統的簡化概圖。應注意,文中所述的方法可利用數位處理系統如傳統的通用電腦系統施行。或者可使用被設計或程式化成僅實施單一功能的特殊用途電腦。電腦系統包含中央處理單元(CPU)704,中央處理單元(CPU)704係經由匯流排710而耦合至隨機存取記憶體(RAM)706、唯讀記憶體(ROM)712、及大量儲存裝置714。系統控制器程式708存在於隨機儲存記憶體(RAM)706中但亦可存在於大量儲存裝置714中。
大量儲存裝置714代表恆久數據儲存裝置如本地或遠端的軟碟機或固定磁碟機。網路介面730藉由網路732提供連接,以與其他裝置通訊。應注意,CPU 704可以通用處理器、專用處理器、或特別程式化的邏輯裝置體現。輸入/輸出(I/O)介面提供與不同週邊裝置的通訊且係經由匯流排710與CPU 704、RAM 706、ROM 712、及大量儲存裝置714連接。例示性的週邊裝置包含顯示器718、鍵盤722、游標控制器724、可移除之媒體裝置734等。
顯示器718係用以顯示文中所述的使用者介面。鍵盤722、游標控制器724、可移除之媒體裝置734、及其他週邊裝置係耦合至I/O介面720以與CPU 704通訊指令選擇資訊。應注意,可經由I/O介面720與外部裝置通訊傳入及傳出數據。本文中所述的實施例亦可在分散式的計算環境中施行,在此種環境中任務係由經由有線或無線網路鏈結的複數遠端處理裝置所執行。
本文中所述的實施例可利用各種電腦系統配置施行之,此些電腦系統配置包含手持硬體單元、微處理器系統、微處理器系或可程式化的消費電子裝置、迷你電腦、主機等。本文中所述的實施例亦可在分散式的計算環境中施行,在此種環境中任務係由經由網路鏈結的複數遠端處理裝置所執行。
考慮到上述實施例,應瞭解,某些實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行操作。此些操作為需要操控物理數量的操作。形成實施例之文中所述操作的任何操作對於機械操作皆為有用的。某些實施例亦關於用以執行此些操作的裝置或設備。可針對所需用途專門建構設備如專用電腦。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬特別用途的子程式。或者,操作可由選擇性活化的電腦執行或者可由儲存在電腦記憶體、快取記憶體、或自電腦網路所獲得的一或多個電腦程式所配置。當數據係自電腦網路獲得時,該數據可由電腦網路上的其他電腦如雲端計算資源所處理。
亦可將一或多個實施例製作成電腦可讀媒體上的電腦可讀碼。電腦可讀媒體可以是可儲存數據且後續可被電腦系統讀取的任何數據儲存裝置。電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、唯讀記憶體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶及其他光學式及非光學式數據儲存裝置。電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。
雖然方法操作係以特定順序說明之,但應瞭解,只要能以期望的方式進行重疊操作的程序,在方法操作之間可進行其他閒雜步驟或者可調整方法操作使其發生的時間略有不同,或者可將方法操作分配至允許方法操作以各種間隔進行的系統中。
監測程序條件之方法及調整設定方法的更多資訊可參考下列皆讓渡予本案之受讓人科林研發的文獻:2016年8月3日申請之名為「Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Tool Control」的美國專利臨時申請案US 62/370,658;名為「Integrated electronic hardware for wafer processing control and diagnostic」的美國專利 US 6,622,286;名為「Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber」的美國專利US 8,295,966;名為「Arrangement for identifying uncontrolled events at the process module level and methods thereof」的美國專利US 8,983,631;名為「Methods and apparatus for predictive preventive maintenance of processing chambers」的美國專利US 8,473,089;名為「Methods and arrangements for in-situ process monitoring and control for plasma processing tools」的美國專利US 8,271,121;及名為「Methods for constructing an optimal endpoint algorithm」的美國專利US 8,538,572。將上述者包含於此作為所有目的之參考。
機器學習演算法、現象學模型、相關的程序的額外資訊可參考下列文獻:Padua大學資訊工程系之Bertorelle Nicola 2010年6月28日的論文 「Virtual Metrology for Semiconductor Manufacturing Applications 」;Degli Studi di Padova 大學資訊工程學院之Gian Antonio Susto 2013年一月的論文「Statistical Methods for Semiconductor Manufacturing 」;及俄羅斯7, F. Engels St., 15300 Ivanovo化學與科技州立大學電子裝置與材料技術系之A. Efremov等人2007年一月12日之期刊文章「Etching characteristics and mechanisms of the MgO thin films in the CF4/Ar inductively coupled plasma 」,將上述者包含於此作為參考。
又,上文中以參考文獻與申請案之方式包含於其中的實施例及任何特定特徵可與文中所述的一或多個特徵結合以定義或致能特定實施例。
雖然為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了前面的實施例,但應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節,在隨附之申請專利範圍的範疇內及等效物內可進行修改。
100‧‧‧系統
102‧‧‧腔室
102a‧‧‧毯狀物
104‧‧‧原位監測裝置
106‧‧‧晶圓
108‧‧‧電漿
110‧‧‧控制器
120‧‧‧毯狀物
120a-120n‧‧‧毯狀物
130‧‧‧基板材料
132‧‧‧特徵部
150‧‧‧訓練產生器
160‧‧‧量測儀器
162‧‧‧多項式擬合處理器
164‧‧‧虛擬毯狀物產生器
220‧‧‧虛擬毯狀物
224‧‧‧關聯器
226‧‧‧實時程序及終點操作
230‧‧‧真實畫格樣本
231‧‧‧畫格數
232‧‧‧時間
240‧‧‧虛擬畫格樣本
241‧‧‧虛擬畫格數
242‧‧‧時間
300‧‧‧映射圖
302‧‧‧虛擬畫格數
304‧‧‧深度
306‧‧‧點
402‧‧‧操作
404‧‧‧操作
406‧‧‧操作
408‧‧‧操作
410‧‧‧操作
410’‧‧‧操作
412‧‧‧操作
412’‧‧‧操作
467‧‧‧畫格
500‧‧‧程序特徵化引擎
502‧‧‧量測儀器
504‧‧‧數據庫
506‧‧‧關聯器
520‧‧‧實時毯狀物產生器
522‧‧‧操作
524‧‧‧目前蝕刻深度或度量數據
526‧‧‧蝕刻終點處理器
602‧‧‧操作
604‧‧‧操作
606‧‧‧操作
608‧‧‧操作
610‧‧‧操作
612‧‧‧操作
704‧‧‧中央處理單元(CPU)
706‧‧‧隨機存取記憶體(RAM)
708‧‧‧系統控制器程式
710‧‧‧匯流排
712‧‧‧唯讀記憶體(ROM)
714‧‧‧大量儲存裝置
718‧‧‧顯示器
720‧‧‧輸入/輸出(I/O)介面
722‧‧‧鍵盤
724‧‧‧游標控制器
730‧‧‧網路介面
732‧‧‧網路
734‧‧‧可移除之媒體裝置
參考附圖的下列說明可更瞭解本發明實施例。
圖1例示根據一實例包含用以處理晶圓之腔室的系統。
圖2例示毯狀物的實例,毯狀物為複數畫格之複數時間序列捕捉數據所產生之表面的三維表現,其中每一畫格代表在時間上的一瞬間且其代表以波長的函數呈現的強度。
圖3例示根據一實施例之正在受到蝕刻至一期望深度的特徵部的例示性橫剖面。
圖4例示根據一實施例使用訓練產生器的更多細節,其包含針對每一訓練晶圓產生毯狀物。
圖5例示根據一實施例之毯狀物的實例,當晶圓(W0)在訓練期間受到蝕刻時產生毯狀物的對應多項式。
圖6A例示具有對應多項式之虛擬毯狀物的實例,對應多項式係自訓練操作期間所產生之所有多項式所推導出。
圖6B例示訓練毯狀物如在訓練期間所產生之毯狀物的例示多項式係數,在此實例中使用平均值來定義虛擬毯狀物。
圖7例示根據一實施例虛擬毯狀物之複數虛擬畫格數與在產生各種毯狀物之訓練期間針對所進行的蝕刻操作所量測到的複數深度之間之映射圖的實例。
圖8A例示根據一實施例自複數晶圓產生訓練數據以產生後續被擬合至虛擬毯狀物之複數毯狀物的例示性程序。
圖8B例示根據一實施例之另一例示性程序,其自複數晶圓產生訓練數據以產生後續被擬合至虛擬毯狀物之複數毯狀物,藉由回歸可決定上至第三階之就毯狀物多項式參數而言的蝕刻深度負載,該毯狀物多項式參數包含虛擬毯狀物數目及毯狀物的其他浮動多項式參數。
圖9例示根據一實施例之用以產生虛擬毯狀物的系統的實例,腔室與控制器接取虛擬毯狀物數據以決定蝕刻終點。
圖10A例示根據一實施例之例示性程序,其中在操作中進行晶圓的實時程序。
圖10B之根據一實施例的流程圖例示維度縮減的疊代本質。
圖11為用以實施實施例之電腦系統的簡化概圖。

Claims (23)

  1. 一種使用光譜之時間序列識別蝕刻程序終點的方法,包含: 接取一虛擬毯狀物,該虛擬毯狀物係自一訓練操作期間所收集到之該蝕刻程序之一光譜的時間序列所產生; 在一製造晶圓上進行一製造蝕刻程序,俾以在進行該製造蝕刻程序時針對該製造蝕刻程序產生自一光譜之時間序列所定義的一毯狀物的複數部分; 將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較;及 當該比較指示已針對該製造晶圓到達一期望的度量數據時,處理該製造蝕刻程序的終點。
  2. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該期望的度量數據係關於下列的至少一者:一預定的蝕刻深度、一預定的關鍵尺寸值、一預定的晶圓彎曲值、一預定的線寬值、一預定的特徵部節距值、一預定的特徵部間距值、或一預定的可量測值。
  3. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該毯狀物之該複數部分包含經捕捉之複數光譜的一目前畫格及經捕捉之複數光譜的至少一先前畫格,其中該毯狀物的每一部分包含至少兩畫格且每一部分為該毯狀物的一區域。
  4. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該製造蝕刻程序之該毯狀物的該複數部分係擬合至該虛擬毯狀物以識別與該度量數據之一預測值相關聯的一虛擬畫格數。
  5. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該訓練操作包含在複數晶圓上進行該蝕刻程序及針對每一晶圓在複數獨立取樣畫格時間處捕捉一光譜之時間序列,在該複數獨立取樣畫格時間處所捕捉之該光譜之時間序列定義一各別毯狀物,每一各別毯狀物係由具有各別複數係數的一多項式特徵化,其中該虛擬毯狀物具有包含複數係數的一對應多項式,該對應多項式係由在該訓練操作期間所產生之該複數毯狀物之該複數多項式及複數各別係數所推導出。
  6. 如申請專利範圍第5項之使用光譜之時間序列識別蝕刻程序終點的方法,其中在訓練期間所產生之每一毯狀物之該各別多項式的該複數係數係經一維度縮減演算法處理而產生該虛擬毯狀物,該虛擬毯狀物係由具有複數各別係數的一標準化的多項式所定義,該複數各別係數為自該訓練操作期間所產生之該複數毯狀物所獲得之所有係數的一超集合。
  7. 如申請專利範圍第6項之使用光譜之時間序列識別蝕刻程序終點的方法,其中將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較包含將該毯狀物的該複數部分擬合至該虛擬毯狀物以自該虛擬毯狀物識別一虛擬畫格數,該虛擬畫格數係映射至該度量數據的一預測值,且當該度量數據的該預測值實質上匹配至該期望的度量數據時能指示該蝕刻終點。
  8. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該訓練操作包含: 自蝕刻複數基板期間所產生之經取樣的光譜數據產生複數毯狀物,其中每一毯狀物係自受到蝕刻的一基板所產生; 量測或接收針對該複數受到蝕刻的基板每一者所獲得之關於一度量數據的數據; 自該複數毯狀物的每一者產生該虛擬毯狀物,以藉著擬合該複數毯狀物之每一者之具有各別係數的多項式所產生之具有複數係數的一多項式定義該虛擬毯狀物; 其中該虛擬毯狀物之該複數係數中的至少某些者為浮動參數而其他者為固定的或耦合至該複數浮動參數,俾使在該訓練操作期間所產生之該複數毯狀物之每一者之多項式的所有該複數係數皆為該虛擬毯狀物之該複數係數的一子集合。
  9. 如申請專利範圍第8項之使用光譜之時間序列識別蝕刻程序終點的方法,其中除了該虛擬畫格數外亦使用該複數浮動參數以映射至該度量數據的一預測值,當該度量數據之該預測值實質上匹配一期望的度量數據時能指示蝕刻終點。
  10. 如申請專利範圍第1項之使用光譜之時間序列識別蝕刻程序終點的方法,其中該光譜之時間序列為與寬頻原位反射儀相關的強度光譜數據、或與光發射光譜(OES)相關的強度光譜數據、或與寬頻原位橢圓量測儀相關的橢圓度量光譜數據,其中該光譜數據係自一晶圓上之一特徵部正受到蝕刻時用以蝕刻之一腔室所收集。
  11. 一種自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,包含: 在一或多個腔室中蝕刻複數基板,其中在進行該蝕刻時捕捉複數光譜之複數畫格之複數樣本,經捕捉之該複數光譜之每一畫格識別該複數光譜之一強度為一波長的函數; 藉著使一量測到的度量數據關聯至一對應基板之該複數光譜之一最後畫格,而量測在該蝕刻完成後與每一基板相關的該度量數據; 產生複數毯狀物,每一毯狀物係針對該複數受到蝕刻的基板每一者,其中該複數毯狀物的每一者係由複數光譜的複數畫格所定義,具有複數對應係數的一多項式定義該複數毯狀物之該每一者的特性; 藉著使用一多項式擬合演算法處理該複數毯狀物產生一虛擬毯狀物,該虛擬毯狀物為該複數毯狀物的一超子集,俾使該複數毯狀物的每一者可被投影至該虛擬毯狀物上以決定該虛擬毯狀物中的一虛擬畫格數; 將該虛擬毯狀物之該複數虛擬畫格數關聯至該度量數據的一預測值; 其中該虛擬毯狀物在一基板的實時程序期間受到一控制器接取以判斷自該虛擬毯狀物所獲得之該度量數據的一目前預測值何時符合能指示在該實時程序中之該蝕刻程序之終點之該度量數據的一預設值。
  12. 如申請專利範圍第11項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,其中與該蝕刻相關的該度量數據為下列的一者:一蝕刻深度、一關鍵尺寸值、一晶圓彎曲值、一線寬值、一特徵部節距值、一特徵部間距值、或一可量測值。
  13. 如申請專利範圍第12項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,其中該控制器係用以連接至一數據庫或一配方檔案以接取在針對該基板之該實時程序產生訓練數據期間所產生的該虛擬毯狀物。
  14. 如申請專利範圍第12項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法, 其中在該實時程序期間該控制器係用以針對該實時程序產生一毯狀物; 其中當該毯狀物正在產生時該毯狀物的至少一部分或一區域被用來與該虛擬毯狀物比較以判斷是否已達到該度量數據的該預設值。
  15. 如申請專利範圍第14項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,其中該比較包含使該毯狀物之該部分或該區域對該虛擬毯狀物的複數超參數進行一多項式擬合,以致使該虛擬毯狀物與在該實時程序期間正在產生之該毯狀物的關聯性,其中該虛擬毯狀物係用以決定該虛擬畫格數,其中該度量數據係利用在該訓練數據產生期間所使用的複數配方參數所決定。
  16. 如申請專利範圍第15項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,其中該毯狀物之該部分包含經捕捉之該複數光譜的一目前畫格與經捕捉之該複數光譜的至少一先前畫格,其中在與該虛擬毯狀物的該比較期間使用經捕捉之該複數光譜的該至少一先前畫格致能精準識別該基板之該實時程序的一目前狀態。
  17. 如申請專利範圍第15項之自腔室之蝕刻程序期間所產生之光譜之時間序列產生訓練數據的方法,其中該度量數據為一蝕刻深度且該毯狀物的該部分係擬合至該虛擬毯狀物以識別與該蝕刻程序之一預測蝕刻深度相關聯的一虛擬畫格數。
  18. 一種具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,包含: 程式指令,係用以接取一虛擬毯狀物,該虛擬毯狀物係自一訓練操作期間所收集到之該蝕刻程序之一光譜的時間序列所產生; 程式指令,係用以在一製造晶圓上進行一製造蝕刻程序,俾以在進行該製造蝕刻程序時針對該製造蝕刻程序產生自一光譜之時間序列所定義的一毯狀物的複數部分; 程式指令,係用以將該製造蝕刻程序之該毯狀物的該複數部分與該虛擬毯狀物比較;及 程式指令,係用以當該比較指示已針對該製造晶圓到達一期望的度量數據時,處理該製造蝕刻程序的終點。
  19. 如申請專利範圍第18項之具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,其中該毯狀物之該複數部分包含經捕捉之複數光譜的一目前畫格及經捕捉之複數光譜的至少一先前畫格,其中該毯狀物的每一部分包含至少兩畫格且每一部分為該毯狀物的一區域。
  20. 如申請專利範圍第18項之具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,其中該製造蝕刻程序之該毯狀物的該複數部分係擬合至該虛擬毯狀物以識別與該度量數據之一預測值相關聯的一虛擬畫格數。
  21. 如申請專利範圍第19項之具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,其中該訓練操作包含在複數晶圓上進行該蝕刻程序及針對每一晶圓在複數獨立取樣畫格時間處捕捉一光譜之時間序列,在該複數獨立取樣畫格時間處所捕捉之該光譜之時間序列定義一各別毯狀物,每一各別毯狀物係由具有各別複數係數的一多項式特徵化,其中該虛擬毯狀物具有包含複數係數的一對應多項式,該對應多項式係自在該訓練操作期間所產生之該複數毯狀物之該複數多項式及複數各別係數所推導出。
  22. 如申請專利範圍第18項之具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,其中該訓練操作包含: 程式指令,係用以自蝕刻複數基板期間所產生之經取樣的光譜數據產生複數毯狀物,其中每一毯狀物係自受到蝕刻的一基板所產生; 程式指令,係用以量測或接收針對該複數受到蝕刻的基板每一者所獲得之關於一度量數據的數據;及 程式指令,係用以自該複數毯狀物的每一者產生該虛擬毯狀物,以藉著擬合該複數毯狀物之每一者之具有各別係數的多項式所產生之具有複數係數的一多項式定義該虛擬毯狀物; 其中該虛擬毯狀物之該複數係數中的至少某些者為浮動參數而其他者為固定的或耦合至該複數浮動參數,俾使在該訓練操作期間所產生之該複數毯狀物之每一者之該多項式的所有該複數係數皆為該虛擬毯狀物之該複數係數的一子集合。
  23. 如申請專利範圍第18項之具有使用光譜之時間序列識別蝕刻程序之終點之程式指令的電腦可讀媒體,其中該期望的度量數據係與下列的至少一者相關:一預定的蝕刻深度、一預定的關鍵尺寸值、一預定的晶圓彎曲值、一預定的線寬值、一預定的特徵部節距值、一預定的特徵部間距值、或預定的可量測值。
TW106144506A 2016-12-23 2017-12-19 由光譜之時間序列的特徵部抽取以控制程序終點的方法 TW201838053A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/389,451 US10262910B2 (en) 2016-12-23 2016-12-23 Method of feature exaction from time-series of spectra to control endpoint of process
US15/389,451 2016-12-23

Publications (1)

Publication Number Publication Date
TW201838053A true TW201838053A (zh) 2018-10-16

Family

ID=62630709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106144506A TW201838053A (zh) 2016-12-23 2017-12-19 由光譜之時間序列的特徵部抽取以控制程序終點的方法

Country Status (5)

Country Link
US (2) US10262910B2 (zh)
JP (1) JP2018117116A (zh)
KR (1) KR20180074574A (zh)
CN (1) CN108281346B (zh)
TW (1) TW201838053A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI734207B (zh) * 2019-05-09 2021-07-21 辛耘企業股份有限公司 基板處理裝置及蝕刻基板的控制方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US11074376B2 (en) * 2017-04-26 2021-07-27 United Microelectronics Corp. Method for analyzing process output and method for creating equipment parameter model
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10984334B2 (en) * 2017-05-04 2021-04-20 Viavi Solutions Inc. Endpoint detection in manufacturing process by near infrared spectroscopy and machine learning techniques
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
WO2020106297A1 (en) * 2018-11-21 2020-05-28 Lam Research Corporation Method for determining cleaning endpoint
JP7220573B2 (ja) * 2019-01-24 2023-02-10 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP7129356B2 (ja) * 2019-02-13 2022-09-01 キオクシア株式会社 測定方法
JP7453853B2 (ja) 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
KR20240012605A (ko) * 2021-06-10 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US20240128100A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Methods and systems for a spectral library at a manufacturing system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8445296B2 (en) * 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
WO2016118979A2 (en) * 2015-01-23 2016-07-28 C3, Inc. Systems, methods, and devices for an enterprise internet-of-things application development platform

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI734207B (zh) * 2019-05-09 2021-07-21 辛耘企業股份有限公司 基板處理裝置及蝕刻基板的控制方法

Also Published As

Publication number Publication date
US10847430B2 (en) 2020-11-24
US20180182632A1 (en) 2018-06-28
KR20180074574A (ko) 2018-07-03
CN108281346B (zh) 2023-08-11
CN108281346A (zh) 2018-07-13
JP2018117116A (ja) 2018-07-26
US10262910B2 (en) 2019-04-16
US20190244870A1 (en) 2019-08-08

Similar Documents

Publication Publication Date Title
TW201838053A (zh) 由光譜之時間序列的特徵部抽取以控制程序終點的方法
JP7269296B2 (ja) 方法およびエッチングシステム
US10615009B2 (en) System implementing machine learning in complex multivariate wafer processing equipment
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
TWI635269B (zh) 原位控制製程的方法及設備
US8173451B1 (en) Etch stage measurement system
US8193007B1 (en) Etch process control using optical metrology and sensor devices
WO2005036314A2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US7663766B2 (en) Incorporating film optical property measurements into scatterometry metrology
US7262864B1 (en) Method and apparatus for determining grid dimensions using scatterometry
KR102373933B1 (ko) 반도체 가공 장치를 진단하는 진단 시스템 및 그것의 제어 방법
JP2020025116A (ja) 探索装置および探索方法
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
WO2022256194A1 (en) In situ sensor and logic for process control
TW202334765A (zh) 使用基於機器學習的演算法來加速預防性維護恢復和配方最佳化