KR20240012605A - 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출 - Google Patents

머신 러닝을 사용한 기판 프로세스 엔드포인트 검출 Download PDF

Info

Publication number
KR20240012605A
KR20240012605A KR1020247000704A KR20247000704A KR20240012605A KR 20240012605 A KR20240012605 A KR 20240012605A KR 1020247000704 A KR1020247000704 A KR 1020247000704A KR 20247000704 A KR20247000704 A KR 20247000704A KR 20240012605 A KR20240012605 A KR 20240012605A
Authority
KR
South Korea
Prior art keywords
substrate
spectral data
machine learning
metrology
current
Prior art date
Application number
KR1020247000704A
Other languages
English (en)
Inventor
펭유 한
레이 리안
슈 유 첸
토드 이건
완 슈에 라이
차오-시엔 리
핀 함 루
정핑 야오
배리 크레이버
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/344,787 external-priority patent/US11901203B2/en
Priority claimed from US17/344,788 external-priority patent/US20220397515A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240012605A publication Critical patent/KR20240012605A/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

기판 프로세스의 엔드포인트를 검출하기 위한 방법들 및 시스템들이 제공된다. 한 세트의 머신 러닝 모델들은, 기판에 대해 수집된 스펙트럼 데이터에 기초하여, 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하도록 트레이닝된다. 개개의 머신 러닝 모델은, 특정 타입의 계측 측정과 연관된 성능 등급을 고려하여, 미래의 기판에 대한 미래의 기판 프로세스 동안에 수집되는 미래의 스펙트럼 데이터에 적용되도록 선택된다. 현재의 스펙트럼 데이터가 현재의 기판에 대한 현재의 프로세스 동안에 수집되고, 개개의 머신 러닝 모델에 대한 입력으로서 제공된다. 트레이닝된 머신 러닝 모델의 하나 이상의 출력들로부터, 현재의 기판에 대응하는 개개의 계측 측정 값의 표시가 추출된다. 개개의 계측 측정이 계측 측정 기준을 충족시킨다는 결정에 응답하여, 현재의 프로세스를 종료하기 위한 커맨드를 포함하는 명령이 생성된다.

Description

머신 러닝을 사용한 기판 프로세스 엔드포인트 검출
[0001] 본 개시내용의 실시예들은 일반적으로 제조 시스템들에 관한 것으로, 더 구체적으로는 머신 러닝(machine learning)을 사용한 기판 프로세스 엔드포인트 검출에 관한 것이다.
[0002] 마이크로일렉트로닉스 및 집적 회로 디바이스들을 제조하는 것은 종종, 반도체, 유전체 및 전도성 기판들에 대해 다수의 동작들을 수행하는 것을 수반한다. 일부 경우들에서, 단결정들, 반도체 막들, 미세 코팅들 및 다수의 다른 물질들이 전자 디바이스 제조 및 다른 실제적인 애플리케이션들에서 사용된다. 선택된 타입들의 원자들이 (예를 들어, 증착을 통해) 기판들에 부가되거나 또는 (예를 들어, 에칭을 통해) 기판들로부터 제거됨에 따라, 효율적이고 정밀한 엔드포인트 모니터링 기법들 및 시스템들이 가치가 있게 된다. 과소-프로세싱(under-processing)(예를 들어, 과소-증착, 과소-에칭)뿐만 아니라 과잉-프로세싱(over-processing)(예를 들어, 과잉-증착, 과잉-프로세싱)은 표준 이하의 오작동하는 디바이스들을 유발할 수 있다. 따라서, 디바이스 제조의 다양한 스테이지들의 실시간 모니터링을 가능하게 하는 광학 제어 시스템들은 제품들의 품질을 상당히 개선할 수 있고, 품질 반도체 디바이스들의 지속적으로 증가하는 요구들을 고려할 때 특히 유용하다.
[0003] 설명된 실시예들 중 일부는 제1 제조 시스템에서 현재의 프로세스에 따라 프로세싱되고 있는 현재의 기판에 대한 계측 측정들을 제공하기 위해 머신 러닝 모델을 트레이닝시키기 위한 방법을 포함한다. 방법은 이전 프로세스에 따라 제2 제조 시스템에서 프로세싱된 이전 기판과 연관된 한 세트의 이력 스펙트럼 데이터를 획득하는 단계를 포함한다. 한 세트의 이력 스펙트럼 데이터는 이전 프로세스의 한 세트의 단계들 각각 동안에 이전 기판에 대해 수집된 이력 스펙트럼 데이터를 포함한다. 방법은 한 세트의 이력 스펙트럼 데이터에 기초하여 정규화된 세트의 이력 스펙트럼 데이터를 생성하는 단계를 더 포함한다. 정규화된 세트의 이력 스펙트럼 데이터는 이전 프로세스의 한 세트의 단계들 중 초기 단계 동안에 수집된 제1 이력 스펙트럼 데이터와 한 세트의 단계들 중 하나 이상의 후속 단계들 동안에 수집된 제2 이력 스펙트럼 데이터 사이의 차이에 기초하여 생성된다. 방법은 머신 러닝 모델에 대한 트레이닝 데이터를 생성하는 단계를 더 포함한다. 트레이닝 데이터를 생성하는 단계는 정규화된 세트의 이력 스펙트럼 데이터로부터 추출된 이력 스펙트럼 데이터의 서브세트를 포함하는 트레이닝 입력을 생성하는 단계를 포함한다. 이력 스펙트럼 데이터의 서브세트는 특정 타입의 계측 측정과 연관된 하나 이상의 이력 스펙트럼 피처들의 표시를 포함한다. 트레이닝 데이터를 생성하는 단계는 트레이닝 입력에 대한 타깃 출력을 생성하는 단계를 더 포함한다. 타깃 출력은 이전 기판에 대한 이력 계측 측정 값을 포함하며, 이 이력 계측 측정 값은 특정 타입의 계측 측정과 연관된다. 방법은, (i) 트레이닝 입력을 포함하는 한 세트의 트레이닝 입력들 및 (ii) 타깃 출력을 포함하는 한 세트의 타깃 출력들에 대해 머신 러닝 모델을 트레이닝시키기 위해 트레이닝 데이터를 제공하는 단계를 더 포함한다.
[0004] 일부 실시예들에서, 시스템은 트레이닝된 머신 러닝 모델을 저장하기 위한 메모리 및 메모리에 결합된 프로세싱 디바이스를 포함한다. 프로세싱 디바이스는 현재의 프로세스에 따라 제조 시스템에서 프로세싱되고 있는 현재의 기판과 연관된 한 세트의 스펙트럼 데이터를 수신하기 위한 것이다. 수신된 한 세트의 스펙트럼 데이터는 현재의 기판에 대해 수행되는 현재의 프로세스의 현재의 단계와 연관된다. 프로세싱 디바이스는 추가로, 수신된 한 세트의 스펙트럼 데이터에 기초하여 정규화된 세트의 스펙트럼 데이터를 생성하기 위한 것이다. 정규화된 세트의 스펙트럼 데이터는 현재의 프로세스의 현재의 단계 동안에 수집된 스펙트럼 데이터와 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터 사이의 차이에 기초하여 생성된다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델에 대한 입력으로서, 정규화된 세트의 스펙트럼 데이터로부터 추출된 스펙트럼 데이터의 서브세트를 제공하기 위한 것이다. 스펙트럼 데이터의 서브세트는 특정 타입의 계측 측정에 대응하는 하나 이상의 스펙트럼 피처들의 표시를 포함한다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델의 하나 이상의 출력들을 획득하기 위한 것이다. 프로세싱 디바이스는 추가로, 하나 이상의 출력들로부터, 특정 타입의 계측 측정과 연관된 하나 이상의 계측 측정 값들을 식별하는 계측 측정 데이터 ― 하나 이상의 계측 측정 값들은 이전 프로세스에 따라 제조 시스템에서 프로세싱된 이전 기판에 대해 획득됨 ― 및 하나 이상의 계측 측정 값들 각각이 현재의 기판에 대응한다는 신뢰도 레벨의 표시를 추출하기 위한 것이다.
[0005] 일부 실시예들에서, 비일시적 컴퓨터 판독 가능 저장 매체는, 프로세싱 디바이스에 의해 실행될 때 프로세싱 디바이스로 하여금 현재의 프로세스에 따라 제조 시스템에서 프로세싱되고 있는 현재의 기판과 연관된 한 세트의 스펙트럼 데이터를 수신하게 하는 명령들을 포함한다. 수신된 한 세트의 스펙트럼 데이터는 현재의 기판에 대해 수행되는 현재의 프로세스의 현재의 단계와 연관된다. 프로세싱 디바이스는 추가로, 수신된 한 세트의 스펙트럼 데이터에 기초하여 정규화된 세트의 스펙트럼 데이터를 생성하기 위한 것이다. 정규화된 세트의 스펙트럼 데이터는 현재의 프로세스의 현재의 단계 동안에 수집된 스펙트럼 데이터와 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터 사이의 차이에 기초하여 생성된다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델에 대한 입력으로서, 정규화된 세트의 스펙트럼 데이터로부터 추출된 스펙트럼 데이터의 서브세트를 제공하기 위한 것이다. 스펙트럼 데이터의 서브세트는 특정 타입의 계측 측정에 대응하는 하나 이상의 스펙트럼 피처들의 표시를 포함한다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델의 하나 이상의 출력들을 획득하기 위한 것이다. 프로세싱 디바이스는 추가로, 하나 이상의 출력들로부터, 특정 타입의 계측 측정과 연관된 하나 이상의 계측 측정 값들을 식별하는 계측 측정 데이터 ― 하나 이상의 계측 측정 값들은 이전 프로세스에 따라 제조 시스템에서 프로세싱된 이전 기판에 대해 획득됨 ― 및 하나 이상의 계측 측정 값들 각각이 현재의 기판에 대응한다는 신뢰도 레벨의 표시를 추출하기 위한 것이다.
[0006] 일부 실시예들에서, 방법이 제공되며, 방법은 기판에 대해 수행되는 기판 프로세스 동안에 수집된 스펙트럼 데이터에 기초하여 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하기 위해 한 세트의 머신 러닝 모델들 각각을 트레이닝시키기 위해 트레이닝 데이터를 제공하는 단계를 포함한다. 한 세트의 머신 러닝 모델들 각각은 한 세트의 머신 러닝 모델 타입들 중 상이한 타입과 연관된다. 방법은 계측 측정에 대해 측정된 값을 고려하여 개개의 머신 러닝 모델에 의해 제공된 계측 측정에 대한 제공된 값의 정확도에 기초하여 한 세트의 머신 러닝 모델들 각각에 성능 등급을 할당하는 단계를 더 포함하고, 측정된 값은 한 세트의 이전 기판들 중의 이전 기판에 대해 계측 장비에 의해 수집된 이력 계측 데이터에 기초하여 생성된다. 방법은 한 세트의 머신 러닝 모델들 각각에 대한 성능 등급을 고려하여, 미래의 기판에 대해 수행되는 미래의 기판 프로세스 동안에 수집되는 미래의 스펙트럼 데이터에 적용될 개개의 머신 러닝 모델을 선택하는 단계를 더 포함한다.
[0007] 일부 실시예들에서, 시스템은 메모리 및 메모리에 결합된 프로세싱 디바이스를 포함한다. 프로세싱 디바이스는, 제조 시스템에서 현재의 기판에 대한 현재의 프로세스 동안에 수집된 현재의 스펙트럼 데이터에 기초하여, 계측 측정에 대한 현재의 값을 제공하도록 트레이닝된 머신 러닝 모델을 획득하기 위한 것이다. 트레이닝된 머신 러닝 모델은 계측 측정의 타입과 연관된 성능 기준을 충족시키는 성능 등급과 연관된다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델에 대한 입력으로서 현재의 스펙트럼 데이터를 제공하기 위한 것이다. 프로세싱 디바이스는 추가로, 트레이닝된 머신 러닝 모델의 하나 이상의 출력들로부터, 현재의 기판에 대응하는 개개의 계측 측정 값의 표시를 추출하기 위한 것이다. 개개의 계측 측정 값은 계측 측정의 타입과 연관된다. 프로세싱 디바이스는 추가로, 개개의 계측 측정 값이 현재의 프로세스와 연관된 계측 측정 기준을 충족시킨다고 결정하는 것에 응답하여, 제조 시스템에서 현재의 프로세스를 종료하기 위한 커맨드를 포함하는 명령을 생성하기 위한 것이다.
[0008] 일부 실시예들에서, 비일시적 컴퓨터 판독 가능 저장 매체는, 프로세싱 디바이스에 의해 실행될 때 프로세싱 디바이스로 하여금 기판에 대해 수행되는 기판 프로세스 동안에 수집된 스펙트럼 데이터에 기초하여 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하기 위해 한 세트의 머신 러닝 모델들 각각을 트레이닝시키기 위해 트레이닝 데이터를 제공하게 하는 명령들을 포함한다. 한 세트의 머신 러닝 모델들 각각은 한 세트의 머신 러닝 모델 타입들 중 상이한 타입과 연관된다. 프로세싱 디바이스는 추가로, 계측 측정에 대해 측정된 값을 고려하여 개개의 머신 러닝 모델에 의해 제공된 계측 측정에 대한 제공된 값의 정확도에 기초하여 한 세트의 머신 러닝 모델들 각각에 성능 등급을 할당하기 위한 것이다. 측정된 값은 한 세트의 이전 기판들 중의 이전 기판에 대해 계측 장비에 의해 수집된 이력 계측 데이터에 기초하여 생성된다. 프로세싱 디바이스는 추가로, 한 세트의 머신 러닝 모델들 각각에 대한 성능 등급을 고려하여, 미래의 기판에 대해 수행되는 미래의 기판 프로세스 동안에 수집되는 미래의 스펙트럼 데이터에 적용될 개개의 머신 러닝 모델을 선택하기 위한 것이다.
[0009] 본 개시내용은 유사한 참조들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 한정으로서가 아니라 예로서 예시된다. 본 개시내용에서 "하나의" 또는 "일" 실시예에 대한 서로 다른 참조들이 반드시 동일한 실시예에 대한 것은 아니고, 이러한 참조들은 적어도 하나를 의미한다는 것에 유의해야 한다.
[0010] 도 1은 본 개시내용의 양상들에 따른 예시적인 컴퓨터 시스템 아키텍처를 묘사한다.
[0011] 도 2는 본 개시내용의 양상들에 따른, 예시적인 제조 시스템의 개략적인 평면도이다.
[0012] 도 3은 본 개시내용의 양상들에 따른, 기판 프로세스의 엔드포인트 검출을 위한 예시적인 시스템 아키텍처를 묘사한다.
[0013] 도 4는 본 개시내용의 양상들에 따른, 머신 러닝 모델을 트레이닝시키기 위한 방법의 흐름도이다.
[0014] 도 5는 본 개시내용의 양상들에 따른 엔드포인트 검출 장비의 개략적인 측단면도이다.
[0015] 도 6은 본 개시내용의 양상들에 따른, 머신 러닝 모델에 사용하기 위한 데이터를 획득하기 위한 방법의 흐름도이다.
[0016] 도 7a 내지 도 7f는 본 개시내용의 양상들에 따른, 머신 러닝 모델에 사용하기 위한 데이터를 획득하는 것을 예시한다.
[0017] 도 8은 본 개시내용의 양상들에 따른, 머신 러닝 모델을 사용하여 기판의 프로파일에 대한 계측 값을 추정하기 위한 방법의 흐름도이다.
[0018] 도 9는 본 개시내용의 양상들에 따른, 계측 측정 값의 타입을 추정하기 위한 머신 러닝 모델을 선택하기 위한 방법의 흐름도이다.
[0019] 도 10은 본 개시내용의 양상들에 따른, 머신 러닝 모델을 사용하여 기판 프로세스의 엔드포인트를 검출하기 위한 방법의 흐름도이다.
[0020] 도 11은 본 개시내용의 하나 이상의 양상들에 따라 동작하는 예시적인 컴퓨터 시스템의 블록 다이어그램을 묘사한다.
[0021] 본 개시내용의 실시예들은 향상된 스펙트럼 데이터 수집을 위한 엔드포인트 검출 시스템에 관한 것이다. 기판 프로세스(예를 들어, 증착 프로세스, 에칭 프로세스 등)는 제조 시스템의 프로세스 챔버에서 기판에 대해 수행될 수 있다. 기판 프로세스의 엔드포인트는, 기판의 프로파일이 타깃 기판 프로파일에 대응하는(즉, 매칭되거나 또는 실질적으로 매칭되는) 프로세스의 포인트를 지칭한다. 예를 들어, 타깃 기판 프로파일에 대한 패턴을 포함하는 마스크는 실리콘 웨이퍼와 같은 기판에 대한 에칭 프로세스 동안 사용될 수 있다. 마스크는 웨이퍼의 표면 상에 배치되고, 마스크에 의해 보호되지 않는 기판의 부분들을 제거하기 위해 반응성(예를 들어, 습식 또는 건식 에칭) 환경에 노출될 수 있다. 에칭 프로세스의 엔드포인트는, 기판의 프로파일이 마스크에 의해 제공되는 타깃 기판 프로파일에 대한 패턴에 대응하는 에칭 프로세스의 포인트를 지칭한다.
[0022] 프로세스 절차로부터의 편차들은 기판 프로세스의 속도 및/또는 균일성에 대한 변동들을 유발할 수 있다. 예를 들어, 에칭 환경의 변화들 또는 포토마스크 패턴들의 차이들은, 기판의 표면에 걸쳐 에칭 속도 및 균일성 둘 모두에서 그리고 다수의 기판들에 대한 에칭 프로세스들 사이에서 변동들을 유발할 수 있다. 이러한 변화들을 추적하고 그에 응답하는 것은, 기판 프로세스 동안의 다양한 시간 기간들에서 기판의 표면을 특성화하는 정확하고 실질적인 광학 응답 데이터를 수집할 수 있는 정밀하고 조정 가능한 광학 엔드포인트 시스템들을 수반한다. 정확도의 목표는 추가로, 마이크로전자 디바이스들의 치수들의 축소, 포토마스크들의 점점 더 복잡한 설계들 및 디바이스 균일성에 대한 요구들의 상승에 의해 주도된다. 기존의 엔드포인트 검출 시스템들은 종종 그러한 증가된 기술적 요구들을 충족시킬 수 없다.
[0023] 본 개시내용의 양상들 및 구현예들은, 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출을 위한 방법들 및 시스템들에 의해, 종래의 기술들의 이러한 단점들 및 다른 단점들을 해결한다. 엔드포인트 검출 장비는 프로세스 챔버에 결합되거나 또는 프로세스 챔버에 배치될 수 있고, 기판 프로세스 동안에 기판에 대한 스펙트럼 데이터를 수집하도록 구성될 수 있다. 스펙트럼 데이터는 기판의 표면으로부터 반사된 광의 각각의 파장에 대해 검출된 에너지 파에 대한 세기(즉, 에너지의 세기 또는 양)와 연관된 데이터를 지칭한다. 엔드포인트 검출 장비는 수집된 스펙트럼 데이터를, 프로세스 챔버를 포함하는 제조 시스템의 프로세싱 디바이스(예를 들어, 제조 시스템에 대한 시스템 제어기)에 송신할 수 있다. 프로세싱 디바이스는 엔드포인트 검출 장비에 의해 수집된 스펙트럼 데이터에 기초하여 정규화된 스펙트럼 데이터를 생성할 수 있고, 특정 타입의 계측 측정(예를 들어, 임계 치수 등)과 연관된 스펙트럼 피처들을 포함하는 스펙트럼 데이터의 서브세트들을 정규화된 스펙트럼 데이터로부터 추출할 수 있다.
[0024] 프로세싱 디바이스는, 스펙트럼 데이터가 수집되는 시간 기간에 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하도록 트레이닝된 머신 러닝 모델에 대한 입력으로서 스펙트럼 데이터의 서브세트들을 제공할 수 있다. 머신 러닝 모델은, 상이한 머신 러닝 모델 타입과 각각 연관된 한 세트의 트레이닝된 머신 러닝 모델들로부터 기판에 대한 스펙트럼 데이터에 적용되도록 선택될 수 있다. 머신 러닝 모델은 특정 타입의 계측 측정에 대해 성능 기준을 충족시키는 성능 등급을 갖는 트레이닝된 머신 러닝 모델로서 선택될 수 있다. 일부 실시예들에서, 머신 러닝 모델은 시스템 제어기에 의한 사용을 위해 트레이닝 및 선택된다. 다른 또는 유사한 실시예들에서, 머신 러닝 모델은, 제조 시스템을 위한 시스템 제어기와는 별개인 다른 프로세싱 디바이스에 의해 (예를 들어, 제조 시스템의 사용자가 액세스 불가능할 수 있는 오프라인 서버에서) 사용하기 위해 트레이닝 및/또는 선택된다.
[0025] 프로세싱 디바이스는 트레이닝된 머신 러닝 모델의 하나 이상의 출력들을 획득하고, 하나 이상의 출력들로부터 기판에 대해 제공된 계측 측정 값을 추출할 수 있다. 프로세싱 디바이스는 제공된 계측 측정 값이 측정 기준을 충족시키는지(즉, 기판 프로세스에 대한 타깃 계측 측정 값에 대략 대응하는지)를 결정할 수 있다. 제공된 계측 측정 값이 측정 기준을 충족시키는 경우, 이는, 기판 프로세스에 대한 엔드포인트에 도달되었음을(즉, 기판에 대한 현재의 프로파일이 타깃 기판 프로파일에 대응함을) 표시할 수 있다. 측정 기준이 충족된다고 결정하는 것에 응답하여, 프로세싱 디바이스는 프로세스 챔버에서 기판 프로세스를 종료할 수 있다. 예를 들어, 프로세싱 디바이스는 기판 프로세스가 종료되게 하는 명령을 생성할 수 있다. 측정 기준이 충족되지 않는다고 결정하는 것에 응답하여, 프로세싱 디바이스는 기판 프로세스가 프로세스 챔버에서 계속되게 할 수 있다(예를 들어, 프로세싱 디바이스는 프로세스 챔버에 대한 어떠한 명령도 생성할 수 없음).
[0026] 본 개시내용의 양상들은, 기판 프로세스의 엔드포인트를 검출하기 위해 머신 러닝 모델을 트레이닝시키고 사용하기 위한 시스템들 및 방법들을 제공함으로써, 종래 기술의 결함들을 해결한다. 프로세스 챔버에 결합된 엔드포인트 검출 장비는 기판 프로세스 동안에 특정 주파수에서 기판에 대한 스펙트럼 데이터를 수집할 수 있다. 수집된 스펙트럼 데이터는, 기판 프로세스의 엔드포인트에 도달되었는지 여부를 결정하는 데 사용되는, 기판에 대한 특정 타입들의 계측 측정 값들에 대한 실시간 추정들을 제공하는 입력으로서 트레이닝된 머신 러닝 모델에 제공될 수 있다. 스펙트럼 데이터의 주파수 수집 및 계측 측정 값들의 추정은 프로세스 챔버에서 주파수 엔드포인트 모니터링을 가능하게 하며, 이는 기판의 과소-프로세싱(예를 들어, 과소-에칭, 과소-증착 등) 또는 과잉-프로세싱(예를 들어, 과잉-에칭, 과잉-증착 등)의 가능성을 방지한다. 결과적으로, 제조 시스템 내에서 발생하는 결함들의 수가 감소되어, 그에 의해, 전체 시스템 효율 및 전체 시스템 수율이 개선될 것이다.
[0027] 기판에 대한 특정 타입의 계측 측정 값은, 다른 타입들의 계측 측정 값들과 연관된 다른 엔드포인트 메트릭들보다 더 높은 정확도로 기판 프로세스의 엔드포인트를 표시하는 엔드포인트 메트릭으로서 (예를 들어, 오퍼레이터, 엔지니어 등에 의해) 선택될 수 있다. 머신 러닝 모델이 특정 타입의 계측 측정 값과 연관된 성능 기준을 충족시키는 성능 등급을 갖는 모델로서 한 세트의 상이한 타입들의 머신 러닝 모델들로부터 트레이닝 및 선택될 때, 기판에 대해 제공된 계측 측정 값은 종래의 계측 추정 시스템들에 의해 제공되는 다른 계측 측정 값들보다 더 높은 정도의 정확도와 연관된다. 따라서, 선택된 머신 러닝 모델에 의해 제공되는 계측 측정 값은 높은 정확도 등급과 연관되며, 이는 제공된 계측 측정 값에 기초하여 엔드포인트 검출의 정확도를 개선하여, 기판의 과소-프로세싱 또는 과잉-프로세싱의 가능성을 추가로 방지한다.
[0028] 부가하여, 전술된 바와 같이, 머신 러닝 모델은, 제조 시스템에 대한 시스템 제어기와 별개인 프로세싱 디바이스에서, 한 세트의 머신 러닝 모델들로부터 트레이닝되고 선택될 수 있다. 이는 시스템 제어기에 의해 소비되는 시스템 자원들의 양을 상당히 감소시키고, 전체 시스템 효율을 증가시키고, 전체 시스템 레이턴시를 감소시킨다.
[0029] 본 개시내용의 엔드포인트 검출 시스템은 종래의 엔드포인트 검출 시스템들로는 가능하지 않은 향상된 스펙트럼 데이터 수집을 제공한다. 본 개시내용의 엔드포인트 검출 시스템의 광학 번들은, 송신된 신호의 전력을 감소시킬 수 있는 부가적인 장비(예를 들어, 빔 분할기)를 사용하지 않고도, 광원 컴포넌트로부터 기판 표면으로의 다수의 입사 광 신호들의 송신뿐만 아니라 기판 표면으로부터 광 검출기로의 다수의 반사된 광 신호들의 송신을 가능하게 한다. 따라서, 광학 번들은 반사된 광을 더 효율적으로 수집하고, 반사된 광 빔의 신호 손실을 감소시키며, 반사된 광 신호가 종래의 광섬유 케이블보다 전체적으로 더 큰 크기를 갖는 것을 보장한다. 엔드포인트 검출 시스템의 시준기 조립체는 광범위한 파장들에 대해 균일한 공간 프로파일을 갖는 입사 광의 빔들을 생성한다. 예를 들어, 입사 광 빔의 폭은 빔의 250 nm 스펙트럼 컴포넌트에 대해서 뿐만 아니라 빔의 750 nm 스펙트럼 컴포넌트에 대해서 동일할 수 있다. 부가하여, 상이한 제조 시스템들 사이에서 빔 정렬이 변하더라도, 입사 광 빔의 폭은 동일할 수 있다. 향상된 균일성은 프로세스 챔버 내부의 기판 표면의 타깃 부분의 광학 응답의 더 정확한 측정을 보장하고, 그에 따라, 기판 프로세스 동안에 기판 프로파일의 상태의 더 정확한 결정을 가능하게 하는 더 정확한 데이터를 제공한다.
[0030] 도 1은 본 개시내용의 양상들에 따른 예시적인 컴퓨터 시스템 아키텍처(100)를 묘사한다. 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120), 제조 장비(122), 계측 장비(132), (예를 들어, 예측 데이터를 생성하고, 모델 적응을 제공하고, 지식 베이스(knowledge base)를 사용하는 것 등을 위한) 예측 서버(112) 및 데이터 저장소(150)를 포함한다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 머신들(170및 180)을 더 포함할 수 있다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 기판들을 프로세싱하기 위한 제조 시스템, 예컨대 도 2의 제조 시스템(200)을 포함하거나 그 일부일 수 있다. 부가적인 또는 대안적인 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 기판 프로세스의 엔드포인트를 검출하기 위한 엔드포인트 검출 시스템을 포함하거나 그 일부일 수 있다. 엔드포인트 검출 시스템에 관한 추가의 세부사항들은 도 3과 관련하여 제공된다.
[0031] 클라이언트 디바이스(120), 제조 장비(122), 계측 장비(132), 예측 시스템(110) 및/또는 데이터 저장소(150)의 컴포넌트들은 네트워크(140)를 통해 서로 결합될 수 있다. 일부 실시예들에서, 네트워크(140)는, 클라이언트 디바이스(120)에 예측 서버(112), 데이터 저장소(140) 및 다른 공개적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공중 네트워크(public network)이다. 일부 실시예들에서, 네트워크(140)는 클라이언트 디바이스(120)에 제조 장비(122), 계측 장비(132), 데이터 저장소(150) 및 다른 비공개적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 비공개 네트워크이다. 네트워크(140)는 하나 이상의 광역 네트워크(WAN)들, 로컬 영역 네트워크(LAN)들, 유선 네트워크들(예를 들어, 이더넷 네트워크), 무선 네트워크들(예를 들어, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크들(예를 들어, 롱 텀 에볼루션(LTE) 네트워크), 라우터들, 허브들, 스위치들, 서버 컴퓨터들, 클라우드 컴퓨팅 네트워크들 및/또는 이들의 조합을 포함할 수 있다.
[0032] 클라이언트 디바이스(120)는 컴퓨팅 디바이스, 예컨대 개인용 컴퓨터(PC)들, 랩톱들, 모바일 폰들, 스마트 폰들, 태블릿 컴퓨터들, 넷북 컴퓨터들, 네트워크 연결 텔레비전들("스마트 TV들"), 네트워크 연결 미디어 플레이어들(예를 들어, 블루-레이 플레이어), 셋-톱-박스, OTT(over-the-top) 스트리밍 디바이스, 오퍼레이터 박스들 등을 포함할 수 있다.
[0033] 제조 장비(122)는 레시피에 따라 제품들을 생성하거나 시간 기간에 걸쳐 런(run)들을 수행할 수 있다. 일부 실시예들에서, 제조 장비(122)는, 기판에 대해 상이한 기능을 수행하도록 구성된 하나 이상의 스테이션들(예를 들어, 프로세스 챔버, 이송 챔버, 로드록(load lock) 등)을 포함하는 프로세스 툴을 포함하거나 그 일부일 수 있다. 일부 실시예들에서, 제조 장비(122)는, 제조 장비(122)에서 기판에 대해 수행되는 프로세스의 엔드포인트를 검출하기 위해 사용될 데이터를 수집하도록 구성되는 엔드포인트 검출 장비(124)를 더 포함할 수 있다. 기판 프로세스의 엔드포인트는, 기판의 프로파일이 타깃 프로파일에 대응하는(즉, 매칭되거나 또는 실질적으로 매칭되는) 프로세스의 포인트를 지칭한다. 엔드포인트 검출 장비(124)는 기판 프로세스 동안에 기판의 표면의 프로파일의 하나 이상의 부분들과 연관된 스펙트럼 데이터를 수집 및/또는 생성하도록 구성된 하나 이상의 컴포넌트들을 포함할 수 있다. 스펙트럼 데이터는 검출된 파의 각각의 파장에 대한 검출된 에너지 파에 대한 세기(즉, 에너지의 세기 또는 양)와 연관된 데이터를 지칭한다.
[0034] 일부 실시예들에서, 엔드포인트 검출 장비(124)는 광원으로부터의 입사 광을 기판의 표면으로 지향시키고 기판 표면으로부터의 반사된 광을 광 검출 컴포넌트로 투과시키도록 구성된 광섬유 번들 및 시준기 조립체를 포함할 수 있다. 엔드포인트 검출 장비(124)에 결합된 프로세싱 디바이스(예를 들어, 프로세스 툴에 대한 시스템 제어기)는 광 검출 컴포넌트로 투과된 반사된 광에 기초하여 기판 프로파일에 대한 스펙트럼 데이터를 생성할 수 있다. 다른 또는 유사한 실시예들에서, 엔드포인트 검출 장비(124)는 기판 프로파일과 연관된 스펙트럼 데이터를 생성하도록 구성된 임의의 센서들을 포함할 수 있다. 이러한 센서들은 반사 측정 센서들, 타원편광 센서들, 열 스펙트럼 센서들, 용량성 센서들 등을 포함할 수 있다. 제조 장비(122) 및 엔드포인트 검출 장비(124)에 관한 추가의 세부사항들은 각각 도 2 및 도 5와 관련하여 설명된다.
[0035] 일부 실시예들에서, 제조 장비(122)의 하나 이상의 스테이션들은 제조 장비(122)와 연관된 센서 데이터를 생성 및/또는 수집하도록 구성된 센서들을 포함할 수 있다. 센서 데이터는 온도(예를 들어, 히터 온도), 간격(SP), 압력, 고주파 라디오 주파수(HFRF), 정전 척(ESC)의 전압, 전류, 유동, 전력, 전압 등 중 하나 이상의 것의 값을 포함할 수 있다. 센서 데이터는 제조 장비(122)의 세팅들 또는 컴포넌트들(예를 들어, 크기, 타입 등) 또는 제조 장비(122)의 프로세스 파라미터들과 같은 하드웨어 파라미터들과 같은 제조 파라미터들과 연관되거나 이들을 표시할 수 있다. 센서 데이터는 제조 장비(122)가 기판 프로세스를 수행하고 있는 동안 제공될 수 있다. 센서 데이터는 각각의 기판에 대해 상이할 수 있다.
[0036] 일부 실시예들에서, 제조 장비(122)는 계측 장비(126)를 포함할 수 있다. 계측 장비(126)는 제조 장비(122)에 의해 프로세싱되는 기판들과 연관된 계측 데이터를 생성하도록 구성될 수 있다. 계측 데이터는 막 속성 데이터(예를 들어, 웨이퍼 공간 막 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등 중 하나 이상의 것의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 하나 이상의 표면 프로파일 속성 데이터(예를 들어, 에칭 레이트, 에칭 레이트 균일성, 기판의 표면 상에 포함된 하나 이상의 피처들의 임계 치수, 기판의 표면에 걸친 임계 치수 균일성, 에지 배치 에러 등)의 값을 더 포함할 수 있다. 계측 데이터는 완성된(finished) 또는 반완성된(semi-finished) 제품에 대한 것일 수 있다. 계측 데이터는 각각의 기판에 대해 상이할 수 있다.
[0037] 계측 장비(126)는 기판 프로세스 전에 또는 후에 기판과 연관된 계측 데이터를 생성하도록 구성될 수 있다. 계측 장비(126)는 제조 장비(122)의 프로세스 툴의 스테이션과 통합될 수 있다. 일부 실시예들에서, 계측 장비(126)는 진공 환경 하에서 유지되는 프로세스 툴의 스테이션(예를 들어, 프로세스 챔버, 이송 챔버 등)에 결합되거나 그 스테이션의 일부일 수 있다. 이러한 계측 장비(126)는 통합 계측 장비(128)로 지칭된다. 따라서, 기판은 기판이 진공 환경에 있는 동안에 통합 계측 장비(128)에 의해 측정될 수 있다. 예를 들어, 기판 프로세스(예를 들어, 에칭 프로세스, 증착 프로세스 등)가 기판에 대해 수행된 후에, 프로세싱된 기판이 진공 환경으로부터 제거되지 않고, 프로세싱된 기판에 대한 계측 데이터가 통합 계측 장비(128)에 의해 생성될 수 있다. 다른 또는 유사한 실시예들에서, 계측 장비(126)는 진공 환경 하에서 유지되지 않는 프로세스 툴 스테이션(예를 들어, 팩토리 인터페이스 모듈 등)에 결합되거나 또는 그 프로세스 툴 스테이션의 일부일 수 있다. 이러한 계측 장비(126)는 인라인 계측 장비(130)로 지칭된다. 따라서, 기판은 진공 환경 외부에서 인라인 계측 장비(130)에 의해 측정된다.
[0038] 계측 장비(126)에 부가적으로 또는 대안적으로, 컴퓨터 시스템 아키텍처(100)는 계측 장비(132)를 포함할 수 있다. 계측 장비(132)는 제조 장비(122)와 별개인(즉, 외부에 있는) 계측 측정 디바이스들을 포함할 수 있다. 예를 들어, 계측 장비(132)는 제조 장비(122)의 임의의 스테이션에 결합되지 않은 독립형 장비일 수 있다. 계측 장비(132)를 사용하여 기판에 대해 획득될 측정을 위해, 제조 시스템의 사용자(예를 들어, 엔지니어, 오퍼레이터)는 제조 장비(124)에서 프로세싱된 기판이 제조 장비(122)로부터 제거되어 측정을 위해 계측 장비(132)로 이송되게 할 수 있다. 일부 실시예들에서, 계측 장비(132)는, (예를 들어, 오퍼레이터 또는 엔지니어와 같은 제조 사용자에게 제시하기 위해), 기판에 대해 생성된 계측 데이터를 네트워크(140)를 통해 계측 장비(132)에 결합된 클라이언트 디바이스(120)에 전달할 수 있다. 다른 또는 유사한 실시예들에서, 제조 시스템 사용자는 계측 장비(132)로부터 기판에 대한 계측 데이터를 획득할 수 있고, 클라이언트 디바이스(120)의 그래픽 사용자 인터페이스(GUI)를 통해 컴퓨터 시스템 아키텍처에 계측 데이터를 제공할 수 있다.
[0039] 데이터 저장소(150)는 메모리(예를 들어, 랜덤 액세스 메모리), 드라이브(예를 들어, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템 또는 데이터를 저장할 수 있는 다른 타입의 컴포넌트 또는 디바이스일 수 있다. 데이터 저장소(150)는, 다수의 컴퓨팅 디바이스들(예를 들어, 다수의 서버 컴퓨터들)에 걸쳐 있을 수 있는 다수의 저장 컴포넌트들(예를 들어, 다수의 드라이브들 또는 다수의 데이터베이스들)을 포함할 수 있다. 데이터 저장소(150)는 스펙트럼 데이터, 비-스펙트럼 데이터(예를 들어, 센서 데이터), 계측 데이터, 예측 데이터 등을 저장할 수 있다. 스펙트럼 데이터는 이력 스펙트럼 데이터(예를 들어, 제조 장비(122)에서 또는 네트워크(140)를 통해 데이터 저장소(150)에 결합된 다른 제조 장비에서 프로세싱된 이전 기판에 대해 생성된 스펙트럼 데이터) 및/또는 현재의 스펙트럼들(제조 장비(122)에서 프로세싱되고 있는 현재의 기판에 대해 생성된 스펙트럼 데이터)을 포함할 수 있다. 현재의 스펙트럼 데이터는 예측 데이터가 생성되는 데이터일 수 있다. 일부 실시예들에서, 계측 데이터는 이력 계측 데이터(예를 들어, 제조 장비(122) 또는 다른 제조 장비에서 프로세싱된 이전 기판에 대한 계측 측정 값들)를 포함할 수 있다. 데이터 저장소(150)는 또한, 제조 시스템에서 프로세싱되고 있는 기판과 연관된 콘텍스추얼 데이터(예를 들어, 레시피 명칭, 레시피 단계 번호, 예방 유지보수 표시자, 오퍼레이터 등)를 저장할 수 있다.
[0040] 데이터 저장소(150)의 하나 이상의 부분들은 제조 시스템의 사용자가 액세스 불가능할 수 있는 데이터를 저장하도록 구성될 수 있다. 일부 실시예들에서, 데이터 저장소(150)에 저장된 모든 데이터는 제조 시스템 사용자에 의해 액세스 불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 저장소(150)에 저장된 데이터의 일부분은 사용자에 의해 액세스 불가능한 반면, 데이터 저장소(150)에 저장된 데이터의 다른 부분은 사용자가 액세스 가능하다. 일부 실시예들에서, 데이터 저장소(150)에 저장된 액세스 불가능한 데이터는 사용자에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화된다(예를 들어, 데이터는 개인 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 저장소(150)는 다수의 데이터 저장소들을 포함할 수 있으며, 여기서 사용자가 액세스 불가능한 데이터가 제1 데이터 저장소에 저장되고 사용자가 액세스 가능한 데이터가 제2 데이터 저장소에 저장된다.
[0041] 일부 실시예들에서, 예측 시스템(110)은 서버 머신(170) 및 서버 머신(180)을 포함한다. 서버 머신(170)은 머신 러닝 모델(190) 또는 한 세트의 머신 러닝 모델들(190)을 트레이닝, 검증 및/또는 테스트하기 위해 트레이닝 데이터 세트들(예를 들어, 한 세트의 데이터 입력들 및 한 세트의 타깃 출력들)을 생성할 수 있는 트레이닝 세트 생성기(172)를 포함한다. 트레이닝 세트 생성기(172)의 일부 동작들은 도 4와 관련하여 상세하게 후술된다. 일부 실시예들에서, 트레이닝 세트 생성기(172)는 트레이닝 데이터를 트레이닝 세트, 검증 세트 및 테스트 세트로 분할할 수 있다.
[0042] 서버 머신(180)은 트레이닝 엔진(182)을 포함할 수 있다. 엔진은 하드웨어(예를 들어, 회로, 전용 로직, 프로그램 가능 로직, 마이크로코드, 프로세싱 디바이스 등), 소프트웨어(예컨대, 프로세싱 디바이스, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행되는 명령들), 펌웨어, 마이크로코드 또는 이들의 조합을 지칭할 수 있다. 트레이닝 엔진(182)은 머신 러닝 모델(190) 또는 한 세트의 머신 러닝 모델들(190)을 트레이닝시킬 수 있다. 머신 러닝 모델(190)은, 트레이닝 입력들 및 대응하는 타깃 출력들(개개의 트레이닝 입력들에 대한 올바른(correct) 응답들)을 포함하는 트레이닝 데이터를 사용하여 트레이닝 엔진(182)에 의해 생성되는 모델 아티팩트를 지칭할 수 있다. 트레이닝 엔진(182)은 트레이닝 입력을 타깃 출력(예측되는 답변)에 맵핑하는 트레이닝 데이터의 패턴들을 찾을 수 있고, 그리고 이러한 패턴들을 캡처하는 머신 러닝 모델(190)을 제공할 수 있다. 머신 러닝 모델(190)은 선형 회귀 모델, 부분 최소 제곱 회귀 모델, 가우시안 회귀 모델, 랜덤 포레스트 모델, 지원 벡터 머신 모델, 뉴럴 네트워크, 리지 회귀 모델 등을 포함할 수 있다.
[0043] 트레이닝 엔진(182)은 또한 트레이닝 세트 생성기(172)로부터의 검증 세트의 대응하는 세트의 피처들을 사용하여, 트레이닝된 머신 러닝 모델(190)을 검증할 수 있다. 일부 실시예들에서, 트레이닝 엔진(182)은 한 세트의 트레이닝된 머신 러닝 모델들(190) 각각에 대한 성능 등급을 할당할 수 있다. 성능 등급은 개개의 트레이닝된 모델의 정확도, 개개의 모델의 속도 및/또는 개개의 모델의 효율에 대응할 수 있다. 트레이닝 엔진(182)은 본원에 설명된 실시예들에 따라, 예측 컴포넌트(114)에 의해 사용될 성능 기준을 충족시키는 성능 등급을 갖는 트레이닝된 머신 러닝 모델(190)을 선택할 수 있다. 트레이닝 엔진(182)에 관한 추가의 세부사항들은 도 9와 관련하여 제공된다.
[0044] 예측 서버(112)는, 제조 장비(122)에서 프로세싱되고 있는 현재의 기판의 일부분에 대한 스펙트럼 데이터를 입력으로서 트레이닝된 머신 러닝 모델(190)에 제공하고 입력에 대해 트레이닝된 모델(190)을 실행하여 하나 이상의 출력들을 획득할 수 있는 예측 엔진(114)을 포함한다. 일부 실시예들에서, 예측 엔진(114)에 의해 실행되는 트레이닝된 모델(190)은 전술된 바와 같이, 성능 기준을 충족시키는 성능 등급을 갖는 것으로 트레이닝 엔진(182)에 의해 선택된다. 도 8과 관련하여 추가로 설명된 바와 같이, 일부 실시예들에서, 예측 엔진(114)은 또한, 트레이닝된 머신 러닝 모델(190)의 출력으로부터 데이터를 추출하고 신뢰도 데이터를 사용하여 기판의 일부분에 대한 계측 측정을 제공할 수 있다.
[0045] 신뢰도 데이터는, 계측 값이 현재의 스펙트럼 데이터와 연관된 기판의 하나 이상의 특성들에 대응한다는 신뢰도 레벨을 포함하거나 표시할 수 있다. 하나의 예에서, 신뢰도 레벨은 0 내지 1의 실수이며, 여기서 0은 계측 값이 현재의 스펙트럼 데이터와 연관된 기판의 하나 이상의 특성들에 대응한다는 신뢰도가 없음을 표시하고, 1은 계측 값이 현재의 스펙트럼 데이터와 연관된 기판의 하나 이상의 특성들에 대응한다는 절대적 신뢰도를 표시한다. 일부 실시예들에서, 엔드포인트 검출 시스템은, 측정된 계측 값들을 결정하기 위해 인라인 계측 장비(128), 통합 계측 장비(130) 및/또는 외부 계측 장비(132)를 사용하는 대신에, 제조 시스템에서 프로세싱되고 있는 기판에 대한 계측 값들을 제공하기 위해 예측 시스템(110)을 사용할 수 있다. 엔드포인트 검출 시스템은, 본원에 제공된 실시예들에 따라, 기판에 대해 제공된 계측 값들에 기초하여, 기판 프로세스의 엔드포인트에 도달되었는지 여부를 결정할 수 있다.
[0046] 일부 다른 구현예들에서, 서버 머신들(170 및 180)뿐만 아니라 예측 서버(112)의 기능들은 더 많은 수의 또는 더 적은 수의 머신들에 의해 제공될 수 있다는 것에 유의해야 한다. 예를 들어, 일부 실시예들에서, 서버 머신들(170 및 180)은 단일 머신으로 통합될 수 있는 한편, 일부 다른 또는 유사한 실시예들에서, 서버 머신들(170 및 180)뿐만 아니라 예측 서버(112)는 단일 머신으로 통합될 수 있다. 일반적으로, 서버 머신(170), 서버 머신(180) 및/또는 예측 서버(112)에 의해 수행되는 것으로서 하나의 구현예에서 설명된 기능들은 또한 클라이언트 디바이스(120) 상에서 수행될 수 있다. 부가하여, 특정 컴포넌트에 기인하는 기능은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다. 서버 머신들(170, 180)뿐만 아니라 예측 서버(112)의 기능들의 그룹화에 관한 추가의 세부사항들은 도 3과 관련하여 제공된다.
[0047] 실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은, 복수의 사용자들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 "사용자"를 포함한다. 예를 들어, 관리자들의 그룹으로서 연합된 개별 사용자들의 세트가 "사용자"로 간주될 수 있다.
[0048] 도 2는 예시적인 제조 시스템(200)의 개략적인 평면도이다. 제조 시스템(200)은 기판(202)에 대해 하나 이상의 프로세스들을 수행할 수 있다. 기판(202)은, 본 개시내용의 양상들에 따라 전자 디바이스들 또는 전자 디바이스들 상의 회로 컴포넌트들을 제작하기에 적절한 임의의 적절하게 강성의 고정-치수의 평면형 물품, 예컨대, 예를 들어 실리콘-함유 디스크 또는 웨이퍼, 패터닝된 웨이퍼, 유리 플레이트 등일 수 있다. 일부 실시예들에서, 제조 시스템(200)은 도 1과 관련하여 설명된 실시예들에 따른 컴퓨터 시스템 아키텍처(110)를 포함하거나 그 일부일 수 있다.
[0049] 제조 시스템(200)은 프로세스 툴(204) 및 프로세스 툴(204)에 결합된 팩토리 인터페이스(206)를 포함할 수 있다. 프로세스 툴(204)은 이송 챔버(210)를 내부에 갖는 하우징(208)을 포함할 수 있다. 이송 챔버(210)는 이송 챔버(210) 주위에 배치되고 이송 챔버(210)에 결합된 하나 이상의 프로세싱 챔버들(프로세스 챔버들로 또한 지칭됨)(214, 216, 218)을 포함할 수 있다. 프로세싱 챔버들(214, 216, 218)은 슬릿 밸브들 등과 같은 개개의 포트들을 통해 이송 챔버(210)에 결합될 수 있다. 이송 챔버(210)는 또한, 프로세스 챔버들(214, 216, 218), 로드록(220) 등 사이에서 기판(202)을 이송하도록 구성된 이송 챔버 로봇(212)을 포함할 수 있다. 이송 챔버 로봇(212)은 하나 또는 다수의 암들을 포함할 수 있으며, 여기서 각각의 암은 각각의 암의 단부에 하나 이상의 엔드 이펙터들을 포함한다. 엔드 이펙터는 웨이퍼들과 같은 특정 오브젝트들을 핸들링하도록 구성될 수 있다.
[0050] 일부 실시예들에서, 이송 챔버(210)는 또한, 도 1과 관련하여 설명된 통합 계측 장비(128)와 같은 계측 장비를 포함할 수 있다. 통합 계측 장비(128)는, 기판이 진공 환경에서 유지되는 동안에, 기판 프로세스 전에 또는 기판 프로세스 동안에 기판(202)과 연관된 계측 데이터를 생성하도록 구성될 수 있다. 도 2에 예시된 바와 같이, 통합 계측 장비(128)가 이송 챔버(210) 내에 배치될 수 있다. 다른 또는 유사한 실시예들에서, 통합 계측 장비(128)는 이송 챔버(210)에 결합될 수 있다. 통합 계측 장비(128)가 이송 챔버(210) 내에 배치되거나 이송 챔버(210)에 결합됨에 따라, 기판(202)이 진공 환경으로부터 제거되지 않고(예를 들어, 팩토리 인터페이스(206)로 이송되지 않고), 기판(202)과 연관된 계측 데이터가 생성될 수 있다.
[0051] 프로세스 챔버들(214, 216, 218)은 기판들(202)에 대해 임의의 수의 프로세스들을 수행하도록 적응될 수 있다. 동일한 또는 상이한 기판 프로세스는 각각의 프로세싱 챔버(214, 216, 218)에서 발생할 수 있다. 기판 프로세스는 원자 층 증착(ALD), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에칭, 어닐링, 경화, 사전-세정, 금속 또는 금속 산화물 제거 등을 포함할 수 있다. 내부의 기판들에 대해 다른 프로세스들이 수행될 수 있다. 일부 실시예들에서, 도 1과 관련하여 설명된 엔드포인트 검출 장비(124)와 같은 엔드포인트 검출 장비는 프로세스 챔버(214, 216, 218)에 결합되거나 또는 프로세스 챔버(214, 216, 218) 내에 배치될 수 있다. 엔드포인트 검출 장비(124)는 기판 프로세스 동안에 기판의 표면의 프로파일에 대한 스펙트럼 데이터를 수집하도록 구성될 수 있다. 엔드포인트 검출 장비(124)에 결합된 프로세싱 디바이스(예를 들어, 시스템 제어기(228))는, 수집된 스펙트럼 데이터에 기초하여, 에칭 프로세스의 엔드포인트에 도달되었는지 여부(즉, 타깃 프로파일이 기판의 표면 상에 에칭되었는지 여부)를 결정할 수 있다. 일부 실시예들에서, 엔드포인트 검출 장비(124)의 하나 이상의 컴포넌트들은 도 5와 관련하여 설명된 컴포넌트들(예를 들어, 광섬유 번들, 시준기 조립체 등)을 포함할 수 있다. 다른 또는 유사한 실시예들에서, 엔드포인트 검출 장비(124)는, 프로세스 챔버들(214, 216, 218) 내에 또는 프로세스 챔버들(214, 216, 218) 외부에 배치되고 기판 프로세스 전에, 기판 프로세스 후에 또는 기판 프로세스 동안에 프로세스 챔버(214, 216 218) 내의 환경 및/또는 기판(202)의 일부분에 대한 스펙트럼 데이터를 수집하도록 구성된 하나 이상의 센서들을 포함할 수 있다.
[0052] 로드록(220)이 또한 하우징(208) 및 이송 챔버(210)에 결합될 수 있다. 로드록(220)은 일 측의 이송 챔버(210) 및 다른 측의 팩토리 인터페이스(206)와 인터페이싱하고 그에 결합되도록 구성될 수 있다. 일부 실시예들에서, 로드록(220)은, 진공 환경(여기서, 기판들은 이송 챔버(210)로 그리고 이송 챔버(210)로부터 이송될 수 있음)으로부터 대기압(또는 거의 대기압)의 불활성-가스 환경(여기서, 기판들은 팩토리 인터페이스(206)로 그리고 팩토리 인터페이스(206)로부터 이송될 수 있음)으로 변경될 수 있는 환경-제어 분위기를 가질 수 있다.
[0053] 팩토리 인터페이스(206)는 장비 프론트 엔드 모듈(EFEM)과 같은 임의의 적합한 인클로저(enclosure)일 수 있다. 팩토리 인터페이스(206)는 팩토리 인터페이스(206)의 다양한 로드 포트들(224)에 도킹된 기판 캐리어들(222)(예를 들어, 전방 개방 통합 포드(FOUP)들)로부터 기판들(202)을 수용하도록 구성될 수 있다. 팩토리 인터페이스 로봇(226)(점선으로 도시됨)은 기판 캐리어들(컨테이너들로 또한 지칭됨)(222)과 로드록(220) 사이에서 기판들(202)을 이송하도록 구성될 수 있다. 다른 및/또는 유사한 실시예들에서, 팩토리 인터페이스(206)는 교체 부품 저장 컨테이너들(222)로부터 교체 부품들을 수용하도록 구성될 수 있다.
[0054] 일부 실시예들에서, 제조 시스템(200)은 진공 환경 외부에서 기판(202)과 연관된 계측 데이터를 생성하도록 구성된 계측 장비를 포함할 수 있다. 예를 들어, 도 2에 예시된 바와 같이, 통합 계측 장비(128)는 프로세스 챔버(예를 들어, 프로세스 챔버(214, 216 및/또는 218))에 결합될 수 있다. 통합 계측 장비(128)는, 기판(202)이 진공 환경에 배치되기(예를 들어, 로드록(220)으로 이송되기) 전에 그리고/또는 기판(202)이 진공 환경으로부터 제거된(예를 들어, 로드록(220)으로부터 제거된) 후에 기판(202)과 연관된 계측 데이터를 생성하도록 구성될 수 있다. 도 2가 팩토리 인터페이스(206)에 결합된 인라인 계측 장비(130)를 묘사하지만, 인라인 계측 장비(130)는 진공 환경 외부에 있는(예를 들어, 로드록(220) 등에 결합된) 프로세스 툴(204)의 임의의 부분에 결합될 수 있다는 것에 유의해야 한다.
[0055] 제조 시스템(200)은 또한, 제조 시스템(200)에 관한 정보를 사용자(예를 들어, 오퍼레이터)에게 제공하도록 구성된 클라이언트 디바이스(예를 들어, 도 1의 클라이언트 디바이스(120))에 연결될 수 있다. 일부 실시예들에서, 클라이언트 디바이스는 하나 이상의 그래픽 사용자 인터페이스(GUI)들을 통해 제조 시스템(200)의 사용자에게 정보를 제공할 수 있다. 예를 들어, 클라이언트 디바이스는, 프로세스 챔버(214, 216, 218)에서 수행되는 기판 프로세스의 엔드포인트에 관한 정보를 GUI를 통해 제공할 수 있다.
[0056] 제조 시스템(200)은 또한 시스템 제어기(228)를 포함하거나 그에 결합될 수 있다. 시스템 제어기(228)는 개인용 컴퓨터, 서버 컴퓨터, 프로그램 가능 로직 제어기(PLC), 마이크로제어기 등과 같은 컴퓨팅 디바이스일 수 있고 그리고/또는 그러한 컴퓨팅 디바이스를 포함할 수 있다. 시스템 제어기(228)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스들일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다. 보다 구체적으로, 프로세싱 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는 또한, 주문형 집적 회로(ASIC), 필드 프로그램 가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수-목적 프로세싱 디바이스들일 수 있다. 시스템 제어기(228)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 제어기(228)는 본원에 설명된 방법들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 일부 실시예들에서, 시스템 제어기(228)는 프로세스 레시피에 따라 제조 시스템(300)에서 하나 이상의 동작들을 수행하기 위한 명령들을 실행할 수 있다. 명령들은, (명령들의 실행 동안) 메인 메모리, 정적 메모리, 보조 저장소 및/또는 프로세싱 디바이스를 포함할 수 있는 컴퓨터 판독 가능 저장 매체 상에 저장될 수 있다.
[0057] 일부 실시예들에서, 시스템 제어기(228)는 제조 시스템(300)의 다양한 부분들(예를 들어, 프로세싱 챔버들(314, 316, 318), 이송 챔버(310), 로드록(320) 등) 상에 또는 그 내부에 포함된 센서들 또는 다른 컴포넌트들(예를 들어, 엔드포인트 검출 장비(124))로부터 데이터를 수신할 수 있다. 시스템 제어기(228)에 의해 수신된 데이터는 기판(302)의 일부분에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 포함할 수 있다. 본 설명의 목적들을 위해, 시스템 제어기(228)는 프로세스 챔버들(214, 216, 218)에 결합되거나 프로세스 챔버들(214, 216, 218) 내에 배치된 엔드포인트 검출 장비(124)로부터 데이터를 수신하는 것으로 설명된다. 그러나, 시스템 제어기(228)는 제조 시스템(200)의 임의의 부분으로부터 데이터를 수신할 수 있고, 본원에 설명된 실시예들에 따라 그 부분으로부터 수신된 데이터를 사용할 수 있다. 예시적인 예에서, 시스템 제어기(228)는, 프로세싱 챔버(214, 216, 218)에서의 기판 프로세스 전에, 기판 프로세스 후에 또는 기판 프로세스 동안에, 프로세스 챔버(214, 216, 218)에 결합된 엔드포인트 검출 장비(124)로부터 스펙트럼 데이터를 수신할 수 있다. 제조 시스템(200)의 엔드포인트 검출 장비(124) 또는 다른 센서들로부터 수신된 데이터는 데이터 저장소(250)에 저장될 수 있다. 데이터 저장소(250)는 시스템 제어기(228) 내의 컴포넌트로서 포함될 수 있거나 또는 시스템 제어기(228)와 별개의 컴포넌트일 수 있다. 일부 실시예들에서, 데이터 저장소(250)는 도 1과 관련하여 설명된 바와 같은 데이터 저장소(150)의 일부이거나 이를 포함할 수 있다.
[0058] 도 3은 본 개시내용의 양상들에 따른, 기판 프로세스의 엔드포인트 검출을 위한 예시적인 시스템 아키텍처(300)를 묘사한다. 일부 실시예들에서, 엔드포인트 검출 시스템(300)은 컴퓨터 아키텍처(100) 및/또는 제조 시스템(200)의 하나 이상의 컴포넌트들을 포함하거나 이들의 일부일 수 있다. 엔드포인트 검출 시스템(300)은 제조 장비(124)(예를 들어, 엔드포인트 검출 장비(126)), 계측 장비(130), 서버 머신(320) 및 서버 머신(350)의 하나 이상의 컴포넌트들을 포함할 수 있다.
[0059] 이전에 설명된 바와 같이, 제조 장비(122)는 일정 시간 기간에 걸쳐 런(run)들을 수행하여 또는 레시피에 따라 제품들을 생성할 수 있다. 제조 장비(122)는 기판 프로세스 레시피에 따라 기판에 대한 기판 프로세스를 수행하도록 구성된 프로세스 챔버(310)를 포함할 수 있다. 일부 실시예들에서, 프로세스 챔버(310)는 도 2와 관련하여 설명된 프로세스 챔버(214, 218, 218) 중 임의의 프로세스 챔버일 수 있다. 제조 장비(122)는 또한, 본원에 설명된 바와 같이, 엔드포인트 검출 장비(124)를 포함할 수 있다. 엔드포인트 검출 장비(124)는 프로세스 챔버(310)에 결합되거나 프로세스 챔버(310) 내에 배치될 수 있고, 기판 프로세스 레시피의 단계의 엔드포인트를 검출하는 데 사용될 스펙트럼 데이터를 수집할 수 있다. 일부 실시예들에서, 제조 장비(122)는 또한, 본원에 설명된 바와 같이, 통합 계측 장비(128)를 포함할 수 있다. 통합 계측 장비(128)는 기판 프로세스가 완료되기 전에 또는 완료된 후에 기판과 연관된 계측 데이터를 생성하도록 구성될 수 있다.
[0060] 제조 장비(122)는 서버 머신(320)에 결합될 수 있다. 서버 머신(320)은 프로세싱 디바이스(322) 및/또는 데이터 저장소(332)를 포함할 수 있다. 일부 실시예들에서, 프로세싱 디바이스(322)는 제조 장비(122)에서 동작들을 수행하기 위한 하나 이상의 명령들을 실행하도록 구성될 수 있다. 예를 들어, 프로세싱 디바이스(322)는 도 2와 관련하여 설명된 시스템 제어기(228)를 포함하거나 그 일부일 수 있다. 일부 실시예들에서, 데이터 저장소(332)는 데이터 저장소(150) 및/또는 데이터 저장소(250)를 포함하거나 그 일부일 수 있다.
[0061] 프로세싱 디바이스(322)는 제조 장비(122)의 하나 이상의 컴포넌트들로부터 (즉, 네트워크를 통해) 데이터를 수신하도록 구성될 수 있다. 예를 들어, 프로세싱 디바이스(322)는 프로세스 챔버(310)에서 기판에 대한 기판 프로세스 동안에 엔드포인트 검출 장비(124)에 의해 수집된 스펙트럼 데이터(336)를 수신할 수 있다. 다른 예에서, 프로세싱 디바이스(322)는 기판에 대한 기판 프로세스 전에 및/또는 기판 프로세스 후에 통합 계측 장비(128)에 의해 수집된 계측 데이터(338)를 수신할 수 있다. 계측 데이터(338)는 통합 계측 장비(128)에 의해 기판에 대해 생성된 계측 측정 값을 포함할 수 있다. 일부 실시예들에서, 프로세싱 디바이스(322)는 수신된 스펙트럼 데이터 및/또는 수신된 계측 데이터(338)를 데이터 저장소(332)에 저장할 수 있다.
[0062] 일부 실시예들에서, 프로세싱 디바이스(322)는 다른 계측 장비로부터 계측 데이터(338)를 수신할 수 있다. 예를 들어, 일부 실시예들에서, 서버(320)는 인라인 계측 장비(130)에 (즉, 네트워크를 통해) 결합될 수 있다. 본원에 설명된 바와 같이, 기판은 프로세스 챔버(210)로부터 제거되어 인라인 계측 장비(130)로 이송될 수 있다. 인라인 계측 장비(130)는 기판에 대한 계측 데이터(338)를 생성하고, 생성된 계측 데이터(338)를 네트워크를 통해 프로세싱 디바이스(322)에 송신할 수 있다. 다른 예에서, 기판은 제조 장비(122)로부터 제거될 수 있고, 도 1과 관련하여 설명된 외부 계측 장비(132)와 같은 외부 계측 장비로 이송될 수 있다. 클라이언트 디바이스(120)와 같은 클라이언트 디바이스는 서버(320)에 (즉, 네트워크를 통해) 결합될 수 있다. 일부 실시예들에서, 제조 장비(122)의 사용자는 외부 계측 장비(132)를 사용하여 계측 데이터(338)를 획득할 수 있고, 획득된 계측 데이터(338)를 클라이언트 디바이스(120)의 GUI를 통해 제공할 수 있다. 클라이언트 디바이스(120)는 네트워크를 통해 계측 데이터(338)를 송신할 수 있다. 부가적인 또는 대안적인 실시예들에서, 외부 계측 장비(132)는 네트워크를 통해 서버(320)에 결합될 수 있고, 외부 계측 장비(132)는 계측 데이터(338)를 프로세싱 디바이스(332)에 직접 송신할 수 있다.
[0063] 프로세싱 디바이스(322)는 예측 엔진(328) 및 프로세스 엔드포인트 엔진(330)을 포함할 수 있다. 예측 엔진(328)은 기판 프로세스 동안에 기판에 대해 수집된 스펙트럼 데이터(336)에 기초하여 계측 측정에 대한 값을 제공하도록 구성될 수 있다. 예를 들어, 예측 엔진(328)은, 트레이닝된 머신 러닝 모델(334)에 대한 입력으로서, 프로세스 챔버(310)에서 현재의 기판에 대해 수행되는 현재의 프로세스에 대해 수집된 스펙트럼 데이터(336)를 제공할 수 있다. 예측 엔진(328)은 머신 러닝 모델(334)의 출력으로서, 현재의 기판에 대응하는 계측 측정 값의 표시를 포함하는 계측 데이터(338)를 획득할 수 있다. 일부 실시예들에서, 예측 엔진(328)은 도 1과 관련하여 설명된 예측 엔진(114)에 대응할 수 있다.
[0064] 도 3에 예시된 바와 같이, 프로세싱 디바이스(322)는 일부 실시예들에서, 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)을 포함할 수 있다. 일부 실시예들에서, 트레이닝 세트 생성기(324)는 도 1과 관련하여 설명된 트레이닝 세트 생성기(172)에 대응할 수 있고 그리고/또는 트레이닝 엔진(326)은 도 1과 관련하여 설명된 트레이닝 엔진(182)에 대응할 수 있다. 트레이닝 세트 생성기(324)는 머신 러닝 모델(334) 또는 한 세트의 머신 러닝 모델들(334)을 트레이닝시키기 위한 트레이닝 세트들(340)을 생성하도록 구성될 수 있다. 예를 들어, 트레이닝 세트 생성기(324)는 이전 기판과 연관된 이력 스펙트럼 데이터(336)에 기초하여 트레이닝 입력을 생성할 수 있다. 일부 실시예들에서, 트레이닝 세트 생성기(324)는 트레이닝 입력을 생성하기 위해 데이터 저장소(332)로부터 이력 스펙트럼 데이터(336)를 검색할 수 있다. 트레이닝 세트 생성기(324)는 이전 기판에 대해 획득된 이력 계측 데이터(338)에 기초하여 트레이닝 입력에 대한 타깃 출력을 생성할 수 있다. 전술된 바와 같이, 이력 계측 데이터(338)는 인라인 계측 장비(128), 통합 계측 장비(130) 또는 외부 계측 장비(132)에 의해 생성될 수 있다. 트레이닝 세트 생성기(324)는 생성된 트레이닝 입력 및 생성된 타깃 출력을 트레이닝 세트(340)에 포함시킬 수 있다. 트레이닝 세트(340)를 생성하는 것에 관한 추가의 세부사항들은 도 4와 관련하여 제공된다.
[0065] 트레이닝 엔진(326)은 머신 러닝 모델(334) 또는 머신 러닝 모델들(334)의 세트들을 트레이닝, 검증 및/또는 테스트하도록 구성될 수 있다. 트레이닝 엔진(326)은 트레이닝 세트(340)를 제공하여 머신 러닝 모델(들)(334)을 트레이닝시키고 트레이닝된 머신 러닝 모델(들)(334)을 데이터 저장소(332)에 저장할 수 있다. 일부 실시예들에서, 트레이닝 엔진(326)은 트레이닝된 머신 러닝 모델(334)을 검증하기 위해 검증 세트(342)를 사용할 수 있다. 검증 세트(342)는 이전 기판에 대해 획득된(즉, 프로세스 챔버(310)에서 또는 다른 프로세스 챔버에서 프로세싱된) 스펙트럼 데이터(336) 및 계측 데이터(338)를 포함할 수 있다. 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)은 이전 기판에 대해 획득된 이력 스펙트럼 데이터(336) 및 이력 계측 데이터(338)에 기초하여 검증 세트(342)를 생성할 수 있다. 일부 실시예들에서, 검증 세트(342)는 트레이닝 세트(340)에 포함된 이력 스펙트럼 데이터(336) 및 이력 계측 데이터(338)와 상이한 이력 스펙트럼 데이터(336) 및 이력 계측 데이터(338)를 포함할 수 있다.
[0066] 트레이닝 엔진(326)은 트레이닝된 머신 러닝 모델(334)에 대한 입력으로서 이전 기판에 대한 스펙트럼 데이터(336)를 제공할 수 있고, 트레이닝된 모델(334)의 하나 이상의 출력들로부터 이전 기판에 대한 계측 측정 값을 추출할 수 있다. 트레이닝 엔진(336)은, 검증 세트(342)에 포함된 이전 기판에 대한 계측 데이터(338)의 측정된 계측 값을 고려하여, 이전 기판에 대한 계측 측정 값의 정확도에 기초하여, 트레이닝된 모델(334)에 성능 스코어를 할당할 수 있다. 트레이닝 엔진(336)은, 성능 스코어가 성능 스코어 기준을 충족시킨다고(예를 들어, 성능 스코어 임계치를 초과한다고) 결정하는 것에 응답하여, 프로세스 챔버(310)에서 프로세싱되는 미래의 기판들에 대한 미래의 계측 측정 값들을 제공하는 데 사용될 트레이닝된 모델(334)을 선택할 수 있다. 트레이닝된 모델(334)을 선택하는 것에 관한 추가의 세부사항들은 도 9와 관련하여 제공된다.
[0067] 이전에 논의된 바와 같이, 일부 실시예들에서, 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)은 서버(320)의 프로세싱 디바이스(322)의 컴포넌트들일 수 있다. 부가적인 또는 대안적인 실시예들에서, 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)은 서버(350)의 프로세싱 디바이스(352)의 컴포넌트들일 수 있다. 서버(350)는 제조 시스템(200)과 별개인 컴퓨팅 시스템을 포함하거나 그 일부일 수 있다. 이전에 설명된 바와 같이, 서버(320)는 일부 실시예들에서, 도 2와 관련하여 설명된 시스템 제어기(228)를 포함하거나 그 일부일 수 있다. 이러한 실시예들에서, 서버(350)는, (즉, 네트워크를 통해) 시스템 제어기(228)에 결합되지만 시스템 제어기(228)와 별개인 컴퓨팅 시스템을 포함하거나 그 일부일 수 있다. 예를 들어, 제조 시스템(200)의 사용자에게는 데이터 저장소(332)의 하나 이상의 부분들에 저장된 데이터 또는 프로세싱 디바이스(322)에서 실행되는 하나 이상의 프로세스들에 대한 액세스가 제공될 수 있다. 그러나, 제조 시스템(200)의 사용자에게는 데이터 저장소(354)의 하나 이상의 부분들에 저장된 임의의 데이터 또는 프로세싱 디바이스(352)에서 실행되는 임의의 프로세스들에 대한 액세스가 제공되지 않을 수 있다.
[0068] 프로세싱 디바이스(352)는 프로세싱 디바이스(322)와 유사한 방식으로 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)을 실행하도록 구성될 수 있다. 일부 실시예들에서, 서버(350)는 네트워크를 통해 제조 장비(122) 및/또는 인라인 계측 장비(130)에 결합될 수 있다. 따라서, 프로세싱 디바이스(352)는 프로세싱 디바이스(322)와 관련하여 설명된 실시예들에 따라, 트레이닝 세트(340) 및 검증 세트(342)를 생성하기 위해 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)에 의해 사용될 스펙트럼 데이터(336) 및 계측 데이터(338)를 획득할 수 있다. 다른 또는 유사한 실시예들에서, 서버(350)는 제조 장비(122) 및/또는 외부 계측 장비(130)에 결합되지 않는다. 따라서, 프로세싱 디바이스(352)는 프로세싱 디바이스(322)로부터 스펙트럼 데이터(336) 및/또는 계측 데이터(338)를 획득할 수 있다. 예를 들어, 프로세싱 디바이스(322)는 이전에 설명된 바와 같은 엔드포인트 검출 장비(124)로부터 스펙트럼 데이터(336)를 수신할 수 있다. 프로세싱 디바이스(322)는 수신된 스펙트럼 데이터(336)를 프로세싱 디바이스(352)에 (즉, 네트워크를 통해) 송신할 수 있다. 일부 실시예들에서, 프로세싱 디바이스(352)는 스펙트럼 데이터(336)를 데이터 저장소(352)에 저장할 수 있다. 일부 실시예들에서, 프로세싱 디바이스(322)는 유사하게, 기판에 대해 획득된 계측 데이터(338)를 프로세싱 디바이스(352)에 송신할 수 있다. 예를 들어, 이전에 설명된 바와 같이, 프로세싱 디바이스(322)는 인라인 계측 장비(128), 통합 계측 장비(130) 및/또는 외부 계측 장비(132)로부터 계측 데이터(338)를 수신할 수 있다. 프로세싱 디바이스(352)는 수신된 계측 데이터(338)를 프로세싱 디바이스(322)로부터 송신할 수 있고, 일부 실시예들에서, 계측 데이터(338)를 데이터 저장소(354)에 저장할 수 있다.
[0069] 프로세싱 디바이스(352)의 트레이닝 세트 생성기(324)는 이전에 설명된 실시예들에 따라 트레이닝 세트(340)를 생성할 수 있다. 프로세싱 디바이스(352)의 트레이닝 엔진(326)은 이전에 설명된 실시예들에 따라, 머신 러닝 모델(340)을 트레이닝 및/또는 검증할 수 있다. 일부 실시예들에서, 서버(350)는 제조 장비(122) 및/또는 서버 머신(320)과 상이한 다른 제조 장비 및/또는 다른 서버 머신들에 결합될 수 있다. 프로세싱 디바이스(352)는, 본원에 설명된 실시예들에 따라, 다른 제조 장비 및/또는 서버 머신들로부터 스펙트럼 데이터(336) 및/또는 계측 데이터(338)를 획득할 수 있다. 일부 실시예들에서, 트레이닝 세트(340) 및/또는 검증 세트(342)는, 프로세스 챔버(310)에서 프로세싱된 기판들에 대해 획득된 스펙트럼 데이터(336) 및 계측 데이터(338)뿐만 아니라, 다른 제조 시스템들의 프로세스 챔버들에서 프로세싱된 다른 기판들에 대해 획득된 다른 스펙트럼 데이터 및 계측 데이터에 기초하여 생성될 수 있다.
[0070] 트레이닝 엔진(326)이 프로세스 챔버(310)에서 미래의 기판들에 대한 미래의 스펙트럼 데이터에 적용될 트레이닝된 모델(334)을 선택하는 것에 응답하여, 프로세싱 디바이스(352)는 트레이닝된 모델(334)을 프로세싱 디바이스(322)에 송신할 수 있다. 이전에 설명된 바와 같이, 예측 엔진(328)은, 프로세스 챔버(310)에서 미래의 기판들에 대한 계측 측정 값들을 제공하기 위해, 트레이닝된 모델(334)을 사용할 수 있다.
[0071] 프로세싱 디바이스(322)의 프로세스 엔드포인트 엔진(330)은 프로세스 챔버(310)에서 기판에 대해 수행되는 프로세스의 엔드포인트를 검출하도록 구성될 수 있다. 프로세스 엔드포인트 엔진(330)은 예측 엔진(328)으로부터 프로세스 챔버(310)에서의 현재의 기판에 대한 계측 측정 값을 획득할 수 있다. 계측 측정 값이 계측 측정 기준을 충족시킨다고(예를 들어, 타깃 계측 측정 값에 대응함) 결정하는 것에 응답하여, 프로세스 엔드포인트 엔진(330)은 프로세스 챔버(310)에서 현재의 프로세스(또는 현재의 프로세스의 현재의 단계)를 종료하기 위한 커맨드를 포함하는 명령을 생성할 수 있다. 프로세싱 디바이스(322)는 명령을 제조 장비(122)에 송신하여, 현재의 기판에 대한 현재의 프로세스가 종료되게 할 수 있다. 계측 측정 기준이 충족되지 않는다고 결정하는 것에 응답하여, 프로세스 엔드포인트 엔진(330)은 현재의 기판에 대한 현재의 프로세스가 계속되게(예를 들어, 프로세스를 종료하기 위한 명령을 생성하지 않도록) 허용할 수 있다. 현재의 프로세스의 엔드포인트를 검출하는 것과 연관된 추가의 세부사항들은 도 10과 관련하여 제공된다.
[0072] 도 4는 본 개시내용의 양상들에 따른, 머신 러닝 모델을 트레이닝시키기 위한 방법(400)의 흐름도이다. 방법(400)은 하드웨어(회로, 전용 로직 등), 소프트웨어(예컨대, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행됨), 펌웨어 또는 이들의 일부 조합을 포함할 수 있는 프로세스 로직에 의해 수행된다. 하나의 구현예에서, 방법(400)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현예들에서, 방법(400)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 머신들에 의해 수행될 수 있다. 일부 양상들에서, 방법(400)의 하나 이상의 동작들은 도 3과 관련하여 설명된 서버 머신(320) 또는 서버 머신(350)의 트레이닝 세트 생성기(324)에 의해 수행될 수 있다.
[0073] 블록(410)에서, 프로세스 로직은 트레이닝 세트(T)를 비어있는(empty) 세트(예를 들어, {})로 초기화한다. 블록(412)에서, 프로세스 로직은 제조 시스템의 프로세스 챔버에서 프로세싱되는 기판과 연관된 스펙트럼 데이터를 획득한다. 일부 실시예들에서, 스펙트럼 데이터는 프로세스 챔버 내에 배치되거나 프로세스 챔버에 결합된 하나 이상의 센서들로부터 수신될 수 있다. 다른 또는 유사한 실시예들에서, 스펙트럼 데이터는 프로세스 챔버 내에 배치되거나 프로세스 챔버에 결합된 엔드포인트 검출 장비로부터 수신될 수 있다. 도 5는 본 개시내용의 양상들에 따른, 프로세스 챔버(310)에 결합된 엔드포인트 검출 장비(124)의 개략적인 측단면도이다. 일부 실시예들에서, 프로세스 챔버(310)는 부식성 플라즈마 환경이 제공되는 프로세스들을 위해 사용될 수 있다. 예를 들어, 프로세스 챔버(310)는 플라즈마 에칭기 또는 플라즈마 에칭 반응기, 플라즈마 세정기 등을 위한 챔버일 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 챔버(310)는 비-부식성 환경이 제공되는 프로세스들을 위해 사용될 수 있다. 예를 들어, 프로세스 챔버(310)는, 화학 기상 증착(CVD) 챔버, 물리 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 이온 보조 증착(IAD) 챔버 및 다른 타입들의 프로세싱 챔버들로서 사용될 수 있다.
[0074] 간략하게, 프로세스 챔버(310)는 내부 용적부(506)를 둘러싸는 챔버 본체(502) 및 덮개(530) 및/또는 샤워헤드(미도시)를 포함한다. 챔버 본체(502)는 일반적으로, 측벽들(508) 및 바닥부(510)를 포함한다. 샤워헤드는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트를 포함할 수 있다. 덮개(530) 및/또는 샤워헤드는 챔버 본체(502)의 측벽(508) 상에 지지될 수 있다. 덮개(530)(또는 샤워헤드)는 프로세스 챔버(510)의 내부 용적부(506)로의 액세스를 허용하도록 개방될 수 있고, 폐쇄되어 있는 동안에는 프로세스 챔버(510)에 대한 밀봉을 제공할 수 있다. 덮개(530) 및 노즐을 통해(예를 들어, 샤워헤드 또는 덮개 및 노즐의 애퍼처들을 통해) 그리고/또는 샤워헤드를 통해 내부 용적부(506)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 가스 패널(미도시)이 프로세스 챔버(510)에 결합될 수 있다. 배기 포트(526)가 챔버 본체(502)에 정의될 수 있고, 내부 용적부(506)를 펌프 시스템(528)에 결합시킬 수 있다. 펌프 시스템(528)은 프로세스 챔버(510)의 내부 용적부(506)의 압력을 배기 및 조절하는 데 활용되는 하나 이상의 펌프들 및 스로틀 밸브들을 포함할 수 있다. 기판 지지 조립체(548)가 내부 용적부(506), 덮개(530) 및/또는 샤워헤드에 배치된다. 기판 지지 조립체(548)는 프로세싱 동안에 도 2의 기판(202)과 같은 기판을 유지한다. 하나의 실시예에서, 기판 지지 조립체(548)는 정전 척(550)을 지지하는 페데스탈(552)을 포함한다.
[0075] 엔드포인트 검출 장비(124)는 기판(202)에 대한 기판 프로세스 동안에 내부 용적부(506)의 환경을 광학적으로 모니터링하도록 구성될 수 있다. 일부 실시예들에서, 엔드포인트 검출 장비(124)는 챔버 본체(502)에 기계적으로 결합될 수 있고, 내부 용적부(506)의 환경과 (즉, 광학 인터페이스(570)를 통해) 광학적으로 인터페이싱될 수 있다. 엔드포인트 검출 장비(124)는 시준기 조립체(554), 광섬유 번들(556), 광 컴포넌트(562), 프로세싱 디바이스(576) 및 일부 실시예들에서는 편광기 컴포넌트(586)를 포함할 수 있다. 도 5에 예시된 바와 같이, 시준기 조립체(554)는 챔버 인터페이스(570)에 결합될 수 있다. 일부 실시예들에서, 챔버 인터페이스(570)는 오리피스, 수렴 또는 발산 렌즈, 투명 슬래브 또는 시준기 조립체(554)와 내부 용적부(506)의 환경 사이에서 광을 전달할 수 있는 임의의 다른 디바이스 또는 재료일 수 있다. 도 5는 챔버 인터페이스(570)가 덮개(530) 내에 매립되는 것으로 묘사하지만, 챔버 인터페이스(570)는 프로세스 챔버(310)의 임의의 부분(예를 들어, 측벽(508), 바닥부(510) 등) 내에 매립되거나 그에 결합될 수 있다는 것에 유의해야 한다.
[0076] 광섬유 번들(556)의 제1 단부는 시준기 조립체(554)에 결합될 수 있고, 광섬유 번들(556)의 제2 단부는 광 컴포넌트(562)에 결합될 수 있다. 광 컴포넌트(562)는 광을 생성하도록 구성된 광원(564)을 포함할 수 있다. 본원에서, "광"은 가시, 원적외선 및 근적외선(IR), 원자외선 및 근자외선(UV) 등을 포함하는 임의의 스펙트럼 범위의 전자기 방사를 지칭한다. "광"은 편광되지 않은(예를 들어, 자연) 광, 선형으로, 원형으로 또는 타원형으로 편광된 광, 부분적으로 편광된 광, 집중된 광, 발산 광, 시준된 광 등을 더 포함할 수 있다. 일부 실시예들에서, 광원(564)은 발광 다이오드(LED), 레이저, 전구 등과 같은 협대역 광원을 포함할 수 있다. 다른 또는 유사한 실시예들에서, 광원(564)은 광대역 광원을 포함할 수 있다. 일부 실시예들에서, 광원(564)은 (함께 취하는 경우) 광대역 광 입력을 생성하는 다수의 협대역 광원들과 같은 하나보다 많은 컴포넌트 광원들을 포함할 수 있다. 광원(564)은 광의 스펙트럼 분포 및/또는 편광을 제어하기 위해 부가적인 광학 엘리먼트들(즉, 필터들, 흡광체들, 편광기들 등)을 포함할 수 있다.
[0077] 광원(564)에 의해 생성된 광(본원에서 입력 광으로 지칭됨)은 광학 번들(556)의 하나 이상의 방출 광섬유들(558)을 통해 시준기 조립체(554)로 투과될 수 있다. 방출 광섬유들(558)을 통해 입력 광을 수신하는 것에 응답하여, 시준기 조립체(554)는 입력 광을 광 빔(572)으로 변환하도록 구성될 수 있다. 예를 들어, 입력 광은 시준기 조립체(554)의 하나 이상의 광학 엘리먼트들, 예컨대 렌즈들, 반사기들, 필터들, 애퍼처들 등을 통과할 수 있다. 일부 실시예들에서, 시준기 조립체(554)에 의해 생성된 광 빔의 공간 특성들은 광 빔(572)의 다수의 스펙트럼 컴포넌트들에 대해 동일할 수 있다. 예를 들어, 광 빔(572)의 직경은 입력 광에 그리고 그에 따라 광 빔(572)에 포함된 다양한 스펙트럼 컴포넌트들의 광범위한 파장들(λ) 내에서 동일할 수 있다. 일부 실시예들에서, 시준기 조립체(554)는 하나 이상의 아크로매틱(achromatic) 렌즈들을 포함할 수 있다. 따라서, 시준기 조립체(554)에 의해 생성된 광 빔(572)은 아크로매틱 광 빔일 수 있다.
[0078] 도 5에 예시된 바와 같이, 일부 실시예들에서, 시준기 조립체(554)는 편광기 컴포넌트(568)를 포함할 수 있다. 편광기 컴포넌트(568)는 광원(564)에 의해 생성된 편광되지 않은(예를 들어, 자연) 광을 편광시키도록 구성된다. 예를 들어, 편광기 컴포넌트(568)는 편광되지 않은 입력 광을 선형으로, 원형으로 또는 타원형으로 편광된 광으로 변환할 수 있다. 도 5가 편광기 컴포넌트(568)가 시준기 조립체(554)의 일부인 것으로 예시하지만, 편광기 컴포넌트(568)는 입력 광을 광학 인터페이스(570)에 전달하는 엔드포인트 검출 장비(124)의 임의의 부분에 결합될 수 있다는 것에 유의해야 한다. 예를 들어, 편광기 컴포넌트(568)는 광원(564)의 출구, 하나 이상의 방출 광섬유들(558)의 출구, 시준기 조립체와 광학 인터페이스(570) 사이 등에 결합될 수 있다.
[0079] 시준기(572)는 광학 인터페이스(570)를 통해 기판 지지 조립체(548) 상에 배치된 기판(202)의 표면으로 광 빔(572)을 지향시킬 수 있다. 광 빔(572)은 반사된 광 빔(574)으로서 기판(202)의 표면으로부터 반사될 수 있고, 이는 시준기 조립체(554)에 의해 수신된다. 광학 번들(556)의 하나 이상의 수신 광섬유들(560)은 반사된 광 빔(574)을 광 컴포넌트(562)의 광 검출기(566)로 송신할 수 있다. 광 검출기(566)는 하나 이상의 분광기들, 분광계들, 회절 격자들, 미러들, 렌즈들, 포토다이오드들 및 다른 디바이스들을 포함할 수 있다. 광 검출기(566)는 단독으로 또는 프로세싱 디바이스(576)와 함께, 반사된 광 빔(574)에 기초하여 기판(202)의 표면과 연관된 하나 이상의 광학 응답들을 결정할 수 있다. 예를 들어, 광 검출기(566) 및/또는 프로세싱 디바이스(576)는 반사된 광(574)에 기초하여 기판(202)을 특성화하는 데 사용될 수 있는 반사율(R(λ)), 굴절률(n(λ)) 또는 임의의 다른 광학량을 결정할 수 있다. 일부 실시예들에서, 광학 응답들은, 기판(202)에 대해, 반사율의 편광 의존성, 반사 시의 편광 평면의 회전 각도, 발광 세기 등을 특성화하는 데 사용될 수 있다. 본 출원과 관련하여 설명된 바와 같은 스펙트럼 데이터는, 반사된 광(574)의 광학 응답들 및/또는 반사된 광(574)의 광학 응답들로부터 도출된, 기판(202)에 대한 광학 특성들에 대응하는 데이터를 지칭할 수 있다.
[0080] 일부 실시예들에서, 프로세싱 디바이스(576)는 프로세스 챔버(310)를 포함하는 제조 시스템을 위한 시스템 제어기(예를 들어, 시스템 제어기(228))의 일부로서 포함될 수 있다. 이러한 실시예들에서, 프로세싱 디바이스(576)는 기판(202)에 대해 생성된 스펙트럼 데이터를 프로세싱 디바이스(576)에 결합된 데이터 저장소(예를 들어, 데이터 저장소들(250, 332, 352) 등)에 저장할 수 있다. 다른 또는 유사한 실시예들에서, 프로세싱 디바이스(576)는, 시스템 제어기와는 별개이지만 네트워크를 통해 시스템 제어기에 결합되는 프로세싱 컴포넌트일 수 있다. 프로세싱 디바이스(576)는 제조 시스템의 개개의 데이터 저장소에 저장하기 위해 생성된 스펙트럼 데이터를 시스템 제어기에 송신할 수 있다.
[0081] 도 4를 다시 참조하면, 블록(414)에서, 프로세스 로직은 기판에 대한 계측 데이터를 획득한다. 이전에 설명된 바와 같이, 계측 데이터는 막 속성 데이터(예를 들어, 웨이퍼 공간 막 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등 중 하나 이상의 것의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 하나 이상의 표면 프로파일 속성 데이터(예를 들어, 에칭 레이트, 에칭 레이트 균일성, 기판의 표면 상에 포함된 하나 이상의 피처들의 임계 치수, 기판의 표면에 걸친 임계 치수 균일성, 에지 배치 에러 등)의 값을 더 포함할 수 있다. 일부 실시예들에서, 계측 측정들은 제조 시스템(200)의 계측 장비(예를 들어, 인라인 계측 장비(126), 통합 계측 장비(128) 등)로부터 수신될 수 있다. 예를 들어, 기판 프로세스가 완료된 후에, 기판은 제조 시스템(200)의 인라인 계측 장비(128) 또는 통합 계측 장비(130)로 이송될 수 있다. 인라인 계측 장비(128) 또는 통합 계측 장비(130)는 기판과 연관된 계측 데이터를 생성할 수 있고, 네트워크를 통해 프로세스 로직을 포함하는 컴퓨팅 디바이스(예를 들어, 서버 머신(320), 서버 머신(350) 등)에 계측 데이터를 송신할 수 있다. 다른 또는 유사한 실시예들에서, 본원에 설명된 바와 같이, 계측 측정들은 제조 시스템(200)과 별개인 계측 장비(예를 들어, 외부 계측 장비(132))로부터 수신될 수 있다.
[0082] 블록(416)에서, 프로세스 로직은 블록(412)에서 기판에 대해 획득된 스펙트럼 데이터에 기초하여 트레이닝 입력을 생성한다. 일부 실시예들에서, 트레이닝 입력은 기판에 대해 획득된 스펙트럼 데이터에 기초하여 생성된 정규화된 세트의 스펙트럼 데이터를 포함할 수 있다. 정규화된 세트의 스펙트럼 데이터는 특정 타입의 계측 측정에 대응하는 하나 이상의 스펙트럼 피처들을 포함할 수 있다. 트레이닝 입력을 생성하는 것에 관한 추가의 세부사항들은 도 6과 관련하여 설명된다. 블록(418)에서, 프로세스 로직은 블록(414)에서 기판에 대해 획득된 계측 데이터에 기초하여 타깃 출력을 생성할 수 있다. 타깃 출력은 기판과 연관된 계측 측정에 대한 값에 대응할 수 있다. 예를 들어, 블록(414)에서, 프로세스 로직은 에칭 프로세스 후에 기판에 대한 표면의 하나 이상의 부분들에서의 막의 두께를 표시하는 계측 데이터를 획득할 수 있다. 프로세스 로직은 에칭 프로세스에 대해 획득된 계측 데이터 및 프로세스 데이터에 기초하여 에칭 레이트 및/또는 에칭 레이트 균일성에 대응하는 타깃 출력을 생성할 수 있다.
[0083] 블록(420)에서, 프로세스 로직은 입력/출력 맵핑을 생성한다. 입력/출력 맵핑은 기판에 대한 데이터를 포함하거나 그에 기초하는 트레이닝 입력 및 트레이닝 입력에 대한 타깃 출력을 지칭하며, 여기서, 타깃 출력은 기판에 대한 계측 측정 값을 식별하고, 트레이닝 입력은 타깃 출력과 연관된다(또는 타깃 출력에 맵핑됨). 블록(422)에서, 프로세스 로직은 입력/출력 맵핑을 트레이닝 세트(T)에 부가한다.
[0084] 블록(424)에서, 프로세스 로직은 트레이닝 세트(T)가 머신 러닝 모델을 트레이닝시키기에 충분한 양의 트레이닝 데이터를 포함하는지를 결정한다. 일부 구현예들에서, 트레이닝 세트(T)의 충분함은 단지 트레이닝 세트 내의 입력/출력 맵핑들의 수에 기초하여 결정될 수 있는 반면, 일부 다른 구현예들에서, 트레이닝 세트(T)의 충분함은 입력/출력 맵핑들의 수 이외에 또는 그 대신에, 하나 이상의 다른 기준들(예를 들어, 트레이닝 예들의 다이버시티의 측정 등)에 기초하여 결정될 수 있다는 점에 유의해야 한다. 트레이닝 세트(T)가 머신 러닝 모델을 트레이닝시키기에 충분한 양의 트레이닝 데이터를 포함한다고 결정하는 것에 응답하여, 프로세스 로직은 머신 러닝 모델을 트레이닝시키기 위한 트레이닝 세트(T)를 제공한다. 트레이닝 세트가 머신 러닝 모델을 트레이닝시키기에 충분한 양의 트레이닝 데이터를 포함하지 않는다고 결정하는 것에 응답하여, 방법(400)은 블록(412)으로 복귀한다.
[0085] 블록(426)에서, 프로세스 로직은 머신 러닝 모델을 트레이닝시키기 위한 트레이닝 세트(T)를 제공한다. 일부 실시예들에서, 트레이닝 세트(T)는 트레이닝을 수행하기 위해 서버 머신(320) 및/또는 서버 머신(350)의 트레이닝 엔진(326)에 제공된다. 예를 들어, 뉴럴 네트워크의 경우, 주어진 입력/출력 맵핑의 입력 값들(예를 들어, 이전 기판에 대한 스펙트럼 데이터)이 뉴럴 네트워크에 입력되고, 입력/출력 맵핑의 출력 값들은 뉴럴 네트워크의 출력 노드들에 저장된다. 그 다음, 뉴럴 네트워크에서의 연결 가중치들은 러닝 알고리즘(예를 들어, 역전파 등)에 따라 조정되고, 절차는 트레이닝 세트(T) 내의 다른 입력/출력 맵핑들에 대해 반복된다. 블록(426) 후에, (예를 들어, 후술되는 도 8의 방법(800)에 따라) 머신 러닝 모델(190)이 제조 시스템에서 프로세싱되는 미래의 기판들에 대한 계측 값들을 제공하는 데 사용될 수 있다.
[0086] 도 6은 본 개시내용의 양상들에 따른, 머신 러닝 모델(예를 들어, 머신 러닝 모델(334))에 사용하기 위한 데이터를 획득하기 위한 방법(600)의 흐름도이다. 방법(600)은 하드웨어(회로, 전용 로직 등), 소프트웨어(예컨대, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행됨), 펌웨어 또는 이들의 일부 조합을 포함할 수 있는 프로세스 로직에 의해 수행된다. 하나의 구현예에서, 방법(600)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현예들에서, 방법(600)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 머신들에 의해 수행될 수 있다. 일부 양상들에서, 방법(600)의 하나 이상의 동작들은 도 3과 관련하여 설명된 서버 머신(320) 또는 서버 머신(350)의 트레이닝 세트 생성기(324)에 의해 수행될 수 있다. 부가적으로 또는 대안적으로, 방법(600)의 하나 이상의 동작들은 서버 머신(350)의 예측 엔진(328)에 의해 수행될 수 있다. 하나의 예에서, 트레이닝 세트 생성기(324)는 도 4의 방법(400)과 관련하여 설명된 실시예들에 따라, 트레이닝 입력을 생성하기 위해 블록들(610 내지 624) 중 하나 이상과 관련하여 설명된 동작들을 수행할 수 있다. 다른 예에서, 예측 엔진(328)은 도 8의 방법(800)과 관련하여 설명된 바와 같이, 트레이닝된 머신 러닝 모델에 대한 입력으로서 제공될 스펙트럼 데이터의 서브세트들을 획득하기 위해 블록들(620 내지 624) 중 하나 이상과 관련하여 설명된 동작들을 수행할 수 있다.
[0087] 블록(610)에서, 프로세스 로직은 기판과 연관된 스펙트럼 데이터를 획득할 수 있다. 일부 실시예들에서, 스펙트럼 데이터는 방법(400)의 블록(412)과 관련하여 설명된 바와 같이 트레이닝 세트 생성기(324)에 대한 프로세스 로직에 의해 획득된 스펙트럼 데이터에 대응할 수 있다. 다른 또는 유사한 실시예들에서, 스펙트럼 데이터는 본원에 설명된 바와 같이, 예측 엔진에 대한 프로세스 로직(328)에 의해 획득된 스펙트럼 데이터에 대응할 수 있다. 도 7a는 본원에 설명된 실시예들에 따른, 기판 프로세스 동안에 기판에 대해 수집된 스펙트럼 데이터를 예시한다. 도 7a에 예시된 바와 같이, 스펙트럼 데이터는 기판 프로세스의 개개의 시간 기간들 동안에 프로세스 챔버 내에 배치된 또는 프로세스 챔버에 결합된 센서들 또는 엔드포인트 검출 장비에 의해 검출된 바와 같은 광 신호의 특정 파장을 갖는 광파의 진폭에 대응할 수 있다. 예를 들어, 스펙트럼 데이터는 기판에 대한 에칭 프로세스의 초기(예를 들어, 시간 0 초), 중간(예를 들어, 시간 1 초 내지 시간 N-1 초) 및 최종(예를 들어, 시간 N 초) 시간 기간들 동안에 엔드포인트 검출 장비(124)에 의해 검출된 파장들(X, Y, Z)의 진폭에 대응할 수 있다.
[0088] 도 6을 다시 참조하면, 일부 실시예들에서, 프로세스 로직은 기판과 연관된 계측 데이터를 부가적으로 획득할 수 있다. 프로세스 로직은 방법(400)의 블록(414)과 관련하여 설명된 실시예들에 따라 계측 데이터를 획득할 수 있다.
[0089] 블록(612)에서, 프로세스 로직은 기판에 대해 수행된 기판 프로세스의 레이트와 연관된 데이터를 획득할 수 있다. 일부 실시예들에서, 기판 프로세스는 에칭 프로세스일 수 있고, 레이트 데이터는 에칭 프로세스에 대한 에칭 레이트일 수 있다. 다른 또는 유사한 실시예들에서, 기판 프로세스는 증착 프로세스일 수 있고, 레이트 데이터는 증착 프로세스에 대한 증착 레이트일 수 있다. 기판 프로세스는 에칭 프로세스 및/또는 증착 프로세스 이외의 다른 프로세스일 수 있고, 프로세스 로직은 개개의 기판 프로세스와 연관된 대응하는 레이트 데이터를 획득할 수 있다는 것에 유의해야 한다.
[0090] 일부 실시예들에서, 프로세스 로직은 기판에 대한 콘텍스추얼 데이터 및/또는 계측 데이터에 기초하여 레이트 데이터를 획득할 수 있다. 예를 들어, 획득된 계측 데이터는, 기판 프로세스 전에 그리고/또는 기판 프로세스 후에 기판의 표면 상에 증착된 막의 두께를 표시할 수 있다. 도 7b는 본원에 설명된 실시예들에 따른, 기판 프로세스에 대한 예시적인 레이트 데이터를 예시한다. 데이터 포인트(702)는 에칭 프로세스 전에 기판의 표면 상에 증착된 막의 두께를 표시한다. 데이터 포인트(704)는 기판 프로세스 후의 막의 두께를 표시한다. 본원에 설명된 실시예들에 따르면, 프로세스 로직은 에칭 프로세스 후에 기판에 대해 수집된 계측 데이터에 기초하여 데이터 포인트(704)를 획득할 수 있다. 일부 실시예들에서, 프로세스 로직은 에칭 프로세스 전에 기판에 대해 수집된 계측 데이터에 기초하여 데이터 포인트(702)를 획득할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 에칭 프로세스 전에 계측 장비에 의해 생성되지 않은 데이터에 기초하여 데이터 포인트(702)를 획득할 수 있다. 예를 들어, 제조 시스템의 사용자는, 제조 시스템에 결합된 클라이언트 디바이스를 통해, 기판 프로세스 전에 (예를 들어, 기판 규격으로부터의) 막의 두께와 연관된 데이터를 제공할 수 있다. 다른 예에서, 계측 장비는 다른 에칭 프로세스가 수행되기 전에 다른 기판에 대한 계측 데이터를 생성할 수 있다. 데이터 포인트(702)는 다른 기판에 대해 수집된 계측 데이터에 기초하여 획득된 막의 두께를 표시할 수 있다.
[0091] 일부 실시예들에서, 프로세스 로직은 (예를 들어, 데이터 저장소(150)에 저장된) 기판과 연관된 콘텍스추얼 데이터로부터 기판 프로세스의 길이의 표시를 획득할 수 있다. 예를 들어, 프로세스 로직은, 에칭 프로세스가 0 초의 초기 시간 기간에 기판에 대해 개시되었고 에칭 프로세스가 대략 N 초의 최종 시간 기간에 완료되었음을 표시하는 데이터를 데이터 저장소(150)로부터 검색할 수 있다. 따라서, 프로세스 로직은, 에칭 프로세스의 길이가 대략 N 초라고 결정할 수 있다.
[0092] 프로세스 로직은 기판 프로세스 전후의 막의 두께 사이의 차이 및 기판 프로세스의 길이에 기초하여 프로세스의 레이트를 결정할 수 있다. 예를 들어, 프로세스 로직은, 데이터 포인트(702)가 막 두께 10 옹스트롬(Å)에 대응하고 데이터 포인트(704)가 2 Å의 막 두께에 대응한다고(즉, 에칭 프로세스 동안에 8 Å의 막이 에칭되어 제거된다고) 결정할 수 있다. 획득된 콘텍스추얼 데이터에 기초하여, 프로세스 로직은 에칭 프로세스의 길이가 8 초라고 결정할 수 있다. 따라서, 프로세스 로직은, 에칭 프로세스에 대한 에칭 레이트(706)가 대략 1 Å/s인 것으로 결정할 수 있다.
[0093] 일부 실시예들에서, 프로세스 로직은 다른 기법들에 기초하여 기판 프로세스의 레이트를 결정할 수 있다는 것에 유의해야 한다. 일부 실시예들에서, 프로세스 로직은 제조 시스템의 사용자에 의해 제공된 데이터에 기초하여 기판 프로세스에 대한 레이트 데이터를 획득할 수 있다. 예를 들어, 제조 시스템의 사용자는 제조 시스템에 결합된 클라이언트 디바이스를 통해 에칭 프로세스의 에칭 레이트를 제공할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 기판과 연관된 프로세스 레시피 데이터에 기초하여 기판 프로세스의 레이트를 결정한다. 다른 예에서, 프로세스 로직은 데이터 저장소(150)로부터 에칭 프로세스 레시피 데이터를 획득하고, 에칭 프로세스의 하나 이상의 시간 기간들에서 프로세스 챔버에서 생성된 에칭 플라즈마의 양에 기초하여 에칭 프로세스에 대한 에칭 레이트를 결정할 수 있다. 일부 실시예들에서, 프로세스 로직은 또한, 기판 프로세스에 대한 지속기간 동안에 프로세스 레이트가 일정한지 여부를 결정할 수 있다. 예를 들어, 사용자는, 에칭 레이트가 에칭 프로세스에 대해 일정하다는 표시를 클라이언트 디바이스를 통해 제공할 수 있다. 대안적으로, 사용자는, 에칭 레이트가 에칭 프로세스에 대해 일정하지 않다는 표시를 제공할 수 있고, 에칭 프로세스 동안의 각각의 시간 인스턴스에서의 에칭 레이트의 표시를 제공할 수 있다. 다른 예에서, 이전에 설명된 실시예들에 따르면, 프로세스 로직은 프로세스 레시피 데이터에 기초하여 프로세스 레이트가 일정한지 여부를 결정할 수 있다. 프로세스 레이트가 일정하지 않으면, 프로세스 레이트는 또한, 프로세스 레시피 데이터에 기초하여(예를 들어, 프로세스 챔버에서의 각각의 시간 인스턴스에서 프로세스 챔버에서 생성된 에칭 플라즈마의 양에 기초하여) 프로세스 레이트를 결정할 수 있다.
[0094] 블록(614)에서, 프로세스 로직은 기판에 대한 획득된 레이트 데이터에 기초하여 기판에 대한 합성 계측 데이터를 생성할 수 있다. 일부 실시예들에서, 기판에 대한 합성 계측 데이터는, 기판 프로세스의 초기 시간 기간과 기판 프로세스의 최종 시간 기간 사이의 하나 이상의 중간 시간 기간들에서 기판의 표면 상의 추정된 막 두께에 대응할 수 있다. 일부 실시예들에서, 프로세스 로직은, 기판 프로세스 동안에 기판에 대한 스펙트럼 데이터가 생성된 각각의 중간 시간 기간에 대한 합성 계측 데이터를 생성할 수 있다. 도 7c는 본원에 설명된 실시예들에 따른, 기판에 대해 생성된 합성 데이터를 예시한다. 도 7b와 관련하여 설명된 바와 같이, 프로세스 로직은 데이터 포인트(702), 데이터 포인트(704) 및 에칭 프로세스의 길이(예를 들어, 에칭 프로세스에 대한 콘텍스추얼 데이터로부터 획득됨)에 기초하여 에칭 프로세스에 대한 에칭 레이트(706)를 결정할 수 있다. 프로세스 로직은 결정된 에칭 레이트(706)에 기초하여 에칭 프로세스 동안의 다른 시간 기간들에서의 막의 두께를 결정할 수 있다. 이전에 제공된 예에 따르면, 프로세스 로직은 에칭 프로세스의 길이가 대략 8초인 것으로 그리고 에칭 레이트(706)가 대략 1 Å/s인 것으로 결정할 수 있다. 따라서, 프로세스 로직은, 막이 에칭 프로세스의 개시 1초 후에 대략 9 Å 두께이고, 에칭 프로세스의 개시 2초 후에 대략 8 Å 두께 등인 것으로 추정할 수 있다. 합성 계측 데이터는 초기 시간 기간과 최종 시간 기간 사이의 한 세트의 시간 기간들 각각에서 추정된 막 두께에 대응할 수 있다.
[0095] 블록(616)에서, 프로세스 로직은 획득된 스펙트럼 데이터를 기판에 대한 획득된 계측 데이터 및 합성 계측 데이터에 맵핑할 수 있다. 도 7d에 예시된 바와 같이, 프로세스 로직은 기판 프로세스에 대한 초기 및 최종 시간 기간들에서 획득된 계측 데이터를 기판 프로세스의 개개의 시간 기간들 동안에 수집된 스펙트럼 데이터에 맵핑할 수 있다. 예를 들어, 프로세스 로직은 에칭 프로세스에 대한 초기 기간에서의 막의 초기 두께(즉, 데이터 포인트(702))를 초기 기간에서 엔드포인트 검출 장비(124)에 의해 검출된 각각의 파장의 진폭에 맵핑할 수 있다. 부가적으로 또는 대안적으로, 프로세스 로직은 에칭 프로세스의 각각의 개개의 중간 시간 기간과 연관된 생성된 합성 계측 데이터를 개개의 중간 시간 기간에서 검출된 각각의 파장의 진폭에 맵핑할 수 있다.
[0096] 블록(618)에서, 프로세스 로직은 기판에 대한 정규화된 스펙트럼 데이터를 생성할 수 있다. 정규화된 스펙트럼 데이터는, 상이한 프로세스 챔버들에서 또는 상이한 시간 인스턴스들에서 수행되는 기판 프로세스들(예를 들어, 오전 시프트(morning shift) 동안에 수행되는 프로세스들 대 오후 또는 저녁 시프트(afternoon or evening shift) 동안에 수행되는 프로세스들)에 대해 수집된 데이터에서 자연스럽게 유발되는 차이들을 설명하기 위해 조정된 스펙트럼 데이터를 지칭할 수 있다. 일부 실시예들에서, 프로세스 로직은 한 세트의 스펙트럼 데이터를 베이스라인 스펙트럼 데이터로서 선택함으로써 정규화된 스펙트럼 데이터를 생성할 수 있다. 일부 실시예들에서, 베이스라인 스펙트럼 데이터는 기판 프로세스의 초기 기간 동안에 수집된 스펙트럼 데이터일 수 있다. 프로세스 로직은 선택된 세트의 스펙트럼 데이터에 기초하여 검출된 광 신호의 하나 이상의 파장들의 진폭을 결정할 수 있다. 일부 실시예들에서, 프로세스 로직은 기판 프로세스의 초기 기간 동안에 검출된 광 신호의 각각의 파장의 진폭을 결정할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 검출된 광 신호의 특정 파장들의 진폭을 결정할 수 있다. 예를 들어, 프로세스 로직은, 기판에 대한 계측 데이터의 특정 계측 측정들에 대응하는 검출된 신호의 하나 이상의 특정 파장들을 결정할 수 있다.
[0097] 일부 실시예들에서, 프로세스 로직은 RCWA(rigorous coupled-wave analysis) 모델과 같은 파 분석 모델을 사용하여 특정 계측 측정들에 대응하는 하나 이상의 파장들을 결정할 수 있다. 파 분석 모델은, 다른 스펙트럼 파장들보다 더 높은 정확도를 갖는 특정 타입의 계측 측정과 연관된 계측 측정 값에 대응하는 스펙트럼 파장들(즉, 이론적 스펙트럼 파장들)을 제공하도록 트레이닝된 이론적 모델일 수 있다. 예를 들어, 파 분석 모델은 스펙트럼 파장들의 범위를 식별하도록 트레이닝될 수 있다. 스펙트럼 파장들의 범위의 일부 파장들은 기판의 표면에 걸친 임계 치수의 변화를 고려하여 파장들의 범위의 다른 파장들과 구별되는 거동을 나타낼 수 있다. 이러한 스펙트럼 파장들은 엔드포인트 검출 장비(200)에 의해 검출된 광 신호의 다른 스펙트럼 파장들보다 더 높은 정확도로 임계 치수 측정 값을 표시할 수 있다. 프로세스 로직은 획득된 스펙트럼 데이터의 하나 이상의 부분들을 파 분석 모델에 대한 입력으로서 제공할 수 있고, 파 분석 모델의 하나 이상의 출력들을 획득할 수 있다. 프로세스 로직은 하나 이상의 출력들로부터 특정 계측 측정에 대응하는 스펙트럼 파장들의 범위를 추출할 수 있다. 일부 실시예들에서, 스펙트럼 파장들의 범위는 광 스펙트럼의 특정 부분에 대응할 수 있다. 예를 들어, 스펙트럼 파장들의 범위는 가시광 스펙트럼의 특정 컬러(예를 들어, 녹색)에 대응할 수 있다. 부가적인 또는 대안적인 실시예들에서, 스펙트럼 파장들의 범위는 광 스펙트럼의 특정 파장들에 대응할 수 있다. 스펙트럼 파장들의 범위를 추출하는 것에 응답하여, 프로세스 로직은 한 세트의 스펙트럼 데이터에 포함될 스펙트럼 파장들의 범위 각각에 대한 베이스라인 스펙트럼 데이터를 선택할 수 있다.
[0098] 초기 시간 기간에서 검출된 광 신호의 하나 이상의 파장들에 대한 진폭을 결정하는 것에 응답하여, 프로세스 로직은, 기판 프로세스 동안에 스펙트럼 데이터가 수집된 각각의 후속 시간 기간에 대한 하나 이상의 파장들에 대한 진폭을 결정할 수 있다. 전술된 바와 같이, 엔드포인트 검출 장비(124)는 에칭 프로세스의 하나 이상의 중간 시간 기간들(예를 들어, 시간 1 초 내지 시간 N-1 초)에서 그리고 최종 시간 기간(예를 들어, 시간 N 초)에서 기판에 대한 스펙트럼 데이터를 수집할 수 있다. 따라서, 프로세스 로직은 각각의 중간 시간 기간 및 최종 시간 기간에서 검출된 광 신호의 하나 이상의 파장들 각각에 대한 진폭을 결정할 수 있다.
[0099] 일부 실시예들에서, 프로세스 로직은 에칭 프로세스의 초기 시간 기간에서의 하나 이상의 파장들의 진폭과 각각의 후속 시간 기간에서의(즉, 각각의 중간 시간 기간 및 최종 시간 기간에서의) 하나 이상의 파장들의 진폭 사이의 차이에 기초하여 기판에 대한 정규화된 스펙트럼 데이터를 생성될 수 있다. 예시적인 예에서, 프로세스 로직은, 초기 시간 기간에서의 파장(X)의 진폭이 대략 10 단위(unit)이고, 제1 중간 시간 기간에서의 파장(X)의 진폭이 대략 11 단위라고 결정할 수 있다. 정규화된 스펙트럼 데이터는, 제1 중간 시간 기간에서의 파장(X)에 대한 정규화된 진폭이 대략 1 단위임을 표시하는 제1 정규화된 스펙트럼 데이터 포인트를 포함할 수 있다(예를 들어, 초기 시간 기간에서의 파장(X)의 진폭과 제2 시간 기간에서의 파장(X)의 진폭 사이의 차이). 프로세스 로직은 또한, 제2 중간 시간 기간에서의 파장(X)이 대략 12 단위임을 결정할 수 있다. 따라서, 정규화된 스펙트럼 데이터는, 제2 중간 시간 기간에서의 파장(X)에 대한 정규화된 진폭이 대략 2 단위라는 것을 표시하는 제2 정규화된 스펙트럼 데이터 포인트를 포함할 수 있다. 위의 예에 따라, 프로세스 로직은 각각의 중간 시간 기간 및 최종 시간 기간에 대한 정규화된 스펙트럼 데이터를 생성할 수 있다.
[00100] 다른 또는 유사한 실시예들에서, 프로세스 로직은 에칭 프로세스의 각각의 후속 시간 기간에서의 하나 이상의 파장들의 진폭과 초기 시간 기간에서의 하나 이상의 파장들의 진폭 사이의 비에 기초하여 기판에 대한 정규화된 스펙트럼 데이터를 생성할 수 있다. 예를 들어, 프로세스 로직은, 초기 시간 기간에서의 파장(X)의 진폭이 대략 5 단위이고, 제1 중간 시간 기간에서의 파장(X)의 진폭이 대략 10 단위라고 결정할 수 있다. 정규화된 스펙트럼 데이터는, 제1 중간 시간 기간에서의 파장(X)에 대한 정규화된 진폭이 대략 2 단위임을 표시하는 제1 정규화된 스펙트럼 데이터 포인트를 포함할 수 있다(예를 들어, 제2 시간 기간에서의 파장(X)의 진폭과 제1 시간 기간에서의 파장(X)의 진폭 사이의 비).
[00101] 도 7d는 기판 프로세스에 대해 획득된 계측 데이터 및 합성 계측 데이터에 맵핑되는 예시적인 정규화된 스펙트럼 데이터를 추가로 예시한다. 전술된 바와 같이, 정규화된 스펙트럼 데이터는 엔드포인트 검출 장비(124)에 의해 수집된 미가공 스펙트럼 데이터에 존재하는 임의의 변동들(예를 들어, 프로세스 챔버 차이들, 프로세스 실행 시간 차이들 등)을 무시한다. 이는 도 7d에 예시되며, 여기서 각각의 라인은 도 7a의 개개의 파장에 대한 미가공 스펙트럼 데이터를 표현하는 각각의 라인과 비교하여 평활한 개개의 파장에 대한 정규화된 스펙트럼 데이터를 표현한다. 전술된 바와 같이, 일부 실시예들에서, 프로세스 로직은 초기 시간 기간에서의 하나 이상의 파장들의 진폭과 각각의 후속 시간 기간에서의 하나 이상의 파장들의 진폭 사이의 차이에 기초하여 정규화된 스펙트럼 데이터를 생성할 수 있다. 이러한 실시예들에서, 시간(0)에서, 각각의 파장의 크기는 대략 0 단위이다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 각각의 후속 시간 기간에서의 하나 이상의 파장들의 진폭과 초기 시간 기간에서의 하나 이상의 파장들의 진폭 사이의 비에 기초하여 정규화된 스펙트럼 데이터를 생성할 수 있다. 이러한 실시예들에서, 시간(0)에서, 각각의 파장의 크기는 대략 1 단위이다.
[00102] 도 6을 다시 참조하면, 블록(620)에서, 프로세스 로직은 정규화된 스펙트럼 데이터로부터, 특정 타입의 계측 측정에 대응하는 하나 이상의 스펙트럼 피처들을 포함하는 한 세트의 스펙트럼 데이터를 추출할 수 있다. 일부 실시예들에서, 프로세스 로직은 스펙트럼 데이터의 가장 중요한 피처들을 선택하기 위해 주 컴포넌트 분석(PCA)을 수행할 수 있다. 주 컴포넌트 분석은 실제 좌표 공간의 포인트들의 집합을 분석하여 포인트들의 집합에 대한 기초의 변경을 수행하는 것을 지칭한다. 일부 실시예들에서, 한 세트의 스펙트럼 피처들은 특정 타입의 계측 측정에 대응하는 검출된 광의 파장들의 범위를 포함한다. 이러한 실시예들에서, 프로세스 로직은 전술된 파 분석 모델의 출력에 기초하여 특정 파장들을 식별할 수 있다. 예를 들어, 프로세스 로직은 기판의 구조와 연관된 데이터(예를 들어, CD, 두께, 재료 특성, SWA 등)를 파 분석 모델에 대한 입력으로서 제공하고, 하나 이상의 출력들을 추출할 수 있다. 프로세스 로직은, 하나 이상의 출력들에 기초하여, 광 스펙트럼의 특정 범위가 특정 타입의 계측 측정에 대응하고 파장들(X 및 Y)이 특정 범위에 포함된다고 결정할 수 있다. 부가적인 또는 대안적인 실시예들에서, 파 분석 모델의 출력들은 파장들(X 및 Y)이 특정 타입의 계측 측정에 대응한다는 것을 표시할 수 있다. 특정 타입의 계측 측정에 대응하는 특정 파장들을 식별하는 것에 응답하여, 프로세스 로직은 정규화된 스펙트럼 데이터로부터 파장들(X 및 Y)에 대응하는 한 세트의 스펙트럼 데이터를 추출할 수 있다.
[00103] 일부 실시예들에서, 한 세트의 스펙트럼 피처들은 특정 타입의 계측 측정에 대응하는 하나 이상의 파장들에 대한 스펙트럼 데이터에 존재하는 스펙트럼 트렌드들 또는 패턴들을 포함한다. 프로세스 로직은 특정 타입의 계측 측정 값에 대응하는 스펙트럼 트렌드들 또는 패턴들을 식별하기 위해 하나 이상의 파장들에 대한 정규화된 스펙트럼 데이터에 대해 하나 이상의 분석 동작들(예를 들어, RCWA, 2차원 스캔 동작 등)을 수행할 수 있다. 일부 실시예들에서, 프로세스 로직은 특정 타입의 계측 측정과 연관된 특정 파장에 대한 정규화된 스펙트럼 데이터의 일부분을 식별할 수 있다. 예를 들어, 프로세스 로직은 초기 시간 기간과 제1 중간 시간 기간 사이의 파장(Y)에 대한 정규화된 스펙트럼 데이터가 임계 치수 측정과 연관됨을 식별할 수 있다. 도 7e의 데이터 범위(710)는 이러한 식별된 정규화된 스펙트럼 데이터를 표시한다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 특정 타입의 계측 측정과 연관된 각각의 파장에 대해 공통인 스펙트럼 트렌드들 또는 패턴들을 나타내는 정규화된 스펙트럼 데이터의 부분들을 식별할 수 있다. 예를 들어, 프로세스 로직은 파장(X) 및 파장(Y)에 대해 공통인 스펙트럼 트렌드들을 식별할 수 있다. 도 7e의 데이터 범위들(712, 714 및 716)은 이러한 식별된 정규화된 스펙트럼 데이터를 표시한다.
[00104] 다른 또는 유사한 실시예들에서, 프로세스 로직은 교정 기판에 대한 2차원 스캔의 결과에 기초하여 특정 타입의 측정 값에 대응하는 정규화된 스펙트럼 데이터의 부분들을 식별할 수 있다. 교정 기판은, 특정 기판 프로세스와 연관된 타깃 프로파일 피처들에 대응하는 하나 이상의 프로파일 피처들을 포함하는 기판을 지칭할 수 있다. 교정 기판은, 기판의 표면을 스캐닝하고 기판 프로파일의 토포그래피와 연관된 스펙트럼 데이터를 수집하도록 구성된 스캐닝 장비(예를 들어, 계측 장비 또는 다른 장비)에 배치될 수 있다. 수집된 스펙트럼 데이터는 기판 표면의 특정 부분들 또는 영역들과 각각 연관된 스펙트럼 데이터의 세트들을 포함할 수 있다. 프로세스 로직은 교정 기판에 대한 데이터를 획득할 수 있고, 스펙트럼 데이터의 세트들에 기초하여, 기판 표면의 다른 부분들에서의 다른 프로파일 패턴들과 별개인 프로파일 패턴을 포함하는 교정 기판의 부분 또는 영역을 식별할 수 있다. 프로세스 로직은 교정 기판에 대한 2차원 스캔 동안에 수집된 별개의 프로파일 패턴들에 대한 스펙트럼 데이터에 대응하는 파장들(X, Y 및/또는 Z)에 대한 정규화된 스펙트럼 데이터의 스펙트럼 트렌드들을 식별할 수 있다.
[00105] 도 6을 다시 참조하면, 블록(622)에서, 프로세스 로직은 추출된 세트의 스펙트럼 데이터로부터, 기판 프로세스의 특정 시간 기간과 연관된 스펙트럼 데이터의 서브세트를 식별할 수 있다. 일부 실시예들에서, 기판 프로세스의 특정 시간 기간은 기판 프로세스의 최종 시간 기간 및/또는 최종 시간 기간에 인접한 기판 프로세스의 하나 이상의 중간 시간 기간들을 포함할 수 있다. 다른 또는 유사한 실시예들에서, 기판 프로세스의 특정 시간 기간은 현재의 기판 프로세스에 대한 현재의 시간 기간에 가장 가까운 시간 기간을 포함할 수 있다. 도 7f는 에칭 프로세스의 최종 시간 기간(즉, 시간 N) 및 에칭 프로세스의 인접한 중간 시간 기간(즉, 시간 N-1)과 연관된 스펙트럼 데이터의 서브세트(718)를 예시한다. 프로세스 로직은 정규화된 스펙트럼 데이터로부터 추출된 데이터 범위들(710 내지 716)로부터 서브세트(718)를 식별할 수 있다.
[00106] 이전에 설명된 바와 같이, 방법(600)의 하나 이상의 동작들은 트레이닝 세트 생성기(324)에 의해 수행될 수 있다. 예를 들어, 트레이닝 세트 생성기(324)는 동작들(610 내지 622)을 수행하여 서브세트(718)를 획득할 수 있다. 서브세트(718)는 도 4와 관련하여 제공된 실시예들에 따라, 머신 러닝 모델(334)을 트레이닝시키기 위해 생성된 트레이닝 입력에 포함될 수 있다. 다른 또는 유사한 실시예들에서, 방법(600)의 하나 이상의 동작들은 예측 엔진(328)에 의해 수행될 수 있다. 예를 들어, 예측 엔진(328)은 동작들(610 및 618 내지 622)을 수행하여 서브세트(718)를 획득할 수 있다. 도 8과 관련하여 후술된 실시예들에 따라, 서브세트(718)는 트레이닝된 머신 러닝 모델(334)에 대한 입력으로서 제공될 수 있다.
[00107] 도 8은 본 개시내용의 양상들에 따른, 머신 러닝 모델을 사용하여 기판의 프로파일에 대한 계측 값을 추정하기 위한 방법(800)의 흐름도이다. 방법(800)은 하드웨어(회로, 전용 로직 등), 소프트웨어(예컨대, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행됨), 펌웨어 또는 이들의 일부 조합을 포함할 수 있는 프로세스 로직에 의해 수행된다. 하나의 구현예에서, 방법(800)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현예들에서, 방법(800)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 머신들에 의해 수행될 수 있다. 일부 양상들에서, 방법(800)의 하나 이상의 동작들은 도 3과 관련하여 설명된 서버 머신(320)의 예측 엔진(328)에 의해 수행될 수 있다.
[00108] 블록(810)에서, 프로세스 로직은 제조 시스템의 프로세스 챔버에서 프로세싱되고 있는 기판과 연관된 스펙트럼 데이터를 수신한다. 일부 실시예들에서, 스펙트럼 데이터는, 이전에 설명된 실시예들에 따른, 엔드포인트 검출 장비(124)와 같은 엔드포인트 검출 장비, 또는 프로세스 챔버 내에 배치되거나 또는 프로세스 챔버에 결합된 다른 센서들로부터 수신될 수 있다. 블록(812)에서, 특정 타입의 계측 측정에 대응하는 스펙트럼 피처의 표시를 포함하는 정규화된 스펙트럼 데이터의 하나 이상의 서브세트들을 획득한다. 일부 실시예들에서, 프로세스 로직은 도 6의 방법(600)과 관련하여 설명된 하나 이상의 동작들(예를 들어, 동작들(618 내지 622))을 수행함으로써 정규화된 스펙트럼 데이터의 하나 이상의 서브세트들을 획득할 수 있다. 블록(816)에서, 프로세스 로직은 정규화된 스펙트럼 데이터의 추출된 하나 이상의 서브세트들을 트레이닝된 머신 러닝 모델에 대한 입력으로서 제공한다. 일부 실시예들에서, 트레이닝된 머신 러닝 모델은 도 3과 관련하여 설명된 머신 러닝 모델(334)에 대응할 수 있다. 일부 실시예들에서, 도 9와 관련하여 후술된 실시예들에 따라, 트레이닝 엔진(326)은 예측 엔진(328)이 사용하기 위한 머신 러닝 모델(334)을 선택한다. 블록(818)에서, 프로세스 로직은 머신 러닝 모델의 하나 이상의 출력들을 획득한다. 블록(820)에서, 프로세스 로직은, 하나 이상의 출력들로부터, (i) 특정 타입의 계측 측정과 연관된 하나 이상의 계측 측정 값들 및 (2) 하나 이상의 계측 측정 값들 각각이 기판의 프로파일에 대응한다는 신뢰도 레벨의 표시를 식별하는 계측 측정 데이터를 추출한다. 하나의 예에서, 신뢰도 레벨은 0 내지 1(포함)의 실수이다. 일부 실시예들에서, 신뢰도 레벨은 확률에 대응하지 않는다는 것에 유의해야 한다. 예를 들어, 모든 계측 측정 값들에 대한 신뢰도 레벨들의 합은 1과 동일하지 않을 수 있다.
[00109] 일부 실시예들에서, 프로세스 로직은, 제조 시스템에서 프로세싱되고 있는 기판에 대한 계측 측정 값을 제공하기 위해 계측 측정 데이터를 사용할 수 있다. 일부 실시예들에서, 계측 측정 값에 대한 신뢰도 레벨이 임계 조건을 충족시킨다면, 기판은 계측 측정 값과 연관되는 것으로 식별된다. 프로세스 로직은, 신뢰도 레벨이 임계 신뢰도 레벨을 초과한다고 결정하는 것에 응답하여, 계측 측정 값에 대한 신뢰도 레벨이 임계 조건을 충족시킨다고 결정할 수 있다. 도 10과 관련하여 설명된 실시예들에 따르면, 프로세스 로직은 계측 측정 값을 프로세스 엔드포인트 엔진(330)에 제공할 수 있다.
[00110] 도 9는 본 개시내용의 양상들에 따른, 계측 측정 값의 타입을 추정하기 위한 머신 러닝 모델을 선택하기 위한 방법(900)의 흐름도이다. 방법(900)은 하드웨어(회로, 전용 로직 등), 소프트웨어(예컨대, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행됨), 펌웨어 또는 이들의 일부 조합을 포함할 수 있는 프로세스 로직에 의해 수행된다. 하나의 구현예에서, 방법(900)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현예들에서, 방법(900)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 머신들에 의해 수행될 수 있다. 일부 양상들에서, 방법(900)의 하나 이상의 동작들은 도 3과 관련하여 설명된 서버 머신들(320 또는 350)의 트레이닝 엔진(326)에 의해 수행될 수 있다.
[00111] 블록(910)에서, 프로세스 로직은 한 세트의 머신 러닝 모델들에 대한 트레이닝 데이터 및/또는 검증 데이터를 수신한다. 한 세트의 머신 러닝 모델들 각각은 상이한 머신 러닝 모델 타입에 대응할 수 있다. 예를 들어, 한 세트의 머신 러닝 모델들 각각은 선형 회귀 모델, 부분 최소 제곱 회귀 모델, 가우시안 회귀 모델, 랜덤 포레스트 모델, 지원 벡터 머신 모델, 뉴럴 네트워크, 리지 회귀 모델 등에 대응할 수 있다. 일부 실시예들에서, 프로세스 로직은 서버 머신들(320 또는 350)의 트레이닝 세트 생성기(324)로부터 트레이닝 데이터 및 검증 데이터를 수신할 수 있다. 트레이닝 세트 생성기(324)는 도 4의 방법(400)과 관련하여 설명된 실시예들에 따라 트레이닝 데이터를 생성할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 트레이닝 세트 생성기(324)로부터 트레이닝 데이터를 수신할 수 있고, 수신된 트레이닝 데이터에 기초하여 검증 데이터를 생성할 수 있다. 트레이닝 데이터는 도 3과 관련하여 설명된 트레이닝 세트(340)에 대응할 수 있고, 검증 데이터는 도 3과 관련하여 설명된 검증 세트(342)에 포함된 데이터에 대응할 수 있다.
[00112] 블록(912)에서, 프로세스 로직은 수신된 트레이닝 데이터를 사용하여 한 세트의 머신 러닝 모델들 각각을 트레이닝시킨다. 블록(914)에서, 프로세스 로직은 검증 데이터를 사용하여 한 세트의 머신 러닝 모델들 각각에 대한 하나 이상의 테스트 동작들을 수행한다. 전술된 바와 같이, 검증 세트(342)는, 트레이닝 데이터에 포함된 스펙트럼 데이터 및 계측 데이터와 상이한, 프로세스 챔버에서 프로세싱된 이전의 기판에 대한 스펙트럼 데이터 및 계측 데이터를 포함할 수 있다. 하나 이상의 테스트 동작들을 수행하기 위해, 프로세스 로직은 검증 세트(342)의 스펙트럼 데이터를 한 세트의 트레이닝된 머신 러닝 모델들 각각에 대한 입력으로서 제공할 수 있고 트레이닝된 모델들 각각의 하나 이상의 출력들을 획득할 수 있다. 본원에 제공된 실시예들에 따르면, 프로세스 로직은 획득된 하나 이상의 출력들로부터 계측 측정 값을 추출할 수 있다.
[00113] 블록(916)에서, 프로세스 로직은 블록(914)과 관련하여 수행된 하나 이상의 테스트 동작들의 결과에 기초하여 한 세트의 머신 러닝 모델들 각각에 성능 등급을 할당한다. 일부 실시예들에서, 프로세스 로직은 머신 러닝 모델에 대해 결정된 정확도 스코어에 기초하여 개개의 머신 러닝 모델에 성능 등급을 할당할 수 있다. 프로세스 로직은, 개개의 머신 러닝 모델의 출력(들)으로부터 추출된 계측 측정 값과, 기판에 대한 실제 계측 측정 값 사이의 차이가 임계 차이 값 아래로 떨어지는 것에 기초하여 정확도 스코어를 결정할 수 있다. 예를 들어, 블록(914)과 관련하여 설명된 바와 같이, 프로세스 로직은 검증 세트(342)로부터의 스펙트럼 데이터를 입력으로서 개개의 머신 러닝 모델에 제공할 수 있고 모델의 출력으로부터 계측 값을 추출할 수 있다. 프로세스 로직은 추출된 계측 값을 검증 세트(342)의 제공된 스펙트럼 데이터와 연관된 실제 계측 측정 값과 비교할 수 있다. 프로세스 로직은 추출된 값과 검증 세트(342)의 실제 값 사이의 차이에 기초하여 모델에 정확도 스코어를 할당할 수 있다. 예를 들어, 프로세스 로직은, 모델에 의해 생성된 추출된 값과 실제 값 사이의 차이가 작으면, 높은 정확도 스코어를 개개의 모델에 할당할 수 있다. 유사하게, 프로세스 로직은 차이가 크면, 낮은 정확도 스코어를 개개의 모델에 할당할 수 있다.
[00114] 부가적인 또는 대안적인 실시예들에서, 프로세스 로직은 추가로, 머신 러닝 모델에 대해 결정된 속도 스코어에 기초하여 개개의 머신 러닝 모델에 성능 등급을 할당할 수 있다. 일부 실시예들에서, 프로세스 로직은, 프로세스 로직이 스펙트럼 데이터를 입력으로서 모델에 제공한 후에, 모델의 하나 이상의 출력들이 획득된 시간량에 기초하여 속도 스코어를 결정할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은, 프로세스 로직이 스펙트럼 데이터를 입력으로서 모델에 제공한 후에, 프로세스 로직이 하나 이상의 획득된 출력들로부터 계측 측정 값을 추출하는 시간량에 기초하여 속도 스코어를 결정할 수 있다. 하나의 예에서, 프로세스 로직이 스펙트럼 데이터를 입력으로서 모델에 제공한 후에, 모델이 하나 이상의 출력들을 제공한(또는 프로세스 로직이 계측 측정 값을 추출한) 시간량이 작다면, 프로세스 로직은 높은 속도 스코어를 개개의 모델에 할당할 수 있다.
[00115] 일부 실시예들에서, 프로세스 로직은 머신 러닝 모델에 대해 결정된 효율 스코어에 기초하여 개개의 머신 러닝 모델에 성능 등급을 할당할 수 있다. 도 3과 관련하여 설명된 바와 같이, 일부 실시예들에서, 트레이닝 엔진(356)은 서버 머신(320)과 별개인 서버 머신(350)에 포함될 수 있다. 이러한 실시예들에서, 트레이닝 엔진(356)은 서버 머신(350)에서 한 세트의 머신 러닝 모델들 각각을 트레이닝시킬 수 있다. 트레이닝 엔진(356)은, 한 세트의 머신 러닝 모델들 중 어느 것이 예측 엔진(328)에 의한 사용을 위해 서버 머신(320)에 송신될지를 결정하기 위해, 블록(914)과 관련하여 설명된 하나 이상의 테스트 동작들을 수행할 수 있다. 프로세스 로직은, 서버 머신(350)으로부터 서버 머신(320)으로 개개의 머신 러닝 모델을 송신하는 것 및/또는 서버 머신(320)에서 개개의 머신 러닝 모델을 초기화하는 것과 관련하여 (예를 들어, 제조 시스템에 대한) 전체 시스템 효율에 기초하여 개개의 머신 러닝 모델에 대한 효율 스코어를 결정할 수 있다. 일부 실시예들에서, 프로세스 로직은 개개의 트레이닝된 머신 러닝 모델을 저장하는 데 사용되는 메모리의 양, 서버 머신(320)의 데이터 저장소(332)에서 이용 가능한 메모리의 양, 개개의 트레이닝된 머신 러닝 모델을 서버 머신(320)에 송신하기 위해 이용 가능한 네트워크 대역폭의 양 등에 기초하여 효율 스코어를 결정할 수 있다.
[00116] 블록(918)에서, 프로세스 로직은 머신 러닝 모델들 각각에 대해 할당된 성능 등급에 기초하여 성능 기준이 충족되는지 여부를 결정한다. 일부 실시예들에서, 프로세스 로직은 개개의 머신 러닝 모델에 대해 할당된 성능 등급(즉, 정확도 스코어, 그리고 일부 실시예들에서는 속도 스코어 및/또는 효율 스코어에 기초하여 결정됨)이 임계 성능 스코어를 초과하는지 여부를 결정함으로써 성능 기준이 충족되는지 여부를 결정할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은, 개개의 모델에 대한 정확도 스코어가 임계 스코어를 초과하는지 여부 및 개개의 모델에 대한 전체 성능 등급(즉, 정확도 스코어 및 속도 스코어 및/또는 효율 스코어에 기초하여 결정됨)이 임계 성능 스코어를 초과하는지 여부를 결정함으로써 성능 기준이 충족되는지 여부를 결정할 수 있다. 일부 실시예들에서, 하나보다 많은 트레이닝된 머신 러닝 모델은 각각 임계 스코어 및/또는 임계 등급을 충족시키는 정확도 스코어 및/또는 성능 등급과 연관될 수 있다. 이러한 실시예들에서, 프로세스 로직은, 하나보다 많은 트레이닝된 모델들의 개개의 모델이 성능 기준을 충족시키는 최고 정확도 스코어 및/또는 성능 등급과 연관된다고 결정할 수 있다. 일부 실시예들에서, 프로세스 로직은, 한 세트의 머신 러닝 모델들의 어떠한 트레이닝된 머신 러닝 모델도, 임계 스코어 및/또는 임계 등급을 각각 충족시키는 정확도 스코어 및/또는 성능 등급과 연관되지 않는다고 결정할 수 있다. 이러한 실시예들에서, 프로세스 로직은 어떠한 모델도 성능 기준을 충족시키지 않는다고 결정할 수 있다.
[00117] 성능 기준이 충족된다고 결정하는 것에 응답하여, 프로세스 로직은 블록(920)으로 진행한다. 블록(920)에서, 프로세스 로직은 미래의 기판 프로세스에 따라 프로세싱되는 미래의 기판들에 대해 수집되는 미래의 스펙트럼 데이터에 적용될 개개의 머신 러닝 모델을 선택한다. 전술된 바와 같이, 일부 실시예들에서, 트레이닝 엔진(326)은 예측 엔진(328)과 함께 서버 머신(320)에 포함될 수 있다. 이러한 실시예들에서, 미래의 스펙트럼 데이터에 적용될 개개의 머신 러닝 모델을 선택하는 것에 응답하여, 프로세스 로직은 개개의 모델 및/또는 개개의 모델이 예측 엔진(328)에 의해 사용될 것이라는 표시를 데이터 저장소(332)에 저장할 수 있다. 다른 실시예들에서, 트레이닝 엔진(326)은 서버 머신(350)에 포함될 수 있다. 이러한 실시예들에서, 트레이닝 엔진(326)이 미래의 스펙트럼 데이터에 적용될 개개의 머신 러닝 모델을 선택하는 것에 응답하여, 서버 머신(350)은 데이터 저장소(150)에 저장하기 위해 개개의 머신 러닝 모델을 서버(320)에 송신할 수 있다.
[00118] 성능 기준이 충족되지 않는다고 결정하는 것에 응답하여, 프로세스 로직은 블록(922)으로 진행한다. 블록(922)에서, 프로세스 로직은 한 세트의 머신 러닝 모델들의 추가의 트레이닝을 위한 추가의 트레이닝 데이터를 수신한다. 이전에 설명된 실시예들에 따르면, 프로세스 로직은 트레이닝 세트 생성기(324)로부터 부가적인 트레이닝 데이터를 수신할 수 있다.
[00119] 도 10은 본 개시내용의 양상들에 따른, 머신 러닝 모델을 사용하여 기판 프로세스의 엔드포인트를 검출하기 위한 방법(1000)의 흐름도이다. 방법(1000)은 하드웨어(회로, 전용 로직 등), 소프트웨어(예컨대, 범용 컴퓨터 시스템 또는 전용 머신 상에서 실행됨), 펌웨어 또는 이들의 일부 조합을 포함할 수 있는 프로세스 로직에 의해 수행된다. 하나의 구현예에서, 방법(1000)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현예들에서, 방법(1000)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 머신들에 의해 수행될 수 있다. 일부 양상들에서, 방법(1000)의 하나 이상의 동작들은 도 3과 관련하여 설명된 서버 머신(320)의 프로세스 엔드포인트 엔진(330)에 의해 수행될 수 있다.
[00120] 블록(1010)에서, 프로세스 로직은 제조 시스템(200)에서 현재의 프로세스에 따라 프로세싱된 현재의 기판과 연관된 스펙트럼 데이터를 수신한다. 일부 실시예들에서, 프로세스 로직은, 이전에 설명된 바와 같이, 현재의 프로세스를 수행하는 프로세스 챔버 내에 배치되거나 그에 결합된 다른 센서들 또는 엔드포인트 검출 장비(124)로부터 현재의 스펙트럼 데이터를 수신할 수 있다. 일부 실시예들에서, 프로세스 로직은 현재의 프로세스의 특정 시간 기간들에서 현재의 스펙트럼 데이터를 수신할 수 있다. 예를 들어, 엔드포인트 검출 장비(124)는 기판 프로세스 동안에 특정 인터벌들로(예를 들어, 매 초마다 한번) 현재의 기판에 대한 스펙트럼 데이터를 수집하도록 구성될 수 있다. 엔드포인트 검출 장비(124)는 기판 프로세스의 개개의 인터벌에서 스펙트럼 데이터를 수집하고, 스펙트럼 데이터를 서버 머신(320)에 송신할 수 있으며, 여기서 스펙트럼 데이터는 프로세스 엔드포인트 엔진(330)의 프로세스 로직에 의해 수신된다.
[00121] 블록(1012)에서, 프로세스 로직은 기판 기준이 충족되는지 여부를 결정한다. 일부 실시예들에서, 프로세스 로직은, 수신된 스펙트럼 데이터가 특정 타입의 기판 프로세스 및/또는 특정 타입의 기판과 연관된 스펙트럼 데이터에 대응한다고 결정함으로써, 기판 기준이 충족된다고 결정할 수 있다. 예를 들어, 프로세스 로직은 특정 타입의 프로세스에 따라 프로세싱된 특정 타입의 기판에 대해 이전에 수집된 스펙트럼 데이터를 (예를 들어, 데이터 저장소(150)로부터) 검색할 수 있다. 이전에 수집된 스펙트럼 데이터는 기판의 타입 및/또는 프로세스의 타입 또는 프로세스 타입의 특정 단계 또는 시간 기간에 특정한 하나 이상의 스펙트럼 데이터 피처들(예를 들어, 스펙트럼 시그니처)을 포함할 수 있다. 프로세스 로직은, 현재의 기판에 대해 수신된 스펙트럼 데이터가 이전에 수집된 스펙트럼 데이터에 포함된 개개의 스펙트럼 데이터 피처들에 대응하는(즉, 대략 동일한) 하나 이상의 스펙트럼 데이터 피처들을 포함하는지 여부를 결정할 수 있다.
[00122] 현재의 스펙트럼 데이터 피처들이 이전에 수집된 데이터의 스펙트럼 피처들에 대응하지 않는다고 결정하는 것에 응답하여, 프로세스 로직은 기판 기준이 충족되지 않는다고 결정할 수 있다. 현재의 스펙트럼 데이터 피처들이 이전에 수집된 데이터의 스펙트럼 피처들에 대응하지 않는다는 결정은, 현재의 기판이 기판 프로세스에 대한 예상된 타입의 기판과 연관되지 않거나 또는 현재의 프로세스 또는 현재의 프로세스의 단계가 현재의 프로세스의 예상된 타입 또는 현재의 단계와 대응하지 않는다는 것을 표시할 수 있다. 따라서, 기판 기준이 충족되지 않는다고 프로세스 로직이 결정하는 것에 응답하여, 방법(1000)은 프로세스 로직이 제조 시스템(200)의 클라이언트 디바이스에 경고를 송신하는 블록(1014)으로 계속된다. 경고는, 현재의 기판이 현재의 기판의 예상된 타입에 대응하지 않을 수 있고 그리고/또는 현재의 프로세스 또는 프로세스의 단계가 프로세스의 예상된 타입 또는 단계에 대응하지 않을 수 있다는 통지를 포함할 수 있다.
[00123] 현재의 스펙트럼 데이터 피처들이 이전에 수집된 데이터의 스펙트럼 피처들에 대응한다고 결정하는 것에 응답하여, 프로세스 로직은 기판 기준이 충족된다고 결정할 수 있다. 기판 기준이 충족된다고 프로세스 로직이 결정하는 것에 응답하여, 방법(1000)은 블록(1016)으로 계속된다. 블록(1016)에서, 프로세스 로직은 트레이닝된 머신 러닝 모델에 대한 입력으로서 사용될 스펙트럼 데이터를 제공한다. 일부 실시예들에서, 프로세스 로직은 스펙트럼 데이터를 예측 엔진(328)에 제공할 수 있고, 이는 예측 엔진(328)으로 하여금 도 8과 관련하여 설명된 방법(800)의 하나 이상의 동작들을 수행하게 할 수 있다.
[00124] 블록(1018)에서, 프로세스 로직은 트레이닝된 머신 러닝 모델의 하나 이상의 출력들로부터 추출된 계측 측정 값을 획득한다. 일부 실시예들에서, 프로세스 로직은 방법(800)에 따라 획득된, 계측 측정 값의 표시를 예측 엔진(328)으로부터 수신할 수 있다. 블록(1020)에서, 프로세스 로직은 계측 측정 기준이 충족되는지 여부를 결정한다. 일부 실시예들에서, 프로세스 로직은, 추출된 계측 측정 값이 현재의 프로세스의 엔드포인트와 연관된 계측 측정 값에 대응하는지 여부를 결정함으로써, 계측 측정 기준이 충족되는지 여부를 결정할 수 있다. 추출된 계측 측정 값은, 추출된 값과 엔드포인트 값 사이의 차이가 임계 차이 값 아래로 떨어지면, 현재의 프로세스의 엔드포인트와 연관된 계측 측정 값에 대응할 수 있다.
[00125] 계측 측정 기준이 충족된다고 프로세스 로직이 결정하는 것에 응답하여, 방법(1000)은 블록(1022)으로 진행한다. 블록(1022)에서, 프로세스 로직은 제조 시스템에서 현재의 프로세스를 종료하기 위한 명령을 생성한다. 일부 실시예들에서, 프로세스 로직은 명령을 시스템 제어기(예를 들어, 시스템 제어기(228))에 송신할 수 있고, 이는 시스템 제어기로 하여금 현재의 프로세스를 종료하게 한다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 프로세스 챔버에 대한 로컬 프로세싱 디바이스에 명령을 송신할 수 있으며, 이는 프로세스 챔버로 하여금 현재의 프로세스를 종료하게 한다. 부가적인 또는 대안적인 실시예들에서, 프로세스 로직은 부가적인 트레이닝 데이터로서 사용되도록 스펙트럼 데이터 및 추출된 계측 측정 값을 트레이닝 세트 생성기(324)에(즉, 서버 머신(320)의 또는 서버 머신(350)의) 송신할 수 있다.
[00126] 계측 측정 기준이 충족되지 않는다고 프로세스 로직이 결정하는 것에 응답하여, 방법(1000)은 블록(1024)으로 진행한다. 블록(1024)에서, 프로세스 로직은 현재의 기판에 대한 현재의 프로세스를 계속한다. 일부 실시예들에서, 프로세스 로직은, 프로세스 챔버가 현재의 프로세스를 계속하도록 하기 위한 명령을 시스템 제어기(228) 또는 로컬 제어기에 송신함으로써 현재의 프로세스를 계속할 수 있다. 다른 또는 유사한 실시예들에서, 프로세스 로직은 어떠한 명령(들)도 생성 및 송신하지 않음으로써 현재의 프로세스를 계속할 수 있다. 전술된 바와 같이, 프로세스 로직은 부가적인 트레이닝 데이터로서 사용되도록 스펙트럼 데이터 및 추출된 계측 측정 값을 트레이닝 세트 생성기(324)에 송신할 수 있다.
[00127] 블록(1020)을 다시 참조하면, 일부 실시예들에서, 프로세스 로직은, 추출된 계측 측정 값이 현재의 프로세스에 대한 시간 기간과 연관된 예상된 계측 측정 값들의 범위 내에 있는지 여부를 결정함으로써, 계측 측정 기준이 충족되는지 여부를 추가로 결정할 수 있다. 예상된 계측 측정 값들의 범위는 현재의 프로세스의 현재의 시간 기간에서 현재의 기판과 연관될 것으로 예상되는 한 세트의 값들을 포함할 수 있다. 예를 들어, 시간 기간이 현재의 기판 프로세스에 대한 최종 시간 기간일 것으로 예상되는 경우(즉, 현재의 기판 프로세스 및 이전 기판 프로세스들에 대한 프로세스 데이터를 고려하여), 예상되는 계측 측정 값들의 범위는 엔드포인트 계측 값 및 인접한 예상된 값들(예를 들어, 엔드포인트 값 ± X)을 포함할 수 있다. 추출된 계측 측정 값이 계측 측정 값들의 예상된 범위 내에 있지 않다고 결정하는 것에 응답하여, 프로세스 로직은 전술된 바와 같이 제조 시스템의 클라이언트 디바이스에 경고를 송신할 수 있다. 일부 실시예들에서, 프로세스 로직은, 추출된 계측 측정 값을 고려하여, 트레이닝된 머신 러닝 모델의 정확도가 더 이상 정확도 기준을 충족시키지 않는다고(즉, 트레이닝된 머신 러닝 모델의 전체 정확도가 임계 전체 정확도 미만으로 떨어졌다고) 결정할 수 있다. 따라서, 프로세스 로직은, 머신 러닝 모델이 재트레이닝되어야 한다는 것을 표시하는 통지를 클라이언트 디바이스에 송신할 수 있다. 일부 실시예들에서, 프로세스 로직은 본원에 설명된 실시예들에 따라, 머신 러닝 모델을 재트레이닝시키기 위한 통지를 트레이닝 세트 생성기(324) 및/또는 트레이닝 엔진(326)에 송신할 수 있다.
[00128] 도 11은, 머신으로 하여금 본원에서 논의되는 방법들 중 임의의 하나 이상의 방법들을 수행하게 하기 위한 한 세트의 명령들이 내부에서 실행될 수 있는 컴퓨팅 디바이스(1100)의 예시적인 형태로 머신의 도식적 표현을 묘사한다. 대안적인 실시예들에서, 머신은 로컬 영역 네트워크(LAN), 인트라넷, 엑스트라넷 또는 인터넷의 다른 머신들에 연결될(예를 들어, 네트워킹될) 수 있다. 머신은 클라이언트-서버 네트워크 환경의 서버 또는 클라이언트 머신의 자격으로 또는 피어-투-피어(또는 분산형) 네트워크 환경의 피어 머신으로서 동작할 수 있다. 머신은 개인용 컴퓨터(PC), 태블릿 컴퓨터, 셋톱 박스(STB), 개인 휴대 정보 단말기(PDA), 셀룰러 전화기, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지 또는 자신이 수행할 액션들을 특정하는 한 세트의 명령들을 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 머신일 수 있다. 또한, 단지 단일 머신만이 예시되지만, 용어 "머신"은 본원에서 논의되는 방법들 중 임의의 하나 이상의 방법들을 수행하도록 한 세트(또는 다수의 세트들)의 명령들을 개별적으로 또는 공동으로 실행하는 머신들(예를 들어, 컴퓨터들)의 임의의 집합을 포함하는 것으로 또한 여겨질 것이다. 실시예들에서, 컴퓨팅 디바이스(1100)는 본원에 설명된 바와 같은, 서버 머신(170), 서버 머신(180), 예측 서버(112), 시스템 제어기(228), 서버 머신(320) 또는 서버 머신(350) 중 하나 이상에 대응할 수 있다.
[00129] 예시적인 컴퓨팅 디바이스(1100)는 프로세싱 디바이스(1102), 메인 메모리(1104)(예를 들어, 판독 전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 예컨대 동기식 DRAM(SDRAM) 등), 정적 메모리(1106)(예를 들어, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등) 및 2차 메모리(예를 들어, 데이터 저장 디바이스(1128))를 포함하며, 이들은 버스(1108)를 통해 서로 통신한다.
[00130] 프로세싱 디바이스(1102)는 하나 이상의 범용 프로세서들, 예컨대 마이크로프로세서, 중앙 프로세싱 유닛 등을 나타낼 수 있다. 보다 구체적으로, 프로세싱 디바이스(1102)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스(1102)는 또한, 주문형 집적 회로(ASIC), 필드 프로그램 가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 프로세싱 디바이스(1102)는 또한, 시스템 온 칩(SoC), 프로그램 가능 로직 제어기(PLC) 또는 다른 타입의 프로세싱 디바이스이거나 이를 포함할 수 있다. 프로세싱 디바이스(1102)는 본원에서 논의된 동작들 및 단계들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다.
[00131] 컴퓨팅 디바이스(1100)는 네트워크(1164)와 통신하기 위한 네트워크 인터페이스 디바이스(1122)를 더 포함할 수 있다. 컴퓨팅 디바이스(1100)는 또한, 비디오 디스플레이 유닛(1110)(예를 들어, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 영숫자 입력 디바이스(1112)(예를 들어, 키보드), 커서 제어 디바이스(1114)(예를 들어, 마우스) 및 신호 생성 디바이스(1120)(예를 들어, 스피커)를 포함할 수 있다.
[00132] 데이터 저장 디바이스(1128)는 본원에 설명된 방법들 또는 기능들 중 임의의 하나 이상을 구현하는 하나 이상의 세트들의 명령들(1126)이 저장되는 머신 판독 가능 저장 매체(또는 보다 구체적으로는 비일시적 컴퓨터 판독 가능 저장 매체)(1124)를 포함할 수 있다. 여기서, 비일시적 저장 매체는 반송파 이외의 저장 매체를 지칭한다. 명령들(1126)은 또한, 컴퓨터 디바이스(1100)에 의한 그 명령들의 실행 동안에 메인 메모리(1104) 내에 그리고/또는 프로세싱 디바이스(1102) 내에 완전히 또는 적어도 부분적으로 상주할 수 있고, 메인 메모리(1104) 및 프로세싱 디바이스(1102)는 또한, 컴퓨터 판독 가능 저장 매체들을 구성한다.
[00133] 컴퓨터 판독 가능 저장 매체(1124)가 예시적인 실시예에서 단일 매체인 것으로 도시되어 있지만, 용어 "컴퓨터 판독 가능 저장 매체"는 하나 이상의 세트들의 명령들을 저장하는 단일 매체 또는 다수의 매체들(예를 들어, 중앙집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 간주되어야 한다. 용어 "컴퓨터 판독 가능 저장 매체"는 머신에 의한 실행을 위해 한 세트의 명령들을 저장 또는 인코딩할 수 있는 그리고 머신으로 하여금 본 개시내용의 방법들 중 임의의 하나 이상의 방법들을 수행하게 하는 임의의 매체를 포함하는 것으로 또한 여겨질 것이다. 이에 따라, 용어 "컴퓨터 판독 가능 저장 매체"는 솔리드 스테이트 메모리들과 광학 및 자기 매체들을 포함하지만 그에 제한되지 않는 것으로 여겨질 것이다.
[00134] 이전의 설명은 본 개시내용의 여러 실시예들의 양호한 이해를 제공하기 위해, 다수의 특정 세부사항들, 예컨대 특정 시스템들, 컴포넌트들, 방법들 등의 예들을 제시한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이들 특정 세부사항들 없이 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 개시내용을 불필요하게 불명료히 하는 것을 방지하기 위해, 잘 알려져 있는 컴포넌트들 또는 방법들은 상세하게 설명되지 않거나 또는 간단한 블록 다이어그램 형식으로 제공된다. 따라서, 제시된 특정 세부사항들은 단지 예시적인 것일 뿐이다. 특정 구현예들은 이들 예시적인 세부사항들로부터 변화될 수 있고, 여전히, 본 개시내용의 범위 내에 있는 것으로 고려될 수 있다.
[00135] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 언급은, 그 실시예와 관련하여 설명된 특정 피처, 구조 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"와 같은 문구의 출현들이 모두, 반드시 동일한 실시예를 언급하는 것은 아니다. 부가하여, 용어 "또는"은 배타적인 "또는"보다는 포괄적인 "또는"을 의미하는 것으로 의도된다. 본원에서 용어 "약" 또는 "대략"이 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[00136] 본원의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있어서, 특정한 동작들이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 개별적인 동작들의 하위 동작들 또는 명령들은 간헐적 및/또는 교번적인 방식으로 이루어질 수 있다.
[00137] 전술한 설명은 예시적인 것으로 의도되고, 제한적인 것으로 의도되지 않는다는 것이 이해된다. 많은 다른 실시예들이 전술한 설명을 읽고 이해할 때 당업자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들 및 이러한 청구항들이 권리를 갖는 등가물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (40)

  1. 방법으로서,
    기판에 대해 수행되는 기판 프로세스 동안에 수집된 스펙트럼 데이터에 기초하여 상기 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하기 위해 복수의 머신 러닝 모델들 각각을 트레이닝시키기 위해 트레이닝 데이터를 제공하는 단계 ― 상기 복수의 머신 러닝 모델들 각각은 한 세트의 머신 러닝 모델 타입들 중 상이한 타입과 연관됨 ―;
    상기 계측 측정에 대해 측정된 값을 고려하여 개개의 머신 러닝 모델에 의해 제공된 상기 계측 측정에 대한 값의 정확도에 기초하여 상기 복수의 머신 러닝 모델들 각각에 성능 등급을 할당하는 단계 ― 상기 측정된 값은 한 세트의 이전 기판들 중의 이전 기판에 대해 계측 장비에 의해 수집된 이력 계측 데이터에 기초하여 생성됨 ―; 및
    상기 복수의 머신 러닝 모델들 각각에 대한 상기 성능 등급을 고려하여, 미래의 기판에 대해 수행되는 미래의 기판 프로세스 동안에 수집되는 미래의 스펙트럼 데이터에 적용될 상기 개개의 머신 러닝 모델을 선택하는 단계를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 한 세트의 이전 기판들 중 부가적인 이전 기판에 대해 수행된 이전 기판 프로세스 동안에 수집된 이력 스펙트럼 데이터를 수신하는 단계;
    상기 부가적인 기판에 대한 이력 계측 측정 값을 획득하는 단계 ― 상기 이력 계측 측정 값은 상기 부가적인 이전 기판에 대해 상기 계측 장비에 의해 수집된 부가적인 이력 계측 데이터에 기초하여 생성됨 ―; 및
    상기 복수의 머신 러닝 모델들 각각을 트레이닝시키기 위해 상기 트레이닝 데이터를 생성하는 단계를 더 포함하며,
    상기 트레이닝 데이터를 생성하는 단계는,
    상기 이력 스펙트럼 데이터를 포함하는 트레이닝 입력을 생성하는 단계; 및
    상기 트레이닝 입력에 대한 타깃 출력을 생성하는 단계를 포함하고,
    상기 타깃 출력은 상기 부가적인 기판에 대한 상기 이력 계측 측정 값을 포함하고,
    상기 복수의 모신 러닝 모델들 각각을 트레이닝시키기 위해 상기 트레이닝 데이터를 제공하는 단계는, (i) 상기 트레이닝 입력을 포함하는 한 세트의 트레이닝 입력들; 및 (ii) 상기 타깃 출력들을 포함하는 한 세트의 타깃 출력들을 제공하는 단계를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 이력 스펙트럼 데이터는 상기 이전 기판 프로세스를 수행한 제조 시스템의 시스템 제어기 또는 상기 제조 시스템의 엔드포인트 검출 시스템 중 적어도 하나로부터 수신되는, 방법.
  4. 제2항에 있어서,
    상기 미래의 프로세스는 제1 제조 시스템에서 상기 미래의 기판에 대해 수행되고, 상기 이전 프로세스는 상기 제1 제조 시스템과 상이한 제2 제조 시스템에서 상기 이전 기판에 대해 수행되는, 방법.
  5. 제1항에 있어서,
    상기 한 세트의 이전 기판들에 대한 검증 데이터 세트를 획득하는 단계 ― 상기 검증 데이터 세트는 (i) 상기 한 세트의 이전 기판들 중 부가적인 이전 기판에 대해 수행된 이전 기판 프로세스 동안에 수집된 이력 스펙트럼 데이터 및 (ⅱ) 상기 계측 측정에 대한 상기 측정된 값을 포함함 ―;
    상기 이력 스펙트럼 데이터를 입력으로서 상기 복수의 머신 러닝 모델들 각각에 제공하는 단계;
    상기 개개의 머신 러닝 모델의 하나 이상의 출력들로부터 상기 계측 측정에 대한 값을 추출하는 단계; 및
    상기 계측 측정에 대한 추출된 값과 상기 계측 측정에 대한 측정된 값 사이의 차이에 기초하여 상기 개개의 머신 러닝 모델에 대한 정확도를 결정하는 단계를 더 포함하는, 방법.
  6. 제1항에 있어서,
    상기 복수의 머신 러닝 모델들 각각에 대한 성능 등급은, 상기 개개의 머신 러닝 모델이 상기 계측 측정에 대한 추출된 값을 제공하는 속도 또는 상기 미래의 기판에 대해 상기 미래의 기판 프로세스를 수행하도록 구성된 제조 시스템을 위한 프로세싱 디바이스에 상기 개개의 머신 러닝 모델을 송신하기 위한 시간량 중 적어도 하나에 기초하여 추가로 할당되는, 방법.
  7. 제1항에 있어서,
    상기 미래의 스펙트럼 데이터에 적용될 상기 개개의 머신 러닝 모델을 선택하는 단계는, 상기 개개의 머신 러닝 모델에 할당된 상기 성능 등급이 성능 기준을 충족시킨다고 결정하는 단계를 포함하는, 방법.
  8. 제7항에 있어서,
    상기 개개의 머신 러닝 모델에 할당된 상기 성능 등급이 상기 성능 기준을 충족시킨다고 결정하는 단계는, 상기 성능 등급이 임계 성능 등급을 초과하고, 상기 성능 등급이 머신 러닝 모델들의 다른 머신 러닝 모델들에 대한 다른 성능 등급들보다 더 크다고 결정하는 단계를 포함하는, 방법.
  9. 제1항에 있어서,
    상기 복수의 머신 러닝 모델들 중 임의의 머신 러닝 모델에 할당된 어떠한 성능 등급도 성능 등급 임계치를 초과하지 않는다고 결정하는 것에 응답하여, 상기 복수의 머신 러닝 모델들 각각에 대한 부가적인 트레이닝 데이터를 획득하는 단계; 및
    상기 복수의 머신 러닝 모델들 각각을 추가로 트레이닝시키기 위해 상기 부가적인 트레이닝 데이터를 제공하는 단계를 더 포함하는, 방법.
  10. 제1항에 있어서,
    상기 한 세트의 머신 러닝 모델 타입들은 선형 회귀 모델 타입, 부분 최소 제곱 회귀 모델 타입, 가우시안 회귀 모델 타입, 랜덤 포레스트 모델 타입, 지원 벡터 머신 모델 타입, 뉴럴 네트워크 타입 또는 리지 회귀 모델 타입을 포함하는, 방법.
  11. 시스템으로서,
    메모리; 및
    상기 메모리에 결합된 프로세싱 디바이스를 포함하며, 상기 프로세싱 디바이스는,
    제조 시스템에서 현재의 기판에 대한 현재의 프로세스 동안에 수집된 현재의 스펙트럼 데이터에 기초하여 계측 측정에 대한 현재의 값을 제공하도록 트레이닝된 머신 러닝 모델을 획득하고 ― 상기 트레이닝된 머신 러닝 모델은 상기 계측 측정의 타입과 연관된 성능 기준을 충족시키는 성능 등급과 연관됨 ―;
    상기 트레이닝된 머신 러닝 모델에 대한 입력으로서 상기 현재의 스펙트럼 데이터를 제공하고;
    상기 트레이닝된 머신 러닝 모델의 하나 이상의 출력들로부터, 상기 현재의 기판에 대응하는 개개의 계측 측정 값의 표시를 추출하고 ― 상기 개개의 계측 측정 값은 상기 계측 측정의 타입과 연관됨 ―; 그리고
    상기 개개의 계측 측정 값이 상기 현재의 프로세스와 연관된 계측 측정 기준을 충족시킨다고 결정하는 것에 응답하여, 상기 제조 시스템에서 상기 현재의 프로세스를 종료하기 위한 커맨드를 포함하는 명령을 생성하기 위한 것인, 시스템.
  12. 제11항에 있어서,
    상기 프로세싱 디바이스는 상기 기판에 대해 상기 현재의 프로세스를 수행하는 제조 시스템을 위한 시스템 제어기의 일부이고,
    상기 트레이닝된 머신 러닝 모델을 획득하기 위해, 상기 프로세싱 디바이스는, 상기 시스템 제어기에 결합된 컴퓨팅 시스템의 프로세싱 디바이스로부터 상기 트레이닝된 머신 러닝 모델을 수신하기 위한 것인, 시스템.
  13. 제11항에 있어서,
    상기 트레이닝된 머신 러닝 모델을 획득하기 위해, 상기 프로세싱 디바이스는, 상기 계측 측정에 대한 상기 현재의 값을 제공하도록 상기 머신 러닝 모델을 트레이닝시키기 위한 트레이닝 데이터를 제공하기 위한 것인, 시스템.
  14. 제11항에 있어서,
    상기 프로세싱 디바이스는 추가로,
    상기 현재의 기판에 대한 상기 현재의 프로세스 동안에 수집된 상기 현재의 스펙트럼 데이터를 획득하고; 그리고
    상기 현재의 스펙트럼 데이터가 상기 현재의 기판과 연관된 타깃 스펙트럼 데이터에 대응하지 않는다고 결정하는 것에 응답하여, 상기 제조 시스템에 결합된 클라이언트 디바이스에 에러 통지를 송신하기 위한 것인, 시스템.
  15. 제11항에 있어서,
    상기 개개의 계측 측정 값이 상기 계측 측정 기준을 충족시킨다고 결정하기 위해, 상기 프로세싱 디바이스는, 상기 개개의 계측 측정 값이 상기 현재의 기판에 대한 상기 현재의 프로세스와 연관된 타깃 계측 측정 값에 대응한다고 결정하기 위한 것인, 시스템.
  16. 제11항에 있어서,
    상기 프로세싱 디바이스는 추가로,
    상기 개개의 계측 측정 값이 상기 계측 측정 기준을 충족시키지 않는다고 결정하는 것에 응답하여,
    상기 제조 시스템에 결합된 클라이언트 디바이스에 에러 통지를 송신하는 것; 또는
    상기 트레이닝된 머신 러닝 모델을 추가로 트레이닝시키기 위해, 상기 수집된 스펙트럼 데이터 및 상기 개개의 계측 측정 값을 트레이닝 데이터로서 제공하는 것 중 적어도 하나를 수행하기 위한 것인, 시스템.
  17. 제11항에 있어서,
    상기 트레이닝된 머신 러닝 모델은 선형 회귀 모델 타입, 부분 최소 제곱 회귀 모델 타입, 가우시안 회귀 모델 타입, 랜덤 포레스트 모델 타입, 지원 벡터 머신 모델 타입, 뉴럴 네트워크 타입 또는 리지 회귀 모델 타입 중 적어도 하나와 연관되는, 시스템.
  18. 제11항에 있어서,
    상기 트레이닝된 머신 러닝 모델은, 상기 현재의 기판에 대해 상기 현재의 프로세스를 수행하는 상기 제조 시스템과 상이한 부가적인 제조 시스템에서 이전 기판에 대해 수행된 이전 기판 프로세스 동안에 수집된 이력 스펙트럼 데이터를 사용하여 트레이닝되는, 시스템.
  19. 명령들을 포함하는 비일시적 컴퓨터 판독 가능 매체로서,
    상기 명령들은 프로세싱 디바이스에 의해 실행될 때 상기 프로세싱 디바이스로 하여금,
    기판에 대해 수행되는 기판 프로세스 동안에 수집된 스펙트럼 데이터에 기초하여 상기 기판에 대한 특정 타입의 계측 측정과 연관된 계측 측정 값을 제공하기 위해 복수의 머신 러닝 모델들 각각을 트레이닝시키기 위해 트레이닝 데이터를 제공하게 하고 ― 상기 복수의 머신 러닝 모델들 각각은 한 세트의 머신 러닝 모델 타입들 중 상이한 타입과 연관됨 ―;
    상기 계측 측정에 대해 측정된 값을 고려하여 개개의 머신 러닝 모델에 의해 제공된 상기 계측 측정에 대한 값의 정확도에 기초하여 상기 복수의 머신 러닝 모델들 각각에 성능 등급을 할당하게 하고 ― 상기 측정된 값은 한 세트의 이전 기판들 중의 이전 기판에 대해 계측 장비에 의해 수집된 이력 계측 데이터에 기초하여 생성됨 ―; 그리고
    상기 복수의 머신 러닝 모델들 각각에 대한 상기 성능 등급을 고려하여, 미래의 기판에 대해 수행되는 미래의 기판 프로세스 동안에 수집되는 미래의 스펙트럼 데이터에 적용될 상기 개개의 머신 러닝 모델을 선택하게 하는, 비일시적 컴퓨터 판독 가능 매체.
  20. 제19항에 있어서,
    상기 개개의 계측 측정 값이 상기 계측 측정 기준을 충족시킨다고 결정하기 위해, 상기 프로세싱 디바이스는, 상기 개개의 계측 측정 값이 상기 현재의 기판에 대한 상기 현재의 프로세스와 연관된 타깃 계측 측정 값에 대응한다고 결정하기 위한 것인, 비일시적 컴퓨터 판독 가능 매체.
  21. 제1 제조 시스템에서 현재의 프로세스에 따라 프로세싱되고 있는 현재의 기판에 대한 계측 측정들을 제공하기 위해 머신 러닝 모델을 트레이닝시키기 위한 방법으로서, 상기 방법은,
    이전 프로세스에 따라 제2 제조 시스템에서 프로세싱된 이전 기판과 연관된 한 세트의 이력 스펙트럼 데이터를 획득하는 단계 ― 상기 한 세트의 이력 스펙트럼 데이터는 상기 이전 프로세스의 복수의 단계들 각각 동안에 상기 이전 기판에 대해 수집된 이력 스펙트럼 데이터를 포함함 ―;
    상기 한 세트의 이력 스펙트럼 데이터에 기초하여 정규화된 세트의 이력 스펙트럼 데이터를 생성하는 단계 ― 상기 정규화된 세트의 이력 스펙트럼 데이터는 상기 이전 프로세스의 복수의 단계들 중 초기 단계 동안에 수집된 제1 이력 스펙트럼 데이터와 상기 복수의 단계들 중 하나 이상의 후속 단계들 동안에 수집된 제2 이력 스펙트럼 데이터 사이의 차이에 기초하여 생성됨 ―;
    상기 머신 러닝 모델에 대한 트레이닝 데이터를 생성하는 단계 ― 상기 트레이닝 데이터를 생성하는 단계는,
    상기 정규화된 세트의 이력 스펙트럼 데이터로부터 추출된 이력 스펙트럼 데이터의 서브세트를 포함하는 트레이닝 입력을 생성하는 단계 ― 상기 이력 스펙트럼 데이터의 서브세트는 특정 타입의 계측 측정과 연관된 하나 이상의 이력 스펙트럼 피처들의 표시를 포함함 ―; 및
    상기 트레이닝 입력에 대한 타깃 출력을 생성하는 단계를 포함하고, 상기 타깃 출력은 상기 이전 기판에 대한 이력 계측 측정 값을 포함하고, 상기 이력 계측 측정 값은 상기 특정 타입의 계측 측정과 연관됨 ―; 및
    (i) 상기 트레이닝 입력을 포함하는 한 세트의 트레이닝 입력들 및 (ii) 상기 타깃 출력을 포함하는 한 세트의 타깃 출력들에 대해 상기 머신 러닝 모델을 트레이닝시키기 위해 상기 트레이닝 데이터를 제공하는 단계를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  22. 제21항에 있어서,
    상기 정규화된 세트의 이력 스펙트럼 데이터를 생성하는 단계는,
    상기 한 세트의 이력 스펙트럼 데이터로부터, 상기 이전 프로세스의 복수의 단계들 중 초기 단계 동안에 수집된 상기 제1 이력 스펙트럼 데이터를 식별하는 단계;
    상기 한 세트의 이력 스펙트럼 데이터로부터, 상기 복수의 단계들 중 개개의 후속 단계 동안에 수집된 상기 제2 이력 스펙트럼 데이터를 식별하는 단계; 및
    상기 제1 이력 데이터와 상기 제2 이력 스펙트럼 데이터 사이의 차이를 결정하는 단계를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  23. 제21항에 있어서,
    상기 이력 스펙트럼 데이터의 서브세트를 포함하는 상기 트레이닝 입력을 생성하는 단계는,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처를 결정하는 단계;
    상기 정규화된 세트의 이력 스펙트럼 데이터로부터, 상기 결정된 스펙트럼 피처에 대응하는 이력 스펙트럼 피처의 표시를 포함하는 개개의 이력 스펙트럼 데이터를 식별하는 단계; 및
    상기 정규화된 세트의 이력 스펙트럼 데이터로부터 상기 이력 데이터의 서브세트를 추출하는 단계를 포함하며, 상기 이력 스펙트럼 데이터의 서브세트는 상기 개개의 이력 스펙트럼 데이터를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  24. 제23항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처는 상기 기판 표면의 다른 부분들의 프로파일 패턴들과 별개인 프로파일 패턴을 포함하는 기판 표면의 일부분에 대응하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  25. 제24항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처를 결정하는 단계는,
    상기 제2 제조 시스템에서 상기 이전 프로세스에 따라 프로세싱된 교정 기판의 표면에 대해 2차원 스캔을 수행하는 단계;
    상기 2차원 스캔의 결과에 기초하여, 상기 표면의 다른 부분들의 프로파일 패턴들과 별개인 프로파일 패턴을 포함하는, 상기 교정 기판의 표면의 일부분을 식별하는 단계; 및
    상기 교정 기판에 대해 수집된 이력 스펙트럼 데이터로부터, 상기 교정 기판의 표면의 식별된 부분과 연관된 하나 이상의 스펙트럼 피처들을 선택하는 단계를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  26. 제23항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처는, 스펙트럼 파장들의 범위 외부에 있는 다른 스펙트럼 파장들보다 더 높은 정확도를 갖는 상기 특정 타입의 계측 측정과 연관된 계측 측정 값을 표시하는 것으로 결정된 상기 스펙트럼 파장들의 범위에 대응하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  27. 제26항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처를 결정하는 단계는,
    상기 다른 스펙트럼 파장들보다 더 높은 정확도를 갖는, 상기 특정 타입의 계측 측정과 연관된 계측 측정 값을 표시하는 스펙트럼 파장들을 제공하도록 트레이닝된 파 분석 모델에 대한 입력으로서 상기 한 세트의 이력 스펙트럼 데이터의 하나 이상의 부분들을 제공하는 단계;
    상기 파 분석 모델의 하나 이상의 출력들을 획득하는 단계; 및
    상기 하나 이상의 출력들로부터 상기 스펙트럼 파장들의 범위를 추출하는 단계를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  28. 제21항에 있어서,
    상기 이력 스펙트럼 데이터의 서브세트는 상기 이전 프로세스의 복수의 단계들 중 최종 단계에 대응하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  29. 제21항에 있어서,
    상기 제1 제조 시스템은 상기 제2 제조 시스템과 동일한, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  30. 제21항에 있어서,
    상기 이전 프로세스는 에칭 프로세스 또는 증착 프로세스 중 적어도 하나를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  31. 제21항에 있어서,
    상기 특정 타입의 계측 측정은, 상기 이전 프로세스의 수행 후에 상기 이전 기판의 표면 상에 증착된 이전 막의 두께, 상기 이전 프로세스의 수행 후에 상기 이전 막에 에칭된 하나 이상의 피처들의 특성, 상기 이전 프로세스의 수행 레이트 또는 상기 이전 프로세스의 수행 레이트의 균일성 중 적어도 하나를 포함하는, 머신 러닝 모델을 트레이닝시키기 위한 방법.
  32. 시스템으로서,
    트레이닝된 머신 러닝 모델을 저장하기 위한 메모리; 및
    상기 메모리에 결합된 프로세싱 디바이스를 포함하며, 상기 프로세싱 디바이스는,
    현재의 프로세스에 따라 제조 시스템에서 프로세싱되고 있는 현재의 기판과 연관된 한 세트의 스펙트럼 데이터를 수신하고 ― 상기 수신된 한 세트의 스펙트럼 데이터는 상기 현재의 기판에 대해 수행되는 상기 현재의 프로세스의 현재의 단계와 연관됨 ―;
    상기 수신된 한 세트의 스펙트럼 데이터에 기초하여 정규화된 세트의 스펙트럼 데이터를 생성하고 ― 상기 정규화된 세트의 스펙트럼 데이터는, 상기 현재의 프로세스의 현재의 단계 동안에 수집된 스펙트럼 데이터와 상기 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터 사이의 차이에 기초하여 생성됨 ―;
    상기 정규화된 세트의 스펙트럼 데이터로부터 추출된 스펙트럼 데이터의 서브세트를 상기 트레이닝된 머신 러닝 모델에 대한 입력으로서 제공하고 ― 상기 스펙트럼 데이터의 서브세트는 특정 타입의 계측 측정에 대응하는 하나 이상의 스펙트럼 피처들의 표시를 포함함 ―;
    상기 트레이닝된 머신 러닝 모델의 하나 이상의 출력들을 획득하고; 그리고
    상기 하나 이상의 출력들로부터, 상기 특정 타입의 계측 측정과 연관된 하나 이상의 계측 측정 값들을 식별하는 계측 측정 데이터 ― 상기 하나 이상의 계측 측정 값들은 이전 프로세스에 따라 상기 제조 시스템에서 프로세싱된 이전 기판에 대해 획득됨 ―, 및 상기 하나 이상의 계측 측정 값들 각각이 상기 현재의 기판에 대응한다는 신뢰도 레벨의 표시를 추출하기 위한 것인, 시스템.
  33. 제32항에 있어서,
    상기 정규화된 세트의 스펙트럼 데이터를 생성하기 위해, 상기 프로세싱 디바이스는,
    상기 메모리로부터, 상기 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터를 검색하고; 그리고
    상기 프로세스의 현재의 단계 동안에 수집된 스펙트럼 데이터와 상기 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터 사이의 차이를 결정하기 위한 것인, 시스템.
  34. 제33항에 있어서,
    상기 현재의 프로세스의 이전 단계는 상기 현재의 프로세스의 초기 단계를 포함하는, 시스템.
  35. 제32항에 있어서,
    상기 프로세싱 디바이스는 추가로,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처를 결정하고;
    상기 정규화된 세트의 스펙트럼 데이터로부터, 상기 결정된 스펙트럼 피처에 대응하는 스펙트럼 피처의 표시를 포함하는 개개의 스펙트럼 데이터를 식별하고; 그리고
    상기 정규화된 세트의 스펙트럼 데이터로부터 상기 스펙트럼 데이터의 서브세트를 추출하기 위한 것이며, 상기 스펙트럼 데이터의 서브세트는 상기 개개의 스펙트럼 데이터를 포함하는, 시스템.
  36. 제35항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처는, 상기 현재의 프로세스의 엔드포인트에서, 상기 기판 표면의 다른 부분들의 프로파일 패턴들과 별개인 프로파일 패턴을 포함하는 것으로 예상되는, 상기 현재의 기판의 표면의 일부분에 대응하는, 시스템.
  37. 제35항에 있어서,
    상기 특정 타입의 계측 측정과 연관된 스펙트럼 피처는, 스펙트럼 파장들의 범위 외부에 있는 다른 스펙트럼 파장들보다 더 높은 정확도를 갖는 상기 특정 타입의 계측 측정과 연관된 계측 측정 값을 표시하는 것으로 결정된 상기 스펙트럼 파장들의 범위에 대응하는, 시스템.
  38. 제32항에 있어서,
    상기 특정 타입의 계측 측정은, 상기 현재의 프로세스의 수행 동안에 상기 현재의 기판의 표면 상에 증착된 현재의 막의 두께, 상기 현재의 프로세스의 수행 동안에 상기 현재의 막에 에칭된 하나 이상의 피처들의 특성, 상기 현재의 프로세스의 수행 레이트 또는 상기 현재의 프로세스의 수행 레이트의 균일성 중 적어도 하나를 포함하는, 시스템.
  39. 제32항에 있어서,
    상기 트레이닝된 머신 러닝 모델은, 상기 현재의 기판을 프로세싱하는 제조 시스템과 상이한 부가적인 제조 시스템에서 이전 프로세스에 따라 프로세싱된 이전 기판에 대해 수집된 이력 스펙트럼 데이터를 사용하여 트레이닝되는, 시스템.
  40. 명령들을 포함하는 비일시적 컴퓨터 판독 가능 매체로서,
    상기 명령들은, 프로세싱 디바이스에 의해 실행될 때, 상기 프로세싱 디바이스로 하여금,
    현재의 프로세스에 따라 제조 시스템에서 프로세싱되고 있는 현재의 기판과 연관된 한 세트의 스펙트럼 데이터를 수신하게 하고 ― 상기 수신된 한 세트의 스펙트럼 데이터는 상기 현재의 기판에 대해 수행되는 상기 현재의 프로세스의 현재의 단계와 연관됨 ―;
    상기 수신된 한 세트의 스펙트럼 데이터에 기초하여 정규화된 세트의 스펙트럼 데이터를 생성하게 하고 ― 상기 정규화된 세트의 스펙트럼 데이터는, 상기 현재의 프로세스의 현재의 단계 동안에 수집된 스펙트럼 데이터와 상기 현재의 프로세스의 이전 단계 동안에 수집된 스펙트럼 데이터 사이의 차이에 기초하여 생성됨 ―;
    상기 트레이닝된 머신 러닝 모델에 대한 입력으로서, 상기 정규화된 세트의 스펙트럼 데이터로부터 추출된 스펙트럼 데이터의 하나 이상의 서브세트들을 제공하게 하고 ― 상기 스펙트럼 데이터의 하나 이상의 서브세트들 각각은 특정 타입의 계측 측정에 대응하는 스펙트럼 피처의 표시를 포함함 ―;
    상기 트레이닝된 머신 러닝 모델의 하나 이상의 출력들을 획득하게 하고; 그리고
    상기 하나 이상의 출력들로부터, 상기 특정 타입의 계측 측정과 연관된 하나 이상의 계측 측정 값들을 식별하는 계측 측정 데이터 ― 상기 하나 이상의 계측 측정 값들은 이전 프로세스에 따라 상기 제조 시스템에서 프로세싱된 이전 기판에 대해 획득됨 ―, 및 상기 하나 이상의 계측 측정 값들 각각이 상기 현재의 기판에 대응한다는 신뢰도 레벨의 표시를 추출하게 하는, 비일시적 컴퓨터 판독 가능 매체.
KR1020247000704A 2021-06-10 2022-06-09 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출 KR20240012605A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17/344,787 US11901203B2 (en) 2021-06-10 2021-06-10 Substrate process endpoint detection using machine learning
US17/344,788 US20220397515A1 (en) 2021-06-10 2021-06-10 Obtaining substrate metrology measurement values using machine learning
US17/344,788 2021-06-10
US17/344,787 2021-06-10
PCT/US2022/032879 WO2022261358A1 (en) 2021-06-10 2022-06-09 Substrate process endpoint detection using machine learning

Publications (1)

Publication Number Publication Date
KR20240012605A true KR20240012605A (ko) 2024-01-29

Family

ID=84426345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247000704A KR20240012605A (ko) 2021-06-10 2022-06-09 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출

Country Status (3)

Country Link
JP (1) JP2024524862A (ko)
KR (1) KR20240012605A (ko)
WO (1) WO2022261358A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
JP6906058B2 (ja) * 2017-02-24 2021-07-21 エーエスエムエル ネザーランズ ビー.ブイ. 機械学習によるプロセスモデルの決定方法
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
JP2022533246A (ja) * 2019-05-23 2022-07-21 東京エレクトロン株式会社 ハイパースペクトルイメージングを使用する半導体プロセスの光学的診断
WO2021004725A1 (en) * 2019-07-10 2021-01-14 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty

Also Published As

Publication number Publication date
WO2022261358A1 (en) 2022-12-15
JP2024524862A (ja) 2024-07-09

Similar Documents

Publication Publication Date Title
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
KR20230151016A (ko) 기계 학습을 사용하여 증착된 막들에 대한 농도 프로파일들의 제어
TW202334765A (zh) 使用基於機器學習的演算法來加速預防性維護恢復和配方最佳化
US20230118964A1 (en) Controlling concentration profiles for deposited films using machine learning
US11901203B2 (en) Substrate process endpoint detection using machine learning
US20220397515A1 (en) Obtaining substrate metrology measurement values using machine learning
KR20240012605A (ko) 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출
JP2024523821A (ja) スペクトルデータ収集を強化するための終点検出システム
US20230062206A1 (en) Determining substrate profile properties using machine learning
TW202431026A (zh) 使用機器學習來決定基板輪廓性質
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20240151656A1 (en) Multi-level rf pulse monitoring and rf pulsing parameter optimization at a manufacturing system
WO2024081764A1 (en) Determining substrate profile properties using machine learning
TW202430838A (zh) 表面粗糙度和發射率的決定

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal