CN1675517A - 凹槽蚀刻过程的控制方法 - Google Patents

凹槽蚀刻过程的控制方法 Download PDF

Info

Publication number
CN1675517A
CN1675517A CNA038193418A CN03819341A CN1675517A CN 1675517 A CN1675517 A CN 1675517A CN A038193418 A CNA038193418 A CN A038193418A CN 03819341 A CN03819341 A CN 03819341A CN 1675517 A CN1675517 A CN 1675517A
Authority
CN
China
Prior art keywords
substrate
reflectance spectrum
size
raceway groove
terminal point
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038193418A
Other languages
English (en)
Other versions
CN100376864C (zh
Inventor
维贾雅库马尔·C·韦努戈帕尔
安德鲁·J·佩里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/286,410 external-priority patent/US7019844B2/en
Priority claimed from US10/286,409 external-priority patent/US7399711B2/en
Priority claimed from US10/401,118 external-priority patent/US6979578B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1675517A publication Critical patent/CN1675517A/zh
Application granted granted Critical
Publication of CN100376864C publication Critical patent/CN100376864C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Memories (AREA)
  • Weting (AREA)
  • Element Separation (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

一种针对内含沟道以及沟道中沉积有柱状材料的多层衬底的凹槽蚀刻过程的控制方法,这种方法包括:通过获得包括沟道的衬底的至少一个部分的测量的净反射光谱,来确定从衬底表面到衬底中的基准点的第一尺寸;计算来自构成衬底部分的n≥1个不同区域的反射率的加权非相干和,以作为衬底部分的建模的净反射光谱;确定能够在测量的净反射光谱和建模的净反射光谱之间提供紧密匹配的参数组;以及,从参数组中提取第一尺寸。该方法进一步包括,计算作为第一尺寸和自基准点测得的期望凹槽深度的函数的凹槽蚀刻过程的终点,以及从柱状材料的表面开始向下蚀刻直至到达终点。

Description

凹槽蚀刻过程的控制方法
技术领域
本发明一般涉及在图样化衬底(如半导体衬底)上特征形成过程中采用的监视和控制方法。更具体地说,本发明涉及凹槽蚀刻过程中检测终点的方法。
背景技术
凹槽(recess)蚀刻过程用在半导体器件例如动态随机存储器(DRAM)和嵌入式DRAM(eDRAM)的制造上。DRAM和eDRAM将信息存储在包含电容的集成电路中。图1A示出了典型的DRAM单元中的存储结100。该存储结100包括在图样化半导体衬底(patterned substrate)104中形成的深渠102。深渠102内形成有柱状多晶硅106,在柱状多晶硅106的上面形成有凹槽108。凹槽108可衬有绝缘材料(图中未示出),使多晶硅106与上面的结构(例如,传输器件)绝缘。沟道102通常具有较高的的高宽比。例如在当前技术中,沟道102的深度通常为几个微米,而宽度通常达到300nm数量级。随着集成技术的进步,沟道的宽度有望更小,比如减小到90nm~100nm。
图1B示出了在形成深渠(图1A中的102)之前的半导体衬底104。在通常的结构中,半导体衬底104包括通常由硅制成的衬底层110、通常由二氧化硅制成的介电层112、以及通常由氮化硅制成的掩模层114。半导体衬底104涂覆有一层薄的光阻掩膜116。在形成槽之前,要除去将要形成沟道(trench)的光阻掩膜116上的区域115,使下层可以暴露出来。然后将半导体衬底104置于诸如等离子室的处理室(图中未示出)中,并穿过裸露的下层在衬底中蚀刻出沟道。蚀刻出沟道之后,再将剩下的光阻掩膜116除去。
图1C示出了蚀刻出沟道102和除去光阻掩膜(图1B中的116)之后的半导体衬底104。向图中沟道102填充多晶硅106。由于沟道102填充了多晶硅,在半导体衬底104的顶面,也就是掩膜层114上面也形成多晶硅的覆盖层120。通常情况下,填充过程的结果使得在沟道102开口上面也会出现小的凹部(或者凹陷)122。为了便于蚀刻沟道102中的柱状多晶硅106内的凹槽,像图1D所示那样除去(或平面化)多晶硅的覆盖层120。可以通过平面层蚀刻方法或者化学机械磨光方法产生平面化表面123。应当注意,在平面化过程中可以除去多晶硅覆盖层(图1C的120)的全部或者仅仅一部分。平面化多晶硅覆盖层之后,将沟道102中的柱状多晶硅106向下蚀刻到预定的深度,从而形成凹槽(图1A中的108)。
上述过程的顺序可以进行各种修改,从而形成不同的凹槽结构。例如,图1E所示,开始可将沟道102衬有例如氧化物的介电材料124。然后如前所述,将多晶硅106沉积到加衬的沟道102中,以及沉积到掩膜层114上。可以平面化掩膜层114上的多晶硅覆盖层120,并且对柱状多晶硅106往下蚀刻而形成加衬的凹槽(图1F的126)。这个过程可用来产生内埋的多晶硅带。另一个例子如图1G所示,沟道102中的柱状多晶硅106可以蚀刻形成凹槽128。凹槽128可以填充例如氧化物的介电材料130。另外一个蚀刻过程可用来除去介电材料130部分,从而形成沿沟道102向下部分延伸的介电衬(图1H中的132)。
大多数应用中,凹槽相对于半导体衬底中基准点(例如,牺牲性掩膜层的底部)的深度是一个很重要的尺寸。因此,能够准确确定将沟道中的柱状多晶硅蚀刻到多深,以获得期望的凹槽深度很重要。多种因素对于在沟道中形成期望深度的凹槽形成了挑战。例如,蚀刻凹槽要穿过沟道的开口,而这个开口很小,沟道内柱状多晶硅上面的凹陷的尺寸易于实现与要蚀刻的凹槽深度的精度在一个数量级或者甚至就是要蚀刻凹槽的绝对深度。或许更大的挑战在于从一种衬底到另一种衬底的材料的变化,例如,掩膜层厚度的变化以及沟道中柱状多晶硅上面凹陷深度的变化。由于这些变化情况未知,很难精确确定柱状多晶硅的蚀刻深度多深才能到达期望的凹槽深度。
因此,期望有一种方法能够考虑到引入材料的变化等因素,通过监测绝对凹槽深度来检测凹槽蚀刻过程的终点。
发明内容
本发明的一个方面涉及一种凹槽蚀刻过程的控制方法。针对内含沟道以及沟道中沉积有柱状材料(column of material)的多层衬底,这种方法包括:通过获得包括沟道的衬底的至少一个部分的测量的净(net)反射光谱,来确定从衬底表面到衬底中的基准点的第一尺寸;计算来自构成衬底部分的n≥1个不同区域的反射率的加权非相干和,以作为衬底部分的建模的净反射光谱;确定能够在测量的净反射光谱和建模的净反射光谱之间提供紧密匹配的参数组;以及,从参数组中提取第一尺寸。该方法进一步包括,计算作为第一尺寸和自基准点测得的期望凹槽深度的函数的凹槽蚀刻过程的终点,以及从柱状材料的表面开始向下蚀刻直至到达终点。
本发明的另一方面涉及一种凹槽蚀刻过程的控制方法,包括对内含沟道且沟道中沉积有柱状材料的多层衬底的表面进行平面化。该方法进一步包括平面化之后确定从衬底表面到衬底中的基准点的第一尺寸。该第一尺寸通过以下步骤确定:获得包括沟道的衬底的至少一个部分的测量的净反射光谱;计算来自构成衬底部分的n≥1个不同区域的反射率的加权非相干和,以作为衬底部分的建模的净反射光谱(其中,n个不同区域中的每一个的反射率为来自于构成该区域的k≥1个横向不同的区域的反射区域的加权相干和);确定能够在测量的净反射光谱和建模的净反射光谱之间提供紧密匹配的参数组;以及,从参数组中提取第一尺寸。该方法进一步包括,计算作为第一尺寸和自基准点测得的期望凹槽深度的函数的凹槽蚀刻过程的终点,以及从柱状材料的表面开始向下蚀刻直至到达终点。
本发明的又一方面涉及一种针对内含沟道且沟道中沉积有柱状材料的多层衬底的凹槽蚀刻过程的控制方法。该方法包括确定从衬底表面到衬底中的基准点的第一尺寸、和从衬底表面到柱状材料的表面的第二尺寸。该第一和第二尺寸通过以下步骤确定:获得包括沟道的衬底的至少一个部分的测量的净反射光谱;计算来自构成衬底部分的n≥1个不同区域的反射率的加权非相干和,以作为衬底部分的建模的净反射光谱;确定能够在测量的净反射光谱和建模的净反射光谱之间提供紧密匹配的参数组;以及,从参数组中提取第一和第二尺寸。该方法进一步包括计算作为第一和第二尺寸和自基准点测得的期望凹槽深度的函数的凹槽蚀刻过程的终点,以及从柱状材料的表面开始向下蚀刻直至到达终点。
下面结合附图,在以下的详细描述中更加详细地讨论本发明的各种特征和优点。
附图说明
本发明借助于附图中的实例(并不是为了进行限定)进行说明,在附图中,相同的参考标号表示相同的部件,其中:
图1A示出了典型的存储结的横截面。
图1B示出了在形成沟道之前的图1A的半导体衬底。
图1C示出了形成沟道并且在沟道中填充了多晶硅之后的图1B的半导体衬底。
图1D示出在了平面化上面的多晶硅覆盖层之后的图1C的半导体衬底。
图1E示出了形成沟道、给沟道衬上介电材料、并且用多晶硅填充加衬沟道之后的图1B的半导体衬底。
图1F示出了图1E中沟道内形成的凹槽。
图1G示出了填充有介电材料的沟道中柱状多晶硅上面的凹槽。
图1H示出了部分衬在图1G的沟道的图1G的介电材料。
图2示出了薄膜叠层的示意图。
图3A示出了典型的图样化衬底的横截面。
图3B示出了图3A的图样化衬底分为两个横向不同区域或者薄膜叠层。
图3C示出了层间界面的反射模型。
图3D示出了单层反射模型。
图3E是图3A所示的图样化衬底的顶视图。
图4A示出了根据本发明的实施例的实施方式给出的处理设置。
图4B示出了根据本发明的实施例的用于采集垂直入射反射数据的过程概图。
图4C示出了根据本发明的一个实施例的用于匹配测量的反射光谱与建模的反射光谱的过程概图。
图4D为描述测量的反射光谱的示意图。
图4E为描述建模的反射光谱的示意图。
图4F比较了图4D所示的测量的反射光谱与图4E所示的建模的反射光谱。
图5A示出了根据本发明的一个实施例的用于检测凹槽蚀刻过程的终点的过程概图。
图5B示出了根据本发明的一个实施例的预蚀刻模型。
图6A为根据本发明的另一实施例的预蚀刻模型。
图6B示出了根据本发明的另一实施例的用于检测凹槽蚀刻过程的终点的过程概图。
具体实施方式
现在,本发明将参考如附图中所示的一些优选实施例进行详细描述。在下面的描述中,为了便于完全理解本发明,详细阐明了各个细节。然而,很明显,本领域技术人员应该了解,本发明可以不利用所有或部分这些具体细节而进行实施。在其他情况下,为了避免本发明产生不必要的混淆,没有详细描述众所周知的处理步骤和/或特征。参考下文的附图和讨论,可更好地理解本发明的特征和优点。
本发明提供了一个有力而可靠的方法来确定凹槽蚀刻过程的终点。本发明的方法可分为两个主要步骤。在一个实施例中,第一步包括现场估计引入材料的变化。该估计步骤补偿各种变化,例如掩膜层厚度、起始蚀刻深度、衬底的位置和方位或者一个衬底与另外一个衬底的图样密度的差异。第一步能够确定要除去的柱状材料的绝对垂直尺寸。第二步包括利用单波长干涉法或多波长干涉法来监测实际的凹槽蚀刻。干涉终点检测法包括确定要达到期望的凹槽深度需要的条纹数。只要要除去的柱状材料的绝对垂直尺寸和起始蚀刻深度已知,条纹数就可以精确确定。
在一个实施例中,本发明利用宽带反射测量法(broadbandreflectometry)来估计引入材料的变化。在一个实施例中,用于估计引入材料的变化的方法包括测量半导体衬底的反射光谱。通过匹配测量的反射光谱与半导体衬底的建模的反射光谱来估计感兴趣的物理参数。根据本发明的一个实施例,提供了一个计算半导体衬底反射光谱的模型。有利的是,该模型未给半导体衬底上特征排列施加任何限制,即模型不限于含特定测试特征的半导体衬底,也可用于含复杂随机排列特征的半导体衬底。
虽然不希望被理论所束缚,但是本文中,发明人认为图样化衬底可分为n个横向不同的区域,各个不同的区域建模为各向同性的均匀薄膜叠层。为了方便说明,图2示出了薄膜叠层200,它在衬底层208上面具有三个薄膜层202、204和206。例如,薄膜层202可由多晶硅制成,薄膜层204可由氮化硅制成,薄膜层206可由二氧化硅制成,衬底层208可由硅制成。202、204、206和208各层的厚度为t,折射率为n,消光系数为k。通过用光束209垂直入射来照射薄膜叠层200并且收集从薄膜叠层200垂直反射的光束211来进行反射率的测量。对垂直入射反射测量法而言,各向同性的均匀薄膜叠层的响应与偏振无关。发明人认为图样化衬底200可假定具有与垂直偏振无关的反射,这样会使该模型的计算大大简化。
定义侧面差异的主要因素为构成薄膜叠层的层之间的差异以及薄膜叠层的高度差异。为了方便说明,图3示出了典型的图样化衬底300的横截面,它包括掩膜层302、氧化层304和衬底层306。在衬底300内形成沟道308并填充多晶硅310。填充过程和平面化过程使得在沟道308内柱状多晶硅310的顶部形成小的凹陷(或者凹口)314。图3B示出了图样化衬底300分为两个横向不同区域或者薄膜叠层316和318。薄膜叠层316包括掩膜层302、氧化层304和衬底层部分306a。薄膜叠层318包括柱状多晶硅310和衬底层部分306b。
图样化衬底300的反射是来自薄膜叠层316和318反射场的组合。已知强度和偏振的平面波照射指定薄膜叠层的反射场可通过建立并求解边界问题或者利用Fresnel方程计算出来。例如,利用Fresnel方程,层间界面(图3C中的320)的反射由下式给出:
r 12 = n 1 - n 2 n 1 + n 2 - - - ( 1 )
单层(图3D中的322)的反射场由下式给出:
r 123 = r 12 - r 23 e i 4 λ 0 n 2 t 1 + r 12 r 23 e i 4 λ 0 n 2 t - - - - ( 2 )
回到图3B,为了计算图样化衬底300的净反射,薄膜叠层316和318的高度应该相同。空气层或者真空层324加到柱状多晶硅310的顶部来补偿薄膜叠层316和318的高度差。
在本文中,发明人认为给定构成典型的图样化衬底的特征的横向范围的宽分布,则从图样化衬底的反射场会在某些图样化区域上相干叠加,在其他一些图样化区域上非相干叠加。发明人认为相干组合场和非相干组合场的相对分布应该是随自由空间波长λ0变化的函数,不一定对应图样化衬底上面的实际区域片断。因此,如果每个不同薄膜叠层的反射场计算出来,则图样化衬底的净反射可计算为来自构成图样化的n个不同层的反射的加权非相干和:
R=w10)|E1|2+w20)|E2|2+...+wn0)|En|2 (3)
其中R是测得的净反射,Ei是各个非相干反射场求和项,wi0)是非相干和项的加权因子。|Ei|2代表电磁场理论中频率域符号复反射场Ei的幅度。
等式(3)中的各个非相干和项是构成衬底上第i个区域的k个横向不同区域反射场的加权相干求和:
Ei=α10)Ec120)Ec2+...+αk0)Eck       (4)
其中αi0)是相干求和项Eci的加权因子。应当注意,等式(3)和(4)中的“区域”不同于“不同区域”。
为了进一步说明上面的模型如何工作,考察图3B所示的图样化衬底300。图样化衬底300分为两个横向不同区域或者薄膜叠层316和318。工作时,入射光束照射到图样化衬底300并以图中的328反射。图3E是图样化衬底300的顶视图。令r1代表薄膜叠层316带来的反射场,r2代表薄膜叠层318带来的反射场。本文中,发明人提出在薄膜叠层316和318之间存在与边界332交迭的区域330,以虚线334分界,其中,由于侧面干扰的影响,反射场r1和r2应该是相干叠加的。可期望虚线334之外区域336的反射仅来自薄膜叠层316的反射场。
从等式(3)得到图样化衬底300的净反射为:
R300=W3360)|E336|2+W3300)|E330|2   (5)
其中R300是来自图样化衬底300的净反射率,E330和E336分别是来自区域330和336的反射场非相干叠加项。w3300)、w3360)是非相干叠加项的加权因子。从等式(4)得到E330为:
E330=α(λ0)E336+(1-α(λ0))E318   (6)
应当注意,E336为r1,E318为r2,w330可以改写为(1-w336),因此等式(6)可重写作
R300=w3360)|r1|2+(1-w336(λ))|α(λ0)r1+(1-α(λ0))r2|2   (7)
等式(3)和(4)提供了一种简化的模型,其中图样化衬底的反射可针对几个感兴趣的量而参数化,比如掩膜层厚度和起始凹槽深度。在一个实施例中,本发明利用垂直入射反射测量法作为测量反射率的方法,意味着垂直于衬底的光束照射图样化衬底并且仅仅收集垂直于衬底的反射光,即只收集镜面反射光。然而任何图样中都能观察到一定的取向范围,因此并不是所有照射到图样上的光都会垂直入射角反射。例如,由于凹槽(图3A中的314)的存在会引起非镜面反射。这种非镜面反射带来的反射损失不应当忽略。在本发明的一个实施例中,将散射损失因子应用于等式(3)中叠加项的一部分或者等式(3)中的整个反射中。散射损失因子应当是λ0的函数。
图4A是根据本发明实施例的系统400的简化示意图,用来现场估计引入的材料变化。系统400包括用来产生光束的光源402、用来检测和分析光束的分光计404、用来向处理室410顶部的端口408来回传输光束的光学系统406。例如,光学系统406可包括:光纤412,它从光源402传输光束到准直仪414,其中准直仪414安装在端口408的上部;光纤416,它从准直仪414传输光束到分光计404。半导体衬底418安装在处理室410内部。为了避免本发明产生不必要的混淆,未给出处理设备的细节。然而本领域的普通技术人员也应该知道需要何种设备完成蚀刻。例如,如果通过等离子体蚀刻来形成凹槽,则衬底418应安装在处理室410中的卡盘(图中未显示)上,并且应当提供产生等离子体的合适设备。
操作时,控制半导体衬底418处理的处理模块420发送信号给数据采集单元422来触发光源402的操作。一旦触发了光源402,它就会产生光束,通过光纤412传输到准直仪414。选择光源402的工作波长段,使其处在对感兴趣的参数敏感度较高的范围内。通常来讲,较宽的范围更加有利。举一个例子,光源的波长范围为190nm~1000nm。光束424离开准直仪414,穿过端口408以垂直入射角照射到衬底418。准直仪414收集从衬底418以垂直入射角反射的光束426。反射光束426通过光纤416传播到分光计404。分光计404分析反射光束426,并且传送代表衬底418反射光谱的数据到计算机428以进行进一步的分析。
计算机428包括用来计算例如衬底418的图样化衬底的反射的模型,和用来检索一组提供建模的反射光谱和从分光计404接收的测量的反射光谱之间的紧密匹配的参数的程序。在一个实施例中,该检索程序为非线性回归程序。然而也可以采用其他类型的检索程序,例如多变量回归分析和神经网络匹配。上面已描述了图样化衬底反射的计算模型。得到的参数组可以映射到几个主要感兴趣的量,比如掩膜层厚度和起始蚀刻深度。然后可用这些感兴趣的量来决定凹槽蚀刻过程的终点,这些将在下文进一步描述。
图4B的过程概图说明了根据本发明的实施例如何采集从衬底垂直反射的数据。一个目的是为了改善高质量的反射信号,即便存在着影响较大的背景光,例如发光等离子体的发光。在该过程的开始阶段,处理模块(图4A中的420)通知数据采集控制单元(图4A中的422)应当如何采集和校准反射数据(430)。例如处理模块告知数据采集控制单元反射光谱的数目以及光谱应当采集的时间长度。为了校准检测到的反射光谱,处理模块也提供给数据采集控制单元一个典型情况下纯硅反射光谱的基准反射光谱。在处理衬底之前已采集了纯硅的反射光谱。当数据控制采集控制单元接收指令开始采集数据时,打开光源(图4A中的402)产生光束,光束照射到衬底,分光计(图4A的404)采集来自衬底的反射数据(432)。然后关闭光源,再次采集反射数据(434)。当关闭光源时,分光计采集的数据来自背景光源(例如来自等离子体的发光)和检波器噪声等。下一步就是从步骤432得到的反射数据减去步骤434得到的反射数据来去除背景光源的影响。
校正后的反射光谱用基准光谱进行归一化(438)。然后系统检查是否已经采集到期望数目的反射光谱(440)。如果没有采集到期望数目的反射光谱,则系统返回到步骤432,并且开始为另一个反射光谱采集数据(442)。如果已经采集到期望数目的反射光谱,则系统计算采集到反射光谱的平均值,以获得一个平均的、归一化的反射光谱(444)。平均后的反射光谱被发送到计算机(图4A中的428),用来匹配衬底的模型(446)。将经过平均的反射光谱发送到计算机之后,系统等待特定时间长度的结束,之后就终止(448)。
图4C的过程概图说明了如何利用非线性回归法决定感兴趣的物理参数。一个目的是为了通过在整个参数空间合适的方向上增加参数值的步长从而快速到达参数值的收敛集,直到有解。在开始非线性回归分析之前,非线性程序接收用户的输入(450)。用户输入包括由反射光谱与建模的光谱之间匹配关系决定的一组参数的初始猜测值。然后非线性回归程序获得经过平均化的测量的反射光谱(452)。接下来,利用等式(3)和(4)以及初始猜测值计算建模的反射光谱(454)。然后,用非线性回归程序来计算等式(3)和(4)中参数的增量,使其接近测量的反射光谱和建模的反射光谱之间关系的最优匹配(456)。等式(3)和(4)中的参数是反射场、加权因子w和耦合因子α,它们可以是自由空间波长λ0的函数。
系统检查在步骤456中计算的步长是否足够小而可以忽略(458)。如果步长不到小得可以忽略的程度,则系统增加参数值(460),并且返回到步骤454利用新的参数值来重新计算建模的反射光谱(462)。如果足够小可以忽略,则系统输出最优参数值(464)。然后从最优参数值中提取出感兴趣的物理参数(466)。尽管前文未提及,但是步骤450中接收的用户输入也包括如何将衬底再分为侧面不同区域或者薄膜叠层的信息。用户输入也包括各个薄膜叠层的光学特性,使得可以按前所述计算每个薄膜叠层的反射场。
在一个实施例中,本发明利用非线性回归方法的修正形式称为Levenberg-Marquardt Compromise,来从参数值的初始猜测出发快速准确地确定关键参数值的最佳值。尽管优先采用Levenberg-Marquardt Compromise方法,但是其他的方法例如多变量回归分析和神经网络方法也可以用来提取感兴趣的参数。
为了说明非线性回归如何操作,图4D示出了测得到的反射光谱470,图4E示出了从用户输入的初始猜测值计算出的建模的反射光谱472。线性回归程序中的第一步是计算两个反射光谱470和472之间的最小平方差误差量度。图4F示出了叠加到建模的反射光谱472上的测量的反射光谱470。在整个波长范围内取几个点,计算光谱470和472上每个点之间的垂直差,然后对所有点的差值平方求和,通过这个过程计算最小平方差。然后利用最小平方差误差量度来确定参数值的增量。
目前为止,上面描述的非线性回归分析是标准情况。现在,经常发生的情况是许多不感兴趣的参数对整个建模的光谱造成大的改变,而感兴趣的参数只对建模的光谱的小区域产生变化。为了能够快速准确地确定感兴趣的参数值,在所有点上差值平方求和之前,将那些感兴趣参数可能关系重大的光谱区域之差用例如(1+γi)的因子放大。因此,如果感兴趣区域内差值较大,则最小平方差的误差也较大。常数或者加权因子也可以应用于放大因子,以进一步加大最小平方差误差量度的误差。
图5A为说明根据本发明的实施例如何检测凹槽蚀刻过程的终点的过程的概图。该过程由用户触发开始(500)。过程开始后,处理模块(图4A中的420)发送合适的处理诊断(diagnose)参数给所有传感器(502)。例如,处理诊断参数可以包括相对于衬底上的基准点(如掩膜层的底部)的目标凹槽深度。然后将所研究的半导体衬底送入处理室(图4A中的410)中,或者是它已经在处理室中(504)。为了方便说明,图5B示出了该处理过程采取的预蚀刻模型506。预蚀刻模型506包括具有衬底层510的图样化半导体衬底508。在衬底层510上形成一个或者多个层,例如掩膜层514和氧化层516。在衬底508内形成沟道518,并且填充柱状多晶硅520。在该模型中,所有前面已经叠加到掩膜层514之上的多晶硅层(图中未显示)已经被平面化。然而,也不必总是如此,也就是说凹槽蚀刻之前可留一定量的多晶硅在掩膜层514之上。
回到图5A,在将半导体衬底安装到处理室(图4A中的410)中之后,使流入处理室中的气体稳定(522)。然后进行穿透处理来除去由于硅暴露在空气中而在半导体衬底上形成的自身氧化物。穿透处理可以是定时蚀刻过程,通常应持续几秒的时间。应当注意,该穿透处理可导致半导体衬底上面材料的损耗,这需要在后面的步骤中补偿。穿透处理之后,再次稳定流入处理室中的气体(526)。下一步就是现场估计引入的材料变化(528)。这个步骤包括估计一层或者多层的厚度,例如掩膜层,以及估计起始蚀刻深度,例如沟道内柱状多晶硅上方凹槽的深度。该步骤应在稳定步骤526过程中或者之后执行。同时处理估计步骤528和稳定步骤526可以节省衬底处理时间。
在图5B所示的预蚀刻模型506中,掩膜层514的底部514a用作基准点。应当注意,衬底508上的其他点,例如衬底层510的顶部也可以用作基准点。从基准点514a测得的期望凹槽深度(D)是已知值。感兴趣的第一垂直尺寸H是从衬底508的顶部508a到基准点514a的垂直距离,这种情况下等于掩膜层514的厚度。感兴趣的第二垂直尺寸d是从衬底508的顶部508a到柱状多晶硅520顶部的垂直距离,即柱状多晶硅520顶部凹槽521的深度。一旦尺寸H和d已知,则可以确定将从柱状多晶硅520顶部除去的材料的厚度(T),即T=H+D-d。回到图5A,感兴趣的物理参数例如H和d可利用上述的宽带反射测量法法在步骤528中估计出来,即确定一组提供衬底的测量的反射光谱与衬底的建模的反射光谱之间紧密匹配的参数,并且从参数组中提取期望的尺寸。
一旦将从柱状多晶硅中除去的材料的厚度和起始蚀刻深度已知,就可以开始凹槽蚀刻过程。任意合适的蚀刻方法,例如等离子体蚀刻可以用来从柱状多晶硅中除去材料。在一个实施例中,采用了干涉终点检测法来控制多晶硅的蚀刻(530)。干涉终点检测法包括对蚀刻过程中出现的条纹进行计数。当预定的条纹数将除去的材料的厚度时,则停止凹槽蚀刻过程。
干涉方法包括将光束照射到柱状多晶硅。蚀刻柱状多晶硅时,光束从柱状多晶硅表面被部分反射,部分穿过柱状多晶硅,并且被下面的衬底层反射。所反射的信号相长或相消结合,从而产生周期性的干涉条纹。干涉条纹的最大值和最小值依赖于光束通过进行处理的多晶硅柱的厚度的通路的长度。在蚀刻过程中,检测到干涉条纹的周期性最大和最小观测值与计算出的柱状多晶硅厚度下降相互关联来估计该过程的终点。因为要从柱状多晶硅除去的材料的绝对厚度可从上述的宽带干涉法获知,所以干涉法可以用在凹槽蚀刻过程的监测上。
尽管上述干涉法作为精确确定柱状多晶硅初始厚度之后监视凹槽蚀刻的优选方法,但是应当清楚也可采用其他的方法。例如,当往下蚀刻形成凹槽时,上述的宽带干涉法可以用于现场确定多晶硅的绝对厚度。它包括连续测量衬底的净反射量、找出一组能够提供衬底的测量的净反射光谱与建模的反射光谱之间最优匹配的参数,以及从参数组中提取柱状多晶硅的厚度。当柱状多晶硅达到期望的厚度时,就停止凹槽蚀刻过程。还可采用定时蚀刻过程。也就是说,可以确定从柱状多晶硅往下蚀刻预定量的材料所需的时间。然后,可以预定时间蚀刻柱状多晶硅。
可对图5A所述处理过程的顺序做各种修正。例如,图5A中的处理顺序采用了预蚀刻模型(图5B中的506),其中,掩模层之上的多晶硅层已利用如化学机械磨光法进行平面化。在本发明的另一个实施例中,处理顺序可包括平面化步骤。例如,图6A示出了预蚀刻模型600,它包括具有衬底层604的图样化半导体衬底602。在衬底层604上形成一层或者多层,例如掩膜层608和氧化层610。在衬底602内形成沟道614,并且沟道中填充有柱状多晶硅616。填充过程使得柱状多晶硅616的顶部形成凹槽618。填充过程中也在掩膜层608上形成多晶硅层620。
图6B的处理概图说明了如何检测采用预蚀刻模型(图6A中的600)的凹槽蚀刻过程的终点。该处理过程开始由用户触发(622)。当过程开始时,处理模块(图4A中的420)发送合适的处理诊断参数给所有传感器(624)。例如,处理诊断参数可包括从衬底上的参考点(如掩膜层的底部)测得的目标凹槽深度。然后,将感兴趣的半导体衬底送到处理室(图4中的410)中,或者是它已经在处理室中(626)。在处理室中安装半导体衬底之后,使流入处理室中的气体稳定(628)。然后进行穿透处理来除去由于硅暴露在空气中在半导体衬底上产生的自身氧化物聚集(630)。穿透处理之后,再次稳定流入处理室中的气体(631)。然后,利用等离子体蚀刻来平面化多晶硅层(图6A中的620)(632)。可以除去多晶硅层的全部或者仅仅一部分。如果除去全部多晶硅层,多晶硅层下面的掩膜层(图6A中的608)材料会有一定损耗,这需要在后面的步骤中补偿。干涉法或者其他合适方法也可用来确定何时结束平面化处理过程。
平面化步骤之后,再次稳定流入处理室(图4中的410)中的气体(634)。下一步就是现场估计引入材料的变化(636),即估计从衬底顶面到衬底参考点(例如掩膜层的底部)的垂直尺寸,以及估计从衬底顶面到柱状多晶硅顶部的垂直尺寸,即起始蚀刻深度。该估计要考虑平面化处理和穿透处理导致的材料损耗因素。对引入材料的变化的估计(即步骤636)可以在稳定步骤634的同时或者之后进行。与上述图5A中步骤528类似,在步骤636中确定感兴趣的物理参数。然后,与上述图5A中步骤530类似,可利用这些物理参数来驱动凹槽蚀刻过程(638)。
本发明提供了一个或者多个优点。例如,本发明的方法可以用来在沟道中的柱状材料中形成凹槽的过程中检测终点。本方法可适用于背景部分所述的任意凹槽结构以及其他未示出的凹槽结构。从根本上说,发明人认为衬底材料之间的变化会影响为了达到期望的蚀刻深度而从沟道内柱状材料中除去的材料的深度。通常的想法是在开始蚀刻过程之前确定要除去的材料的绝对厚度,然后利用此厚度驱动蚀刻过程。本发明利用包括强有力的衬底模型以及偏置的非线性回归方法的宽带干涉法,来精确估计要通过蚀刻而除去的材料的厚度。利用此精确估计,干涉方法或者其他合适方法都可以用来确定何时结束凹槽蚀刻过程。
虽然利用几个优选实施方式描述了本发明,但是那些修改、变换和等效情况也属于本发明的范畴。例如,图5A和6B所示处理过程的顺序仅仅是分别基于图5B和图6A所示预蚀刻模型的例子。在衬底的预蚀刻状态以及期望的凹槽结构的基础上,一般需要调整处理过程的顺序。如上所述,基本思想是在蚀刻之前精确估计要除去的材料的厚度以及起始蚀刻深度。然后可以用这些参数来驱动蚀刻处理过程。
此外,在估计引入材料的变化时,除了Levenberg-MarquardtCompromise的其他方法也可以用来匹配衬底的测量的反射光谱与建模的反射光谱。例如,也可以采用多变量回归分析和神经网络匹方法。
此外,凹槽蚀刻过程的监测不限于干涉法。例如,也可以采用定时蚀刻法。
此外,本发明不限于制作沟道型电容器。例如,本发明可以用在形成芯片互连的凹槽蚀刻过程的监测上。
因此,随后所附的权利要求可说明,那些修改、变换和等效情况也属于本发明的真实精神和范围。

Claims (19)

1.一种凹槽蚀刻过程的控制方法,包括:
对于内含沟道且所述沟道中沉积有柱状材料的多层衬底,通过以下步骤确定从所述衬底的表面到所述衬底中的基准点的第一尺寸:
获得包括所述沟道的所述衬底的至少一个部分的测量的净反射光谱;
计算来自构成所述衬底的所述部分的n≥1个不同区域的反射率的加权非相干和,以作为所述衬底的所述部分的建模的净反射光谱;
确定能够在所述测量的净反射光谱和所述建模的净反射光谱之间提供紧密匹配的参数组;以及
从所述参数组中提取所述第一尺寸;
计算作为所述第一尺寸和自所述基准点测得的期望凹槽深度的函数的所述凹槽蚀刻过程的终点;以及
从所述柱状材料的表面开始向下蚀刻直至到达所述终点。
2.根据权利要求1所述的方法,其中,获得所述测量的净反射光谱包括用垂直入射光束照射所述衬底的所述部分。
3.根据权利要求1所述的方法,其中,所述n个不同区域中的每一个的反射率是来自构成所述区域的k≥1个横向不同的区域的反射场的加权相干和。
4.根据权利要求3所述的方法,其中,每个横向不同的区域都被建模为薄膜叠层。
5.根据权利要求4所述的方法,其中,计算所述建模的净反射光谱包括将所述衬底建模为具有与偏振标称无关的反射率。
6.根据权利要求1所述的方法,其中,确定所述参数组包括计算所述测量的净反射光谱和所述建模的净反射光谱之间的最小平方差的误差量度,并找出使所述误差量度最小的所述参数组。
7.根据权利要求6所述的方法,进一步包括放大所述第一尺寸的改变对所述误差量度的影响。
8.根据权利要求7所述的方法,其中,计算所述建模的净反射光谱包括将用于所述参数组的一组初始猜测值作为输入接收。
9.根据权利要求1所述的方法,其中,所述终点以宽带反射测量法和干涉终点法的结合为基础。
10.根据权利要求9所述的方法,其中,计算所述终点包括计算到达所述终点所要求的条纹数。
11.根据权利要求10所述的方法,其中,向下蚀刻包括在所述蚀刻过程中对从所述衬底的所述部分放射出的干涉条纹进行计数,以及当从所述衬底的所述部分放射出的干涉条纹已到达所述条纹数时停止所述蚀刻过程。
12.根据权利要求1所述的方法,进一步包括确定从所述衬底的所述表面到所述柱状材料的所述表面的第二尺寸。
13.根据权利要求12所述的方法,其中,确定所述第二尺寸包括从所述参数组中提取所述第二尺寸。
14.根据权利要求13所述的方法,其中,计算所述终点进一步包括以与所述第二尺寸成比例的量调整所述终点。
15.根据权利要求1所述的方法,进一步包括在确定所述第一尺寸之前平面化所述衬底的所述表面。
16.根据权利要求1所述的方法,进一步包括在确定所述第一尺寸之前除去形成在所述衬底的所述表面上的所有原有氧化物。
17.一种凹槽蚀刻过程的控制方法,包括:
对内含沟道且所述沟道中沉积有柱状材料的多层衬底的表面进行平面化;
平面化之后,通过以下步骤确定从所述衬底的所述表面到所述衬底中的基准点的第一尺寸:
获得包括所述沟道的所述衬底的至少一个部分的测量的净反射光谱;
计算来自构成所述衬底的所述部分的n≥1个不同区域的反射率的加权非相干和,以作为所述衬底的所述部分的建模的净反射光谱,其中,所述n个不同区域中的每一个的反射率是来自构成所述区域的k≥1个横向不同的区域的反射场的加权相干和;
确定能够在所述测量的净反射光谱和所述建模的净反射光谱之间提供紧密匹配的参数组;以及
从所述参数组中提取所述第一尺寸;
计算作为所述第一尺寸和自所述基准点测得的期望凹槽深度的函数的所述凹槽蚀刻过程的终点;以及
从所述柱状材料的表面开始向下蚀刻直至到达所述终点。
18.根据权利要求17所述的方法,进一步包括确定从所述衬底的所述表面到所述柱状材料的所述表面的第二尺寸,其中,确定所述第二尺寸包括从所述参数组中提取所述第二尺寸,以及,其中,计算所述终点进一步包括以与所述第二尺寸成比例的量调整所述终点。
19.一种凹槽蚀刻过程的控制方法,包括:
对于内含沟道且所述沟道中沉积有柱状材料的多层衬底,通过以下步骤确定从所述衬底的表面到所述衬底中的基准点的第一尺寸、和从所述衬底的所述表面到所述柱状材料的表面的第二尺寸:
获得包括所述沟道的所述衬底的至少一个部分的测量的净反射光谱;
计算来自构成所述衬底的所述部分的n≥1个不同区域的反射率的加权非相干和,以作为所述衬底的所述部分的建模的净反射光谱;
确定能够在所述测量的净反射光谱和所述建模的净反射光谱之间提供紧密匹配的参数组;以及
从所述参数组中提取所述第一和所述第二尺寸;
计算作为所述第一和所述第二尺寸和自所述基准点测得的期望凹槽深度的函数的所述凹槽蚀刻过程的终点;以及
从所述柱状材料的表面开始向下蚀刻直至到达所述终点。
CNB038193418A 2002-08-13 2003-08-12 凹槽蚀刻过程的控制方法 Expired - Fee Related CN100376864C (zh)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US40321302P 2002-08-13 2002-08-13
US60/403,213 2002-08-13
US40861902P 2002-09-06 2002-09-06
US60/408,619 2002-09-06
US10/286,410 US7019844B2 (en) 2002-08-13 2002-11-01 Method for in-situ monitoring of patterned substrate processing using reflectometry.
US10/286,410 2002-11-01
US10/286,409 US7399711B2 (en) 2002-08-13 2002-11-01 Method for controlling a recess etch process
US10/286,409 2002-11-01
US10/401,118 US6979578B2 (en) 2002-08-13 2003-03-27 Process endpoint detection method using broadband reflectometry
US10/401,118 2003-03-27

Publications (2)

Publication Number Publication Date
CN1675517A true CN1675517A (zh) 2005-09-28
CN100376864C CN100376864C (zh) 2008-03-26

Family

ID=31721852

Family Applications (4)

Application Number Title Priority Date Filing Date
CN200810000091A Expired - Fee Related CN100595899C (zh) 2002-08-13 2003-08-12 使用宽带反射测定法的工艺终点检测方法
CNB038193418A Expired - Fee Related CN100376864C (zh) 2002-08-13 2003-08-12 凹槽蚀刻过程的控制方法
CNB038194260A Expired - Fee Related CN100370221C (zh) 2002-08-13 2003-08-12 使用宽带反射测定法的工艺终点检测方法
CNB03819340XA Expired - Fee Related CN100353140C (zh) 2002-08-13 2003-08-12 使用反射测定法原位监控图案化衬底处理的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200810000091A Expired - Fee Related CN100595899C (zh) 2002-08-13 2003-08-12 使用宽带反射测定法的工艺终点检测方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNB038194260A Expired - Fee Related CN100370221C (zh) 2002-08-13 2003-08-12 使用宽带反射测定法的工艺终点检测方法
CNB03819340XA Expired - Fee Related CN100353140C (zh) 2002-08-13 2003-08-12 使用反射测定法原位监控图案化衬底处理的方法

Country Status (9)

Country Link
EP (3) EP1546650B1 (zh)
JP (3) JP4679365B2 (zh)
KR (3) KR20050047097A (zh)
CN (4) CN100595899C (zh)
AT (1) ATE445141T1 (zh)
AU (3) AU2003258170A1 (zh)
DE (1) DE60329602D1 (zh)
TW (3) TWI303090B (zh)
WO (3) WO2004015365A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108281346A (zh) * 2016-12-23 2018-07-13 朗姆研究公司 从光谱的时间序列进行特征提取以控制工艺结束点的方法
CN108413883A (zh) * 2017-02-08 2018-08-17 真实仪器公司 用于测量复杂结构的系统及方法
CN108807128A (zh) * 2015-01-12 2018-11-13 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10784086B2 (en) 2015-04-24 2020-09-22 Lam Research Corporation Cobalt etch back
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10998187B2 (en) 2017-04-19 2021-05-04 Lam Research Corporation Selective deposition with atomic layer etch reset
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7006224B2 (en) * 2002-12-30 2006-02-28 Applied Materials, Israel, Ltd. Method and system for optical inspection of an object
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
JP4531465B2 (ja) * 2004-07-06 2010-08-25 株式会社フジクラ ブラインドビアの深さ評価方法および深さ評価装置ならびに基板の研磨装置
DE602007012503D1 (de) * 2006-10-30 2011-03-31 Applied Materials Inc Endpunkterkennung für die Atzung von Photomasken
US7521332B2 (en) * 2007-03-23 2009-04-21 Alpha & Omega Semiconductor, Ltd Resistance-based etch depth determination for SGT technology
CN101599433B (zh) * 2008-06-03 2012-05-23 中芯国际集成电路制造(北京)有限公司 半导体刻蚀方法及刻蚀系统
JP5027753B2 (ja) 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
FR2960340B1 (fr) 2010-05-21 2012-06-29 Commissariat Energie Atomique Procede de realisation d'un support de substrat
CN102954903B (zh) * 2011-08-22 2015-02-04 上海华虹宏力半导体制造有限公司 锗硅薄膜监控片的制备方法及采用该片进行监控的方法
CN102519364B (zh) * 2011-11-30 2014-10-15 上海华力微电子有限公司 用于等离子体刻蚀结构的光学探测方法及计算机辅助系统
CN102426421B (zh) * 2011-11-30 2014-08-13 上海华力微电子有限公司 用于等离子体刻蚀的先进工艺控制方法
JP5789275B2 (ja) * 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
CN103575703B (zh) * 2012-08-09 2016-03-09 中国科学院微电子研究所 利用反射光谱测量单晶硅基太阳能表面增透膜的方法
WO2015005905A1 (en) 2013-07-09 2015-01-15 Halliburton Energy Services, Inc. Integrated computational elements with laterally-distributed spectral filters
WO2015005904A1 (en) 2013-07-09 2015-01-15 Halliburton Energy Services, Inc. Integrated computational elements with frequency selective surface
US9495505B2 (en) 2013-12-24 2016-11-15 Halliburton Energy Services, Inc. Adjusting fabrication of integrated computational elements
EP2909762B1 (en) 2013-12-24 2016-12-21 Halliburton Energy Services, Inc. Fabrication of critical layers of integrated computational elements
EP2901135B1 (en) 2013-12-24 2016-08-24 Halliburton Energy Services, Inc. Real-time monitoring of fabrication of integrated computational elements
EP2926116A4 (en) 2013-12-24 2016-11-30 Halliburton Energy Services Inc IN-SITU MONITORING OF THE MANUFACTURE OF INTEGRATED COMPUTER ELEMENTS
US11274365B2 (en) 2013-12-30 2022-03-15 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
EP2929319A4 (en) 2013-12-31 2016-12-21 Halliburton Energy Services Inc FABRICATION OF INTEGRATED COMPUTER PROCESSING ELEMENTS USING A SHAPED SUBSTRATE CARRIER TO COINCIDE WITH THE SPATIAL PROFILE OF A DEPOSIT PANACHE
MX359196B (es) 2014-02-14 2018-09-19 Halliburton Energy Services Inc Espectroscopía in situ para el monitoreo de la fabricación de elementos computacionales integrados.
MX358581B (es) 2014-03-21 2018-08-27 Halliburton Energy Services Inc Elementos computacionales integrados monolíticos de banda limitada.
MX2016015788A (es) 2014-06-13 2017-04-25 Halliburton Energy Services Inc Elemento computacional integrado con multiples superficies selectivas de frecuencia.
CN107110638B (zh) * 2014-11-02 2018-11-16 诺威量测设备股份有限公司 用于图案化结构中的光学测量的方法和系统
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
JP7002476B2 (ja) * 2016-07-13 2022-01-20 エヴァテック・アーゲー 広帯域光学監視
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
KR102200662B1 (ko) * 2019-10-23 2021-01-12 충남대학교 산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
JP7288553B1 (ja) * 2021-07-14 2023-06-07 株式会社日立ハイテク プラズマ処理装置、データ解析装置及び半導体装置製造システム
KR102630373B1 (ko) * 2022-05-02 2024-01-30 세메스 주식회사 기판 처리 장치 및 기판의 부상량 측정 방법
US20230418995A1 (en) * 2022-06-23 2023-12-28 Onto Innovation Inc. Multiple sources of signals for hybrid metrology using physical modeling and machine learning
CN115996031B (zh) * 2023-03-24 2023-06-13 武汉敏声新技术有限公司 谐振器的制作方法以及谐振器

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US271047A (en) 1883-01-23 Geoege b
US4147435A (en) * 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
DE19640273C1 (de) * 1996-09-30 1998-03-12 Siemens Ag Verfahren zur Herstellung barrierenfreier Halbleiterspeicheranordnungen
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP2000241126A (ja) * 1999-02-25 2000-09-08 Nikon Corp 測定装置及び測定方法
US6271047B1 (en) * 1998-05-21 2001-08-07 Nikon Corporation Layer-thickness detection methods and apparatus for wafers and the like, and polishing apparatus comprising same
US6166819A (en) * 1998-06-26 2000-12-26 Siemens Aktiengesellschaft System and methods for optically measuring dielectric thickness in semiconductor devices
US6275297B1 (en) * 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000292129A (ja) * 1999-04-09 2000-10-20 Toshiba Corp エッチング深さ測定方法および装置
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108807128A (zh) * 2015-01-12 2018-11-13 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN108807128B (zh) * 2015-01-12 2020-11-24 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
US10784086B2 (en) 2015-04-24 2020-09-22 Lam Research Corporation Cobalt etch back
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
CN108281346A (zh) * 2016-12-23 2018-07-13 朗姆研究公司 从光谱的时间序列进行特征提取以控制工艺结束点的方法
CN108281346B (zh) * 2016-12-23 2023-08-11 朗姆研究公司 从光谱的时间序列进行特征提取以控制工艺结束点的方法
CN108413883A (zh) * 2017-02-08 2018-08-17 真实仪器公司 用于测量复杂结构的系统及方法
CN108413883B (zh) * 2017-02-08 2021-08-06 真实仪器公司 用于测量复杂结构的系统及方法
US10861755B2 (en) 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
US10998187B2 (en) 2017-04-19 2021-05-04 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Also Published As

Publication number Publication date
TW200405501A (en) 2004-04-01
CN100353140C (zh) 2007-12-05
CN1675518A (zh) 2005-09-28
TWI276802B (en) 2007-03-21
CN100376864C (zh) 2008-03-26
KR20050028057A (ko) 2005-03-21
AU2003255273A8 (en) 2004-02-25
EP1546650A1 (en) 2005-06-29
WO2004015364A1 (en) 2004-02-19
EP1529193A2 (en) 2005-05-11
CN100370221C (zh) 2008-02-20
TWI314762B (en) 2009-09-11
CN1675516A (zh) 2005-09-28
EP1546649A1 (en) 2005-06-29
ATE445141T1 (de) 2009-10-15
KR20050047098A (ko) 2005-05-19
EP1529193B1 (en) 2009-10-07
KR20050047097A (ko) 2005-05-19
CN100595899C (zh) 2010-03-24
JP4679364B2 (ja) 2011-04-27
DE60329602D1 (de) 2009-11-19
WO2004015365A1 (en) 2004-02-19
JP2005536076A (ja) 2005-11-24
TW200403785A (en) 2004-03-01
AU2003255272A1 (en) 2004-02-25
AU2003258170A1 (en) 2004-02-25
EP1546650B1 (en) 2012-10-03
TW200405011A (en) 2004-04-01
JP4841953B2 (ja) 2011-12-21
TWI303090B (en) 2008-11-11
WO2004015727A3 (en) 2004-04-29
JP2005536074A (ja) 2005-11-24
AU2003255273A1 (en) 2004-02-25
JP4679365B2 (ja) 2011-04-27
JP2005536075A (ja) 2005-11-24
CN101221917A (zh) 2008-07-16
WO2004015727A2 (en) 2004-02-19

Similar Documents

Publication Publication Date Title
CN1675517A (zh) 凹槽蚀刻过程的控制方法
CN1774639A (zh) 用于现场监视器和膜厚及沟槽深度控制的系统和方法
US6979578B2 (en) Process endpoint detection method using broadband reflectometry
US6281974B1 (en) Method and apparatus for measurements of patterned structures
TWI395943B (zh) 用於分析具有一表面層之一樣品之裝置及方法,及用於生產微電子器件之叢集工具及裝置
US20060046323A1 (en) In-situ critical dimension measrument
US7019844B2 (en) Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7399711B2 (en) Method for controlling a recess etch process
US7839509B2 (en) Method of measuring deep trenches with model-based optical spectroscopy
EP1037012B1 (en) Method and apparatus for measurements of patterned structures
JP7463551B2 (ja) トレンチの光測定用ターゲット
CN117038645B (zh) 半导体结构及其制备方法
KR102369936B1 (ko) 광학 측정 방법
Rathsack et al. Inline sidewall angle monitoring of memory capacitor profiles

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080326

Termination date: 20200812

CF01 Termination of patent right due to non-payment of annual fee