CN108807128A - 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻) - Google Patents

集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻) Download PDF

Info

Publication number
CN108807128A
CN108807128A CN201810642746.0A CN201810642746A CN108807128A CN 108807128 A CN108807128 A CN 108807128A CN 201810642746 A CN201810642746 A CN 201810642746A CN 108807128 A CN108807128 A CN 108807128A
Authority
CN
China
Prior art keywords
substrate
gas
room
ald
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810642746.0A
Other languages
English (en)
Other versions
CN108807128B (zh
Inventor
克伦·雅各布斯·卡纳里克
杰弗里·马克斯
哈梅特·辛格
萨曼莎·坦
亚历山大·卡班斯凯
杨文斌
特塞翁格·金姆
丹尼斯·M·豪斯曼
索斯藤·利尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108807128A publication Critical patent/CN108807128A/zh
Application granted granted Critical
Publication of CN108807128B publication Critical patent/CN108807128B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Abstract

本发明涉及集成原子级工艺:ALD(原子层沉积)和ALE(原子层蚀刻),具体提供了用于通过在同一室或反应器中进行两个工艺集成原子层蚀刻和原子层沉积的方法。所述方法涉及在蚀刻过程中依次交替原子层蚀刻和原子层沉积工艺以保护特征免受劣化,改善选择性以及对半导体衬底的敏感层进行封装。

Description

集成原子级工艺:ALD(原子层沉积)和ALE(原子层蚀刻)
本申请是申请号为201610017911.4、申请日为2016年1月12日、发明名称为“集成原子级工艺:ALD(原子层沉积)和ALE(原子层蚀刻)”的申请的分案申请。
技术领域
本发明总体上设计半导体领域,更具体地涉及集成原子级工艺:ALD(原子层沉积)和ALE(原子层蚀刻)。
背景技术
随着特征尺寸的缩小,对原子级处理例如原子层沉积(ALD)和原子层蚀刻(ALE)的需求日益增加。ALD和ALE工艺通常作为单独的工艺进行,使得所有的ALD或所有的ALE操作分别在所有ALE或所有ALD操作之前进行。常规的ALD和ALE工艺使用分开的反应器或室以适应每个相应的工艺的条件、前体化学品和工艺参数。
发明内容
本发明提供集成原子层蚀刻和原子层沉积的方法。一个方面涉及处理衬底的方法,其包括通过在室中进行原子层蚀刻来蚀刻衬底;并通过在室中进行原子层沉积来沉积膜,由此在不破坏真空的情形下进行蚀刻和沉积。
所述蚀刻可以循环进行,其中循环包括:将衬底暴露于蚀刻气体以使衬底表面改性;以及将衬底暴露于去除气体以去除至少一些改性的表面。将衬底暴露于蚀刻气体可进一步包括点燃等离子体。在一些实施方式中,所述方法进一步包括将偏置施加在衬底上。所述蚀刻气体可以是含氯化合物。在多个实施方式中,一个循环蚀刻约1埃至约50埃的膜。所述室可以暴露之间清扫。
沉积可循环进行,其中所述循环包括:将衬底暴露于沉积前体中以使衬底表面改性;以及将衬底暴露于还原剂中以沉积膜。在一些实施方式中,所述方法进一步包括点燃等离子体。在一些实施方式中,至少一些沉积前体在衬底暴露于沉积前体过程中吸附在衬底表面上。可在暴露之间清扫所述室。
在一些实施方式中,进行蚀刻和沉积以在衬底上沉积材料。在一些实施方式中,进行蚀刻和沉积以在衬底上蚀刻材料。
在多个实施方式中,所述蚀刻进一步包括定向溅射衬底。在一些实施方式中,所述蚀刻和沉积在相同的室中进行。所述蚀刻可以非共形地进行。在一些实施方式中,所述蚀刻或沉积中的至少一个是自限性反应。
另一个方面涉及一种方法,其包括:(a)使容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉冲中以逐层蚀刻衬底;(b)将衬底暴露于第一反应物和第二反应物的交替脉冲中以在衬底上沉积膜;和(c)在相同的室中重复(a)和(b)。
所述去除气体可以是选自由N2、Ar、He和Ne所组成的组中的载气。在一些实施方式中,(a)和(b)在相同的室中进行并依次进行。此外,所述室可以在脉冲之间清扫。在多个实施方式中,(a)进一步包括将偏置施加在衬底上。在一些实施方式中,(a)进一步包括定向溅射衬底。
在一些实施方式中,所述方法还包括当将衬底暴露于去除气体时点燃等离子体。所述方法还可以包括当将衬底暴露于第二反应物时点燃等离子体。
在多个实施方式中,(a)或(b)中的至少一个是自限性反应。在一些实施方式中,重复(a)和(b)以在衬底上沉积材料。在一些实施方式中,重复(a)和(b)以在衬底上蚀刻膜。在多个实施方式中,所述衬底选自金属和电介质所组成的组。
另一个方面涉及用于处理衬底的装置,该装置包括:一个或更多个处理室,每个处理室包括卡盘;一个或更多个通向处理室内的气体入口和相关的流动控制硬件;和控制器,其具有至少一个处理器和存储器,由此,所述至少一个处理器和所述存储器可彼此通信地连接,所述至少一个处理器至少可操作地连接在流量控制硬件上,并且所述存储器存储计算机可运行指令,所述计算机可运行指令用于控制所述至少一个处理器以通过下述操作至少控制所述流量控制硬件:通过在室中进行原子层蚀刻来蚀刻衬底;并通过在该室中进行原子层沉积来沉积膜,由此在不破坏真空的情形下进行蚀刻和沉积。
另一个方面涉及用于处理衬底的装置,该装置包括:一个或更多个处理室,每个处理室包括卡盘;一个或更多个通向处理室内的气体入口和相关的流动控制硬件;和控制器,其具有至少一个处理器和存储器,由此,所述至少一个处理器和所述存储器可彼此通信地连接,所述至少一个处理器至少可操作地连接在流量控制硬件上,并且所述存储器存储计算机可运行指令,所述计算机可运行指令用于控制所述至少一个处理器以通过以下操作至少控制所述流量控制硬件:(a)将衬底暴露于蚀刻剂和清扫气体的交替脉冲中以逐层蚀刻所述衬底;(b)将衬底暴露于还原剂和前体的交替脉冲以在经蚀刻的衬底上沉积膜;和(c)在不破坏进行(a)和(b)之间的真空的情形下重复(a)和(b)。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
(a)将容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉冲中以逐层蚀刻所述衬底;
(b)将所述衬底暴露于第一反应物和第二反应物的交替脉冲中以在所述衬底上沉积膜;以及
(c)在同一室中重复(a)和(b)。
2.根据条款1所述的方法,其中(a)进一步包括向所述衬底施加偏置。
3.根据条款1所述的方法,其中(a)进一步包括定向溅射所述衬底。
4.根据条款1所述的方法,其进一步包括当将所述衬底暴露于所述去除气体时点燃等离子体。
5.根据条款1所述的方法,其进一步包括当将所述衬底暴露于所述第二反应物时点燃等离子体。
6.根据条款1-5中任一项所述的方法,其中所述去除气体是选自N2、Ar、He和Ne所组成的组中的载气。
7.根据条款1-5中任一项所述的方法,其中(a)和(b)是在同一室中进行的并且按顺序进行。
8.根据条款1-5中任一项所述的方法,其中在脉冲之间清扫所述室。
9.根据条款1-5中任一项所述的方法,其中(a)或(b)中的至少一个是自限性反应。
10.根据条款1-5中任一项所述的方法,其中重复(a)和(b)以在所述衬底上沉积材料。
11.根据条款1-5中任一项所述的方法,其中重复(a)和(b)以在所述衬底上蚀刻膜。
12.根据条款1-5中任一项所述的方法,其中所述衬底选自由金属和电介质所组成的组。
13.一种用于处理衬底的设备,所述设备包括:
一个或更多个处理室,每个处理室包括卡盘;
一个或更多个通向所述处理室内的气体入口和相关的流量控制硬件;和
具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器能通信地彼此连接,
所述至少一个处理器至少能操作地与所述流量控制硬件连接,并且
所述存储器存储计算机能运行指令,所述计算机能运行指令用于控制所述至少一个处理器以通过以下操作至少控制所述流量控制硬件:
(a)将衬底暴露于蚀刻气体和去除气体的交替脉冲中以逐层蚀刻所述衬底;
(b)将所述衬底暴露于还原剂和前体的交替脉冲中以在所蚀刻的衬底上沉积膜;以及
(c)在进行(a)和(b)之间在不破坏真空的情形下重复(a)和(b)。
下面参照附图进一步描述这些和其他方面。
附图说明
图1A是描述用于根据所公开的实施方式的方法的操作的工艺流程图。
图1B是蚀刻和沉积的示例的示意图。
图1C是描述用于根据所公开的实施方式的方法的操作的工艺流程图。
图1D是描述根据所公开的实施方式实施的操作的示例的时序示意图。
图2是用于实施所公开的实施方式的示例性处理室的示意图。
图3是用于实施所公开的实施方式的示例性处理装置的示意图。
图4示出了根据所公开的实施方式进行的实验的经蚀刻的衬底的图像。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。在没有一些或所有这些具体细节的情形下可以实施所公开的实施方式。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应理解的是并不旨在限制所公开的实施方式。
随着特征尺寸的缩小,对原子级处理例如原子层沉积(ALD)和原子层蚀刻(ALE)的需求日益增长。这些是导致膜厚度的数字和小变化的名义上自我限制步骤的循环工艺。在一些ALE的情形下,所述工艺的特征在于平滑性和保形性,以及还有方向性。ALD和ALE工艺已传统地独立地进行,使得所有沉积方法均在所有蚀刻工艺之前进行,或反之亦然。传统的ALD和ALE工艺在不同的工具上进行。例如,传统的ALD工具不运行ALE工艺,而ALE工具不能运行ALD工艺。
本文提供了用于原位(例如,在工具的同一室或不破坏真空的情形下)集成蚀刻和沉积工艺的方法。所公开的实施方式可用于在衬底上净沉积材料或净蚀刻材料。本文描述的一些方法涉及在ALE工艺过程中通过ALD沉积钝化层。所公开的方法适用于广泛的应用,这些应用包括用于沟槽和孔的蚀刻工艺、MRAM的制造、相变材料制造,蚀刻工艺中的改进的选择性和伪多晶体(poly dummy)去除。例如,蚀刻过程中的沉积可用于在蚀刻过程中保护侧壁或掩模或蚀刻过程中包封材料以及随后的暴露于大气,或者如果沉积是选择性的话则提供选择性;并且在沉积过程中蚀刻可用于在沉积过程中保持特征开放。
图1A提供了用于实施根据所公开的实施方式的方法中的操作的工艺流程图。图1A中的操作可以在约1毫托至约100托,例如约1毫托至约1托之间的室压下进行。在操作101,将衬底或晶片提供给处理室。所述室可以是多室装置或单室装置中的室。所述衬底可以是硅晶片,例如,200mm的晶片,300mm的晶片或450mm的晶片,包括具有一个或更多个材料层的晶片,该材料例如沉积在其上的电介质、导电材料的或半导电材料。在一些实施方式中,所述衬底包括硅(例如无定形硅)的覆盖层,或锗的覆盖层。所述衬底可以包括预先沉积在衬底上并图案化的图案化掩模层。例如,掩模层可沉积在包括非晶硅覆盖层的衬底上并图案化。
在一些实施方式中,衬底上的层可被图案化。衬底可具有“特征”,例如通孔或接触孔,其特征可在于一个或更多个狭窄的和/或内凹的(re-entrant)开口、特征内收缩和高深宽比。所述特征可以在一个或更多个上述层中形成。特征的一个示例是半导体衬底或该衬底上的层中的孔或通孔。另一个示例是衬底或层中的沟槽。在多个实施方式中,所述特征可以具有下层,例如屏障层或粘合层。下层的非限制性示例包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。
在一些实施方式中,所述特征的深宽比可以为至少约2:1、至少约4:1、至少约6:1、至少约10:1、至少约30:1或更高。在高深宽比时可能希望保护特征侧壁。所公开的方法可以在具有小于约150nm的开口的特征的衬底上进行。特征通孔或沟槽可以被称为未填充的特征或特征。特征可具有从特征的底部、封闭端或内部向特征开口变窄的内凹特征。在一些实施方式中,本文所述的方法可用于形成具有这些特性的特征。
返回到图1A,在操作103,通过ALE在室中蚀刻衬底。ALE是使用顺序的自限反应去除材料薄层的技术。一般地,可使用任何合适的技术进行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8883028和2014年8月19日公告的美国专利No.8808561中进行了描述,这些专利在此通过引用并入本文,用于描述示例性原子层蚀刻和蚀刻技术的目的。在多个实施方式中,ALE可以利用等离子体进行,或者可以利用热量进行。
操作103可以循环进行。“ALE循环”的概念与本文的多个实施方式的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺例如蚀刻单层的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的改性操作,随后是去除或蚀刻仅此改性层的去除操作。所述循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应气体,(ii)从室清扫反应物气体,(iii)输送去除气体和可选的等离子体,以及(iv)清扫室。在一些实施方式中,蚀刻可以非共形地执行。图1B示出了ALE循环的两个示例性示意图。图171a-171e示出了通用的ALE循环。在171a中,提供了衬底。在171b中,对衬底的表面进行改性。在171c中,准备下一个步骤。在171d中,蚀刻改性层。在171e中,去除改性层。同样地,图172a-172e示出了用于蚀刻硅膜的ALE循环的示例。在172a中,提供硅衬底,其包括许多个硅原子。在172b中,将反应气体氯气引入到衬底,从而使衬底表面改性。172b的示意图示出了一些氯气被吸附在衬底表面上,作为示例。虽然在图1B中描述了氯气,但是任何含氯化合物或合适的反应物均可以使用。在172c中,从室清扫反应气体氯气。在172d中,引入含有方向性等离子体的去除气体氩气,如Ar+等离子体物质和箭头所示,并进行离子轰击以去除改性的衬底表面。在该操作过程中,将偏置施加在衬底上以朝其吸引离子。在172e中,清扫室并去除副产物。
循环可以仅部分地蚀刻约0.1nm至约50nm的材料,或介于约0.1nm至约5nm之间的材料,或介于约0.2nm至约50nm之间的材料,或介于约0.2nm至约5nm之间的材料。循环中蚀刻的材料的量可取决于与ALD集成的目的。例如,如果ALD被集成以保护侧壁,那么ALE循环中蚀刻的量可以改变,使得实施ALD保护特征底部所暴露的侧壁。在一些实施方式中,ALE循环去除的材料可不到单个层。
在图1A的操作103中将蚀刻化学品引入到室中。如本文所述,在材料被引入到室的操作中,在涉及使用等离子体的原子层蚀刻的一些实施方式中,在处理衬底或晶片之前通过将化学品引入到室中可以稳定反应器或室。可以使用与稳定之后的操作中所用的化学品相同的流速、压力、温度和其他条件稳定室。在一些实施方式中,稳定室可包括不同的参数。在一些实施方式中,载气(例如N2、Ar、Ne、He及其组合)在操作103过程中连续流动。在一些实施方式中,载气仅在去除过程中使用。在一些操作中载气可被用作清扫气体,如下所述。在一些实施方式中,另一种反应气体例如氧气在操作103过程中用于去除经改性的层。在一些实施方式中,载气在去除过程中不流动。
本文提供了可在操作103中进行的改性和去除操作的示例。改性操作形成薄的反应表面层,其厚度比在随后的去除操作中的未改性材料更容易去除。在改性操作中,衬底可以通过将氯气引入到室中被氯化。氯气用作所公开的实施方式中的示例性蚀刻剂物质,但是应当理解的是,在一些实施方式中,将不同的蚀刻气体引入到室中。可以根据待蚀刻的衬底的类型和化学性质选择蚀刻气体。在一些实施方式中,等离子体可被引燃并且氯气与用于蚀刻工艺的衬底发生反应。在一些实施方式中,氯气可以与衬底反应或者可以被吸附在衬底表面上。在多个实施方式中,氯气以气体形式被引入到室中,并且可以任选地伴随着载气,该载气可以是任何上述的那些。由氯等离子体产生的物质可以通过在容纳衬底的处理室中直接形成等离子体产生或者它们可以远程地在未容纳衬底的处理室中产生,并且可被供给到容纳衬底的处理室中。在一些实施方式中,不使用等离子体并且氯气可通过热方法引入到室中。
在多个实施方式中,等离子体可以是感应耦合等离子体或电容耦合等离子体。感应耦合等离子体可以被设置为介于约50W至约2000W之间的等离子体。在一些实施方式中,偏压可以约0V至约500V施加。
在一些实施方式中,清扫可在改性操作之后进行。在清扫操作中,非表面结合的活性氯物质可以从处理室中去除。这可以通过清扫和/或排空处理室以去除活性物质而不去除所吸附的层来完成。氯等离子体中产生的物质可以通过简单地停止等离子体并允许剩余的物质衰变,任选地与清扫和/或排空室相组合来去除。清扫可使用任何惰性气体(例如N2、Ar、Ne、He及其组合)来完成。
在去除操作中,衬底可暴露于能量源(例如,激活或溅射气体或诱导去除的化学反应性物质),例如氩气或氦气,以通过定向溅射蚀刻衬底。在一些实施方式中,去除操作可通过离子轰击来进行。在去除过程中,可以任选地打开偏置以促进定向溅射。在一些实施方式中,ALE可以是各向同性的。
可以控制溅射气体的量以便仅蚀刻目标量的材料。在多个实施方式中,在改性和去除操作之间可以改变室的压力。气体的压力可取决于室的大小、气体的流速、反应器的温度、衬底的种类以及待蚀刻的衬底的大小。如果偏置在去除过程中被打开时,那么偏置可被设定为约50eV,以用于蚀刻软材料例如非晶硅中的特征、沟槽或孔。在一些实施方式中,可以在去除操作之后清扫室。清扫工艺可以是用于改性操作之后的清扫的那些工艺中的任何一种。
在多个实施方式中,改性和删除操作可以重复循环,如约1至约30个循环,或约1至约20个循环。ALE循环任何适当数量的可以被包括来蚀刻的膜的所需量。在一些实施方式中,ALE是循环进行以蚀刻约1埃至约50埃的在衬底上的层的表面。在一些实施方式中,ALE的循环蚀刻约2埃至约50埃的在衬底上的层的表面。
返回到图1A所示,在操作105,薄膜通过ALD在相同的室沉积在衬底上,其中该衬底在操作103被蚀刻或不在操作103破坏真空。操作103和105可以在原位或者在真空中被执行,而没有空气中断或不破坏真空。在多个实施方式中,含硅膜在操作105沉积。示例性含硅膜包括氧化硅和氮氧化硅以及氮化硅。在一些实施方式中,可以沉积金属或含金属的膜。在一些实施方式中,操作105可以在操作103之前执行,一些实施方式中,操作103可以操作105之前执行。
ALD是使用按序自限性反应沉积材料薄层的技术。ALD可使用任何合适的技术来进行。在多个实施方式中,ALD可以使用等离子体进行或者可以通过热方法进行。操作105可以循环进行。
“ALD循环”的概念与本文的多个实施方式的讨论相关。通常,ALD循环是用于进行一次表面沉积反应的最小的一组操作。一个循环的结果是在衬底表面上产生至少一部分含硅薄膜层。通常,ALD循环包括输送并吸附至少一种反应物到衬底表面上以及然后使所吸附的反应物与一种或更多种反应物反应形成一部分膜层的操作。所述循环可包括某些辅助操作,例如清扫反应物或副产物之一和/或处理所沉积的部分膜。通常,循环包括独特系列操作的一个示例。举例而言,ALD循环可包括以下操作:(i)输送/吸附含硅前体,(ii)清扫室的含硅前体,(iii)输送第二反应物和等离子体,以及(iv)清扫室的等离子体。
图1B示出了用于沉积氧化硅(SiO2)的ALD循环的示例性示意图。图182a-182e示出了通用的ALD循环。在182a,提供硅衬底,其包括许多个硅原子。在182b,氧作为氧自由基引入到衬底,其使衬底表面改性。这可能是反应物和等离子体的输送。应当注意的是,作为示例,一些氧自由基被吸附在衬底表面上。在182c,清扫室的氧自由基。在182d,引入含硅前体或硅源并使所述硅源与吸附在衬底表面上的氧自由基反应。在182e,清扫室并去除副产物,留下沉积的SiO2层。
在一些实施方式中,通过ALD沉积的膜可以是高度共形的。膜的共形性可以通过阶梯覆盖率来测量。阶梯覆盖率可以通过比较特征的底部、侧壁或顶部上的沉积膜的平均厚度和特征的底部、侧壁或顶部上的沉积膜的平均厚度来计算。例如,阶梯覆盖率可通过侧壁上的沉积膜的平均厚度除以特征顶部的沉积膜的平均厚度并乘以100得到百分数来计算。
不同于化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个示例中,包括表面活性位点群的衬底表面暴露于第一前体(例如含硅前体)的气相分配,其剂量为提供于容纳衬底的处理室的剂量。该第一前体的分子被吸附在衬底表面上,包括第一前体的化学吸附物质和/或物理吸附的分子。应当理解的是,当化合物被吸附在衬底表面上时,如本文所述,所述吸附层可包括所述化合物以及化合物的衍生物。例如,含硅前体的吸附层可包括含硅前体以及含硅前体的衍生物。在某些实施方式中,ALD的前体剂量部分地充满(saturate)衬底表面。在一些实施方式中,在前体接触衬底以均匀地充满表面之前结束ALD循环的投配阶段。通常,前体流动被关闭或转向这一点,并且仅使清扫气体流动。通过按此亚充满方案操作,ALD工艺减少了循环时间并增加了产量。然而,由于前体吸附不是饱和受限的,所以所吸附的前体的浓度在整个衬底表面可略有变化。2013年10月23日提交的名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION ANDCONFORMAL FILM DEPOSITION”的美国专利申请No.14/061587在此通过引用整体并入本文,其提供了按亚充满方案操作的ALD工艺的示例。第一前体投配之后,接着将反应器排空,以去除气相中剩余的任何第一前体,使得仅剩余所吸附的物质。第二反应物(例如含氧或含氮气体)被引入到反应器中,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二前体与所吸附的第一前体直接反应。在其他实施方式中,第二前体仅在临时施加活化源之后反应。然后可将反应器再次排空以去除未结合的第二前体分子。其他的ALD循环可用于构建膜厚度。
在一些实施方式中,所述ALD方法包括等离子体活化,例如当第二反应物被输送到室时。如本文所述,本文所述的ALD方法和装置可以是共形的膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的名称“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084305中,这些专利文件在此通过引用整体并入本文。ALD工艺的另外的示例描述在Puurunen的“Surfacechemistry of atomic layer deposition:for the trimethylaluminum/waterprocess”,97J.Applied Physics 12301(2005)中,其在此通过引用并入本文,目的是为合适的ALD工艺提供说明。
返回到图1A,在操作105,在一些实施方式中,载气例如N2、Ar、Ne、He及其组合可以连续地流动。载气可以用作清扫气体。可以提供惰性气体以协助处理室的压力和/或温度控制、液体反应物的蒸发、更迅速地输送反应物和/或作为清扫气体用于从处理室和/或处理室管道去除工艺气体。
本文提供的是可在操作105中进行的吸附和第二反应物输送操作的示例。在ALD循环的吸附操作中,衬底可暴露于膜前体,例如四氯化硅(SiCl4),以吸附在衬底表面上。在一些实施方式中,膜前体可以是含硅前体。在一些实施方式中,膜前体,例如SiCl4,可以吸附在约60%的衬底表面上。在多个实施方式中,当膜前体流到室中时,膜前体吸附到衬底表面上的活性位点上,在表面上形成膜前体薄层。在多个实施方式中,该层可小于单层。
在吸附之后,可以任选地清扫室以去除气相中未吸附在衬底表面上的过量的前体。清扫可涉及清扫气体,其可以是其他操作中使用的载气或不同的气体。在一些实施方式中,清扫可涉及排空室。
在ALD循环的第二反应物输送操作中,衬底可暴露于第二反应物和任选的等离子体。在多个实施方式中,第二反应物是氧气(O2)或氮气(N2)或其组合。在其中沉积氧化硅层的一些实施方式中,氧气被用作第二反应物。在一些实施方式中,第二反应物流和等离子体均打开。在一些实施方式中,可以在打开等离子体之前打开第二反应物流以例如使第二反应物流能稳定。
在一些实施方式中,任选的等离子体是原位等离子体,使得等离子体在室中的衬底表面上直接形成。在多个实施方式中,等离子体可以是感应耦合等离子体或电容耦合等离子体。电感耦合等离子体可以被设置为约50W至约2000W的等离子体。在一些实施方式中,可施加约0V至约500V的偏压。在第二反应物的输送中,膜前体,例如SiCl4,处于关闭状态。可以将衬底暴露于第二反应物和任选的等离子体中,持续时间超过等离子体与吸附在衬底表面上的所有前体相互作用以在衬底表面上形成连续膜的时间。
在第二反应物输送操作之后,可例如通过引入载体或惰性气体来清扫室。用于此操作的条件可以是用于清扫工艺的任何上述的那些。
在多个实施方式中,可以重复ALD循环。例如,用于ALD的操作可执行约5至约70个循环。可以包括任何合适数量的沉积循环以沉积所希望的膜厚度的沉积膜。在一些实施方式中,ALD循环可沉积约1埃/循环。取决于操作的暴露时间,每个循环可沉积膜,例如氧化硅或氮氧化硅膜,其厚度为约0.05埃至约5埃。在一些实施方式中,每分钟可进行约两个至约三个ALD循环。在一些实施方式中,每分钟可进行约三个循环以上,例如在具有定位成更靠近衬底的入口的室中。
在一些实施方式中,ALD操作产生能够保护特征在随后的ALE工艺中免受横向蚀刻的共形膜,ALE工艺会在同一室中进行。在一些实施方式中,ALD被集成以在衬底上选择性地沉积膜,以至于在特征的拐角上沉积膜以保护它们在蚀刻工艺过程中免受腐蚀。在一些实施方式中,至少一个操作103和105是自限性反应。在一些实施方式中,操作103和105中的至少一个尽可能地是自限性反应。例如,在一些实施方式中,仅操作103是自限性的工艺。在一些实施方式中,仅操作105是自限性的工艺。在一些实施方式中,操作103和105二者均是自限性的。在多个实施方式中,操作103和105可以依次进行。下面参照图1C和1D进一步描述依次进行这些操作的示例。
在操作107,任选地施加偏压。施加偏压可以蚀刻侧壁,所以在多个实施方式中,偏压可以不连续地施加并且可以在多个ALD和ALE循环之后施加。可进行此操作以突破通过ALD沉积的膜。在一些实施方式中,偏压被设定为约80eV以突破通过ALD循环沉积的层。
在操作109,确定是否已经进行足够的蚀刻。如果未充分蚀刻轮廓,那么可以重复操作103-109。在一些实施方式中,在同一室中或在不破坏用于反复循环的真空(如同在初始循环中)的情形下进行操作103-109。
图1C和1D提供了所公开的实施方式的进一步的示例。在操作110,容纳在室中的衬底可暴露于蚀刻剂或蚀刻气体以使衬底表面改性。这可以被称为“表面改性”操作。在一些实施方式中,这可对应于图1D中所示的表面改性阶段150A并且可以是蚀刻循环的一部分。如图1D所示,在此操作过程中,载气可以任选地流动,同时使蚀刻剂流入到室中。载气可以是参照图1A的上述任何载气。在一些实施方式中,载气在表面改性过程中不流动。蚀刻剂可以流动,使得它仅使衬底的停止表面改性。在多个实施方式中,偏压可在操作110过程中施加。偏压可在约0V至约500V的功率下施加。
返回到图1C,在操作112,通过在去除操作中将衬底暴露于溅射气体可以从衬底去除衬底改性层,所述溅射气体可以与载气相同或不同。在多个实施方式中,至少一部分衬底表面可在此操作中蚀刻。上文参照操作103提供的示例可根据这些操作使用。实施操作110和112在一些实施方式中可以构成进行一次原子层蚀刻。在一些实施方式中,操作110和112可以任选地重复一次或更多次(例如,在一个或更多个循环中)。
操作112可对应于如图1D所示的去除阶段152A。实施表面改性150A和去除152A可构成蚀刻循环130A。在一些实施方式中,循环可包括更多个操作。在去除过程中,载气可以在任何其他化学物质不流动的情形下流动。载气可以流动以帮助促进随着改性层被蚀刻而清扫改性层。图1D中所提供的示例是在不重复操作110和112的情形下进行一次图1C的操作110和操作112的示例。
在操作114,衬底暴露于第一反应物以使所述第一反应物吸附在衬底表面的至少一些上。此操作可以在不破坏实施操作110和112的真空的情形下进行。在一些实施方式中,第一反应物是膜前体,如本文所述。在多个实施方式中,第一反应物可吸附在衬底的活性位点上。ALD循环过程中吸附的上述示例与此操作相关。
操作114可对应于图1D的第一前体暴露阶段164A。在此操作过程中,第一前体可以与任选的载气一起流动。应当注意的是,在此操作过程中,下面进一步描述的蚀刻剂流和第二反应物流可以不流动。此操作进行的持续时间可以足以充满衬底表面的至少一部分。在一些实施方式中,可以充满至少约40%、或至少约60%、或至少约80%、或约100%的表面。
在一些实施方式中,在执行第一前体暴露阶段164A之后,在图1D中的清扫阶段165A中可以任选地清扫容纳衬底的室。在清扫阶段165A过程中,载气流动以去除未吸附在衬底表面上的过量的第一前体。在一些实施方式中,不进行清扫阶段。
返回到图1C,可以进行操作116,使得衬底暴露于第二反应物。在多个实施方式中,第二反应物可以是还原剂。第二反应物与吸附层反应以在衬底上沉积薄膜。应当注意的是,在一些实施方式中,操作114和116可以以相反的方式进行使得在使第一反应物吸附在衬底表面上之前进行操作116。操作116可对应于第二反应物暴露阶段166A,如图1D所示。在此阶段过程中,第二反应物流动,并且载气任选地流动。在一些实施方式中,第二反应物在没有载气的情形下流动。蚀刻剂与第一前体流在此阶段过程中不打开。在多个实施方式中,第二反应物暴露阶段还可以包括点燃等离子体(未示出)。在一些实施方式中,可在不使用等离子体的情形下沉积薄膜层。在一些实施方式中,在清扫阶段167A过程中在第二反应物暴露阶段166A之后任选地清扫室。可进行清扫阶段167A以从室去除副产物或过剩的气相第二反应物。在一些实施方式中,不清扫室。
图1C的操作114和116可任选地重复一次或更多次。实施操作114和116可构成ALD循环,如上参照图1A所述。在多个实施方式中,操作110-116重复一次或更多次。操作110和112的重复循环的组合,和操作114和116的重复循环可在进一步的循环中进行。例如,在一些实施方式中,包括实施操作110-112两次和实施操作114-116三次的循环可以重复两次或更多次。在一些实施方式中,依次进行操作110-112和操作114-116的循环。例如,在进行操作110-112之后,进行操作114-116和/或反之亦然。工艺中使用的循环频率和循环次数可取决于所使用的所公开的实施方式的应用类型。
在图1D中,每个循环重复一次。这样,蚀刻循环130A构成重复图1C的操作110-112一次,沉积循环132A构成重复图1C的操作114-116一次,并且然后在蚀刻循环130B和沉积循环132B中再重复两个循环一次。蚀刻循环130B包括表面改性阶段150B和去除阶段152B,而沉积循环132B包括第一前体暴露阶段164B、清扫阶段165B、第二反应物暴露阶段166B和清扫阶段167B。应当注意的是,在一些实施方式中,进一步的重复循环可以或可以不包括清扫阶段165B和167B。在一些实施方式中,暴露和清扫阶段的持续时间可以在集成工艺过程中随循环的变化而变化。在一些实施方式中,循环的次数可在蚀刻集成工艺过程中随时间的推移而发生变化。
在一些实施方式中,载气可以仅在蚀刻循环过程中流动,而在沉积循环过程中不流动。在一些实施方式中,载气可以仅在沉积循环过程中流动而在蚀刻循环过程中不流动。在一些实施方式中,载气可在蚀刻和沉积循环之间变化。
在多个实施方式中,工艺条件,例如基座温度、室温度、室压力、气体流速、气体流化学品、脉冲的持续时间、暴露和清扫、等离子体频率、等离子体功率和偏置功率,可以根据应用的类型和装置或工具的类型进行调整,所公开的实施例在所述装置或工具上执行。
本文所述的方法可适用于多种应用。应用的示例包括:(1)高深宽比的侧壁或掩模保护,(2)MRAM(磁阻随机存取存储器)蚀刻和封装工艺,以及(3)在定向蚀刻工艺过程中的选择性改善。
在一些半导体工艺中,定向蚀刻软质材料(例如无定形硅或锗)以形成沟槽或孔,或其中含有具有这些特征的层的衬底可暴露于定向蚀刻。然而,一些中性物质可以在可以蚀刻特征的侧壁的沟槽或特征中形成。小的特征的ALE工艺特别会导致侧壁容易受到横向蚀刻的影响,这会拉长特征。通过集成ALE和ALD工艺的本文所述的方法,在ALD过程中沉积的膜可保护这些特征的侧壁在ALE过程中免受蚀刻。同样地,也可以使用这些ALE和ALD集成的工艺以减少掩模拐角小面化(faceting),提高掩模的刚性并防止掩模降解。
传统的MRAM蚀刻和封装工艺通常涉及暴露湿度敏感层,从而具有污染装置的风险。虽然封装用在MRAM制造中,但是本文所述的封装也可以用于其他装置制造并且可用于其他敏感层。
在MRAM蚀刻中,MRAM堆叠可包括沉积在MTJ(磁性隧道结)上的钽掩模,其进一步沉积在钴和/或钽层和衬底上。在一些实施方式中,有更多的层沉积在这些层之间。MTJ可包括两个钴铁硼(CoFeB)层,以及夹在两个CoFeB层之间的氧化镁(MgO)层。
该堆栈可以通过首先蚀刻钌层进行蚀刻。在一些实施方式中,钌层可以通过ALE进行蚀刻。接着,氩或氦等离子体可用于蚀刻并打开MTJ,从而暴露湿度敏感层。本文所述的方法允许衬底保持在同一室内同时然后进行ALD以在湿度敏感层上沉积薄封装膜并封装它。在一些实施方式中,此薄封装膜是氧化物或氮化物层。在多个实施方式中,下面的钴和/或钽层然后可被蚀刻以突破衬底而继续蚀刻下面的层。
本文所述的方法也可适用于改进蚀刻工艺的选择性。例如,在一些实施方式中,可进行共形层沉积以在衬底的一些表面上沉积较厚的层。在一些实施方式中,可进行选择性的ALD以在一些区域上而不在其他区域上沉积薄膜,使得后续的ALE工艺蚀刻的暴露区域比ALD沉积的区域大。例如,可进行ALD以在特征的边缘上沉积以防止它们在ALE过程中小面化。
设备
现在描述在某些实施方式中可适用于原子层蚀刻(ALE)操作和原子层沉积(ALD)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还描述在2013年12月10日提交的并且名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方式中也可以使用电容耦合等离子体反应器。
图2示意性地示出了适于实施本文的某些实施方式的感应耦合等离子体集成蚀刻和沉积设备200的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体设备200包括由室壁和窗211结构上限定的总处理室201。室壁可以由不锈钢或铝制成。窗211可以由石英或其他介电材料制成。任选的内部等离子体栅格250将总处理室分为上副室202和下副室203。在大多数实施方式中,等离子体栅格250可以被移除,从而利用由副室202和203制成的室空间。卡盘217定位在下副室203中在底部内表面附近。卡盘217被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片219。卡盘217可以是当晶片219存在时用于支撑晶片219的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘217,并具有大致与晶片219(当晶片存在于卡盘217上方时)的顶面在同一平面的上表面。卡盘217还包括能夹紧和放松晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片219使其离开卡盘217。卡盘217可以用RF功率源223充电。RF功率源223通过连接件227被连接到匹配电路221。匹配电路221通过连接件225连接到卡盘217。以这种方式,RF功率源223被连接到卡盘217上。
用于等离子体产生的元件包括位于窗211上方的线圈233。在一些实施方式中,所公开的实施方式中未使用线圈。线圈233由导电材料制成,并包括至少一整匝。在图2中所示的线圈233的例子包括三匝。线圈的横截面用符号示出。具有“X”的线圈233符号的横截面表示线圈233旋转地延伸到页面内。相反,具有“●”的线圈233符号旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈233的RF功率源241。一般地,RF功率源241通过连接件245被连接到匹配电路239。匹配电路239通过连接件243连接到线圈233。以这种方式,RF功率源241被连接到线圈233。可选的法拉第屏蔽件249被定位在线圈233和窗211之间。法拉第屏蔽件249以相对于线圈233隔开的关系被保持。法拉第屏蔽件249被设置在窗211的正上方。线圈233、法拉第屏蔽件249和窗211各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室的电介质窗上
工艺气体(例如氯气、氩气、四氯化硅、氧气、氮气等)可以通过位于上室的一个或更多个主气流入口260和/或通过一个或更多个侧气流入口270流入处理室。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵240,可用于将工艺气体从处理室201抽出并维持处理室201内的压力。例如,泵可用于在ALD清扫操作过程中排空室201。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口260和/或270供给。在某些实施方式中,工艺气体可以仅通过主气体流入口供给,或者仅通过侧气体流入口供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件249和/或任选的格栅250可以包括使工艺气体能输送至室的内部通道和孔。法拉第屏蔽件249和/或任选的格栅250中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方式中,液体蒸发和输送系统可位于室201的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气流入口260和/或270引入到室中。示例性液体前体包括SiCl4和硅酰胺。
射频功率从RF功率源241供给到线圈233以使RF电流流过线圈233。流过线圈233的RF电流产生围绕线圈233的电磁场。电磁场产生在上副室202内的感应电流。所生成的各离子和自由基与晶片219的物理和化学相互作用选择性地蚀刻晶片上的特征和沉积层。
如果使用等离子体网格使得存在上副室202和下副室203二者,则感应电流作用于存在于上副室202中的气体上以在上副室202中产生电子-离子等离子体。任选的内部等离子体网格250限制下副室203中的热电子的量。在一些实施方式中,设计和操作所述设备使得存在于下副室203中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,虽然离子-离子等离子体将具有更大的阴离子:阳离子比率。挥发性的蚀刻和/或沉积的副产物可通过端口222从下副室203去除。本文所公开的卡盘217可在约10℃至约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
室201当安装在干净的房间或制造厂中时可连接在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时连接在室201上。此外,室201可耦合在传送室上,从而允许使用典型的自动化由机器人进出室201传送半导体晶片。
在一些实施方式中,系统控制器230(其可包括一个或更多个物理或逻辑控制器)控制处理室的一些或所有操作。系统控制器230可包括一个或更多个存储器设备和一个或更多个处理器。在一些实施方式中,所述设备包括当进行所公开的实施方式时用于控制流速和持续时间的开关系统。在一些实施方式中,所述设备可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学品、配方选择、反应器的体系结构和其他因素。
在一些实现方式中,控制器230是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器230可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器230可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器230接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器230可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图3描述了半导体工艺集群结构,其中各个模块与真空传送模块338(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的传送模块装置可以被称为“集群工具架构”系统。气密室330(也被称为装载锁或传送模块)在VTM 338示出具有四个处理模块320a-320d,其可以被单独优化以执行各种制造工艺。例如,处理模块320a-320d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。在一些实施方式中,ALD和ALE在相同的模块中进行。在一些实施方式中,ALD和ALE在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(320a-320d中的任意一个)可以如本文所公开的被实施,即,用于沉积共形膜,选择性地通过ALD沉积膜,蚀刻图案,以及根据所公开的实施方式所述的其它功能。气密室330和处理模块320可以被称为“站”。每个站具有将站与VTM 338连接的小面336(facet 336)。在每个小面内部,传感器1-18被用于在衬底326在各站之间移动通过时检测衬底326。
机械手322将晶片326在站之间传输。在一个实施方式中,机械手322具有一个臂,而在另一实施方式中,机械手可以具有两个臂,其中每个臂具有端部执行器324以拾取晶片(例如晶片326)以供运输。在大气传送模块(ATM)340中,前端机械手332可以用于从在负载端口模块(LPM)342中的晶片盒或前开式晶片盒(FOUP)334传送晶片326到气密室330。处理模块320内的模块中心328可以是用于放置晶片326的地方。在ATM 340中的对准器344可以用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 342中的多个FOUP 334中的一个中。前端机械手332将晶片从FOUP 334传送到对准器344,其允许晶片326在被蚀刻或处理之前适当地居中。对准后,晶片326由前端机械手332移动到气密室330中。由于气密室模块具有匹配ATM和VTM之间的环境的能力,因此晶片326能够在两个压强环境之间移动而不被破坏。从气密室模块330,晶片通过机械手322移动通过VTM 338并进入处理模块320a-320d中的一个。为了实现这种晶片移动,机械手322在其每一个臂上使用端部执行器324。一旦晶片326已被处理,则通过机械手322将其从处理模块320a-320d移动到气密室模块330中。晶片326可以从这里通过前端机械手322移动到多个FOUP 334中的一个中或到对准器344。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图2所述的控制器可以用图3中的工具实施。
实验
实验1
根据所公开的实施方式进行实验。提供了无定形硅衬底。衬底还包括有图案的掩模。将衬底提供在可从加利福尼亚州弗里蒙特的Lam Research Corp.获得的KiyoTM反应器中。衬底暴露在50个ALE循环中以蚀刻特征。在ALE循环之后,然后将衬底暴露于50个ALD循环中,使用SiCl4作为前体并且O2等离子体作为第二反应物,在相同的Kiyo反应器中在不破坏真空的情形下产生5nm的SiO2。应当注意的是,沉积5nm的SiO2以明显示出衬底图像中的结果。
衬底400中所得的蚀刻特征描述在图4中。图像401示出了具有图案化掩模410的蚀刻衬底,其中SiO2共形覆盖层420沉积在图案化掩模410上。应当注意的是在所示的图中示出了铬430的覆盖层。图像403示出了图像401中的相同特征的放大图像。如图像403中所示,通过ALD沉积的SiO2 420是共形的,其中侧壁上为约5nm并且特征底部沉积约5.4nm。结果证明了集成ALE和ALD工艺在同一室中的可行性。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方式,但是显而易见的是,可在所附权利要求书的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (10)

1.一种方法,其包括:
(a)将容纳在室中的衬底暴露于蚀刻气体和去除气体的交替脉冲中以逐层蚀刻所述衬底;
(b)将所述衬底暴露于第一反应物和第二反应物的交替脉冲中以在所述衬底上沉积膜;以及
(c)在同一室中重复(a)和(b)。
2.根据权利要求1所述的方法,其中(a)进一步包括向所述衬底施加偏置。
3.根据权利要求1所述的方法,其中(a)进一步包括定向溅射所述衬底。
4.根据权利要求1所述的方法,其进一步包括当将所述衬底暴露于所述去除气体时点燃等离子体。
5.根据权利要求1所述的方法,其进一步包括当将所述衬底暴露于所述第二反应物时点燃等离子体。
6.根据权利要求1-5中任一项所述的方法,其中所述去除气体是选自N2、Ar、He和Ne所组成的组中的载气。
7.根据权利要求1-5中任一项所述的方法,其中(a)和(b)是在同一室中进行的并且按顺序进行。
8.根据权利要求1-5中任一项所述的方法,其中在脉冲之间清扫所述室。
9.根据权利要求1-5中任一项所述的方法,其中(a)或(b)中的至少一个是自限性反应。
10.一种用于处理衬底的设备,所述设备包括:
一个或更多个处理室,每个处理室包括卡盘;
一个或更多个通向所述处理室内的气体入口和相关的流量控制硬件;和
具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器能通信地彼此连接,
所述至少一个处理器至少能操作地与所述流量控制硬件连接,并且
所述存储器存储计算机能运行指令,所述计算机能运行指令用于控制所述至少一个处理器以通过以下操作至少控制所述流量控制硬件:
(a)将衬底暴露于蚀刻气体和去除气体的交替脉冲中以逐层蚀刻所述衬底;
(b)将所述衬底暴露于还原剂和前体的交替脉冲中以在所蚀刻的衬底上沉积膜;以及
(c)在进行(a)和(b)之间在不破坏真空的情形下重复(a)和(b)。
CN201810642746.0A 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻) Active CN108807128B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562102463P 2015-01-12 2015-01-12
US62/102,463 2015-01-12
US14/696,254 2015-04-24
US14/696,254 US9576811B2 (en) 2015-01-12 2015-04-24 Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN201610017911.4A CN105789027A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610017911.4A Division CN105789027A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Publications (2)

Publication Number Publication Date
CN108807128A true CN108807128A (zh) 2018-11-13
CN108807128B CN108807128B (zh) 2020-11-24

Family

ID=56368031

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201610017911.4A Pending CN105789027A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN201810642746.0A Active CN108807128B (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN202011156060.4A Pending CN112530789A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610017911.4A Pending CN105789027A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202011156060.4A Pending CN112530789A (zh) 2015-01-12 2016-01-12 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Country Status (6)

Country Link
US (5) US9576811B2 (zh)
JP (1) JP6935985B2 (zh)
KR (1) KR102570795B1 (zh)
CN (3) CN105789027A (zh)
SG (1) SG10201600099VA (zh)
TW (1) TWI694166B (zh)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
WO2017091327A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Method for modifying epitaxial growth shape
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10438797B2 (en) * 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102403102B1 (ko) * 2016-12-15 2022-05-26 에이에스엠 아이피 홀딩 비.브이. 반도체 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20180294168A1 (en) * 2017-04-11 2018-10-11 Tokyo Electron Limited Method for anisotropic dry etching of titanium-containing films
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
DE102017211539A1 (de) * 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111615567B (zh) * 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
TWI778226B (zh) * 2018-02-20 2022-09-21 日商東京威力科創股份有限公司 達成側壁蝕刻的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019169627A (ja) * 2018-03-23 2019-10-03 東京エレクトロン株式会社 エッチング方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN111937122A (zh) * 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
CN108448008B (zh) * 2018-04-12 2020-05-01 昆山梦显电子科技有限公司 Oled薄膜封装工艺及oled薄膜封装系统
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102413572B1 (ko) * 2018-05-11 2022-06-28 주식회사 원익아이피에스 기판 처리 장치
KR102475844B1 (ko) * 2018-05-11 2022-12-09 주식회사 원익아이피에스 기판 처리 장치
KR102475843B1 (ko) * 2018-05-30 2022-12-09 주식회사 원익아이피에스 박막 형성 방법
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
KR102466724B1 (ko) * 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
EP3570317A1 (en) 2018-05-17 2019-11-20 IMEC vzw Area-selective deposition of a mask material
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10707100B2 (en) * 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102027776B1 (ko) 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US20220165546A1 (en) * 2019-03-14 2022-05-26 Lam Research Corporation Plasma etch tool for high aspect ratio etching
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
EP3980573A1 (en) * 2019-06-04 2022-04-13 Danmarks Tekniske Universitet Atomic layer process printer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11170981B2 (en) 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11295937B2 (en) 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210078264A (ko) 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111243948B (zh) * 2020-01-17 2023-03-21 北京北方华创微电子装备有限公司 用于半导体加工的原子层刻蚀方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11658043B2 (en) 2020-09-03 2023-05-23 Applied Materials, Inc. Selective anisotropic metal etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813418B (zh) * 2020-12-30 2022-05-24 无锡邑文电子科技有限公司 基于ald技术的晶圆原子层沉积控制系统及高效晶圆生产方法
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11910601B2 (en) 2021-01-05 2024-02-20 Micron Technology, Inc. Microelectronic devices with source region vertically between tiered decks, and related methods and systems
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
CN1552097A (zh) * 2001-05-04 2004-12-01 ���������ƴ���ʽ���� 具有连续沉积和蚀刻的电离pvd
CN1675517A (zh) * 2002-08-13 2005-09-28 朗姆研究公司 凹槽蚀刻过程的控制方法
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
JPH03133128A (ja) * 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
GB2322235B (en) 1995-10-19 2000-09-27 Massachusetts Inst Technology Metals removal process
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
WO2003098662A2 (en) 2002-05-14 2003-11-27 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
KR100742644B1 (ko) * 2006-01-05 2007-07-25 재단법인서울대학교산학협력재단 단원자층 증착법을 이용한 양자점 형성 방법
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
WO2012023537A1 (ja) * 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
CN1552097A (zh) * 2001-05-04 2004-12-01 ���������ƴ���ʽ���� 具有连续沉积和蚀刻的电离pvd
CN1675517A (zh) * 2002-08-13 2005-09-28 朗姆研究公司 凹槽蚀刻过程的控制方法
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas

Also Published As

Publication number Publication date
US20200161139A1 (en) 2020-05-21
TWI694166B (zh) 2020-05-21
US9576811B2 (en) 2017-02-21
US20190139778A1 (en) 2019-05-09
TW201641731A (zh) 2016-12-01
US10515816B2 (en) 2019-12-24
US9805941B2 (en) 2017-10-31
JP2016131238A (ja) 2016-07-21
KR102570795B1 (ko) 2023-08-24
KR20160087348A (ko) 2016-07-21
JP6935985B2 (ja) 2021-09-15
US20160203995A1 (en) 2016-07-14
US20170117159A1 (en) 2017-04-27
US10186426B2 (en) 2019-01-22
CN105789027A (zh) 2016-07-20
CN108807128B (zh) 2020-11-24
CN112530789A (zh) 2021-03-19
SG10201600099VA (en) 2016-08-30
US20180033635A1 (en) 2018-02-01

Similar Documents

Publication Publication Date Title
CN108807128A (zh) 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
US10566213B2 (en) Atomic layer etching of tantalum
CN106067442B (zh) 钴深蚀刻
CN108735675A (zh) 氧化硅的选择性沉积
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
CN106252222A (zh) GaN和其他III-V族材料的原子层蚀刻
KR20200106087A (ko) 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
CN106449360A (zh) 用于增强钨沉积填充的钨的原子层蚀刻
US20230093011A1 (en) Atomic layer etching of molybdenum

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant