TW201641731A - 整合原子尺度製程:原子層沉積與原子層蝕刻 - Google Patents

整合原子尺度製程:原子層沉積與原子層蝕刻 Download PDF

Info

Publication number
TW201641731A
TW201641731A TW105100640A TW105100640A TW201641731A TW 201641731 A TW201641731 A TW 201641731A TW 105100640 A TW105100640 A TW 105100640A TW 105100640 A TW105100640 A TW 105100640A TW 201641731 A TW201641731 A TW 201641731A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
processing
etching
gas
Prior art date
Application number
TW105100640A
Other languages
English (en)
Other versions
TWI694166B (zh
Inventor
凱倫 賈考柏思 凱那瑞克
傑弗瑞 馬克思
哈密特 席恩
暹華 陳
亞歷山大 卡本斯基
楊文兵
金台昇
丹尼斯 M 豪斯曼恩
托爾斯滕 立爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201641731A publication Critical patent/TW201641731A/zh
Application granted granted Critical
Publication of TWI694166B publication Critical patent/TWI694166B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Abstract

提供用以透過在相同腔室或反應器中執行原子層蝕刻與原子層沉積兩者,而整合該等製程的方法。方法涉及在原子層蝕刻與原子層沉積製程之間的相繼交替,以避免特徵部在蝕刻期間劣化、改善選擇性、並封裝半導體基板的敏感性層。

Description

整合原子尺度製程:原子層沉積與原子層蝕刻
本發明係關於整合原子尺度製程:原子層沉積與原子層蝕刻。
隨著特徵部尺寸微型化,對於原子尺度製程之需求漸增,例如原子層沉積 (ALD) 與原子層蝕刻 (ALE)。在習知技術中,將ALD與ALE 製程作為個別的製程來執行,使得所有ALD 或所有 ALE 操作,分別地在所有ALE或所有ALD操作之前執行。習知的ALD與ALE製程使用個別的反應器或腔室以針對各個對應的處理而提供條件、前驅物化學、及參數。
本文中提供原子層蝕刻與原子層沉積之整合方法。一態樣涉及透過下列步驟來處理基板的方法:以原子層蝕刻處理在一腔室中蝕刻基板;並且以原子層沉積處理在該腔室中沉積一薄膜;其中該蝕刻步驟及該沉積步驟係在無破壞真空之情況下執行。
可以循環的方式來執行該蝕刻步驟,其中一循環包括下列步驟:將基板暴露到蝕刻氣體,以將該基板的表面改質;並且將該基板暴露到移除氣體,以將至少若干的已改質的表面移除。將基板暴露到蝕刻氣體之步驟,可更包含引燃電漿。在一些實施例中,該方法更包括對該基板施加偏壓。該蝕刻氣體可為含氯化合物。在許多實施例中,一循環將約1 Å 到約 50 Å之間的薄膜蝕刻掉。在暴露步驟之間可沖洗該腔室。
可以循環的方式來執行該沉積步驟,其中一循環包括下列步驟:將該基板暴露到沉積前驅物,以將該基板的表面改質;並且將該基板暴露到還原劑,以沉積該薄膜。在一些實施例中,該方法更包含引燃電漿。在一些實施例中,於該基板暴露到該沉積前驅物期間,至少若干的該沉積前驅物吸附在該基板的表面上。在暴露步驟之間可沖洗該腔室。
在一些實施例中,執行該蝕刻步驟與該沉積步驟,以將材料沉積在該基板上。在一些實施例中,執行該蝕刻步驟與該沉積步驟,以蝕刻該基板上的材料。
在許多實施例中,該蝕刻步驟更包括對該基板進行方向性濺射。在一些實施例中,該蝕刻步驟與該沉積步驟係在相同的腔室中執行。該蝕刻步驟可非保型地執行。在一些實施例中,該蝕刻步驟或該沉積步驟中之至少一者為自限制反應。
另一態樣涉及一方法,其包含下列步驟:(a)將容置於一腔室中的基板暴露到蝕刻氣體與移除氣體的交替脈衝,以逐層地蝕刻該基板;(b)將該基板暴露到第一反應物與第二反應物的交替脈衝,以將薄膜沉積在該基板上;並且(c)於相同的腔室中重複執行步驟(a)與步驟(b)。
該移除氣體可為選自由下列所組成之群組的載氣: N2 、 Ar、 He、 及 Ne。在一些實施例中,步驟(a)與步驟(b)係在相同的腔室中執行,且相繼地執行。再者,在脈衝之間可沖洗該腔室。在許多實施例中,步驟(a)更包括對該基板施加偏壓。在一些實施例中,步驟(a)更包括對該基板進行方向性濺射。
在一些實施例中,該方法亦包括在將該基板暴露到該移除氣體時引燃電漿。該方法可亦包括在將該基板暴露到該第二反應物時引燃電漿。
在許多實施例中,步驟(a)或步驟(b)中之至少一者為自限制反應。在一些實施例中,重複執行步驟(a)與步驟(b),以將材料沉積在該基板上。在一些實施例中,重複執行步驟(a)與步驟(b),以蝕刻該基板上的薄膜。在許多實施例中,該基板係選自由金屬與介電質所組成之群組。
另一態樣涉及用以處理基板的設備,該設備包括:一或更多處理腔室,各處理腔室包含一卡盤;進入該等處理腔室以及相關的流量控制硬體的一或更多氣體入口;以及一控制器,其具有一記憶體以及至少一處理器,其中該記憶體以及該至少一處理器彼此通訊地連接;該至少一處理器至少與該流量控制硬體操作地連接;並且該記憶體儲存用以控制該至少一處理器的電腦可執行指令,以至少控制該流量控制硬體進行下列操作:以原子層蝕刻步驟在一腔室中蝕刻基板;並且以原子層沉積處理在該腔室中沉積一薄膜;其中在無破壞真空之情況下執行該蝕刻操作及該沉積操作。
另一態樣涉及用以處理基板的設備,該設備包括: 一或更多處理腔室,各處理腔室包含一卡盤;進入該等處理腔室以及相關的流量控制硬體的一或更多氣體入口;以及一控制器,其具有一記憶體以及至少一處理器,其中該記憶體以及該至少一處理器彼此通訊地連接;該至少一處理器至少與該流量控制硬體操作地連接;並且該記憶體儲存用以控制該至少一處理器的電腦可執行指令,以至少控制該流量控制硬體進行下列操作:(a)將一基板暴露到蝕刻劑與沖洗氣體的交替脈衝,以逐層地蝕刻該基板;(b)將該基板暴露到還原劑與前驅物的交替脈衝,以將薄膜沉積在經蝕刻的該基板上;並且(c)在操作(a)與操作(b)的執行之間無破壞真空之情況下重複執行操作(a)與操作(b)。
該等與其他態樣參考圖式進一步描述如下。
為提供本發明之實施例的全面性理解,在下列實施方式中闡述許多具體細節。所揭露實施例毋須一些或全部的該等具體細節而可被實施。在某些例子中,為了避免不必要地混淆所揭露實施例,熟知的處理作業未詳細描述。雖然將結合具體實施例來描述所揭露實施例,應理解吾人不欲限制所揭露實施例。
隨著特徵部尺寸微型化,對於原子尺度製程之需求漸增,例如原子層沉積 (ALD) 與原子層蝕刻 (ALE)。該等製程為使薄膜厚度產生數位且細微之變化的公稱自限制步驟之循環製程。該等製程的特色在於平滑性與保型性,且在一些ALE的例子中亦有方向性。在習知技術中, ALD與ALE製程係獨立地執行,使得所有沉積製程在所有蝕刻製程之前執行,或反之亦然。習知的ALD與ALE 製程係在不同的工具上執行。例如,在習知技術中,ALD工具不執行ALE製程,且ALE工具不執行ALD製程。
本文中提供用以將蝕刻與沉積處理整合於一處(例如整合在一工具之相同腔室中、或整合成無破壞真空) 的方法。所揭露實施例可用於在基板上淨沉積材料或淨蝕刻材料。本文中所述之若干方法涉及在ALE製程期間透過ALD來沉積保護層。所揭露方法可適用的應用範圍很廣,包括凹槽或孔洞的蝕刻製程、MRAM的製造、相變化材料的製造、蝕刻製程之選擇性改善、及虛擬矽晶移除(poly dummy removal)。例如,在蝕刻期間的沉積處理可用於在蝕刻期間保護側壁或遮罩、或可用於在蝕刻以及後續暴露到大氣之期間封裝材料、或可用於提供選擇性(若該沉積處理為選擇性的);且沉積期間的蝕刻處理可用於在沉積期間保持特徵部開通。
圖1A提供用以執行根據所揭露實施例之一方法之操作的製程流程圖。圖1A中的操作可在介於約1 mTorr 與約 100 Torr之間的腔室壓力之下執行, 例如約1 mTorr及約1 Torr。在操作101中,將基板或晶圓提供到處理腔室中。該腔室可為在多腔室設備中或在單腔室設備中的一腔室。該基板可為矽晶圓,例如200-mm晶圓、300‑mm 晶圓、或450-mm 晶圓,且包括具有一或更多材料(例如介電性、傳導性、或半導體材料)的層沉積其上的晶圓。在一些實施例中,該基板包括矽(例如非晶矽)的覆蓋層、或鍺的覆蓋層。該基板可包括先前沉積並圖案化在該基板上的圖案化遮罩層。例如,可將遮罩層沉積並圖案化在包括覆蓋式非晶矽層的基板上。
在一些實施例中,可將基板上的層圖案化。基板可具有「特徵部」,例如穿孔或接觸窗孔洞,其特徵在於下列之一或更多者:狹窄及/或內凹角開口、特徵部內的收縮部、及高深寬比。特徵部可在上述之層中的一或更多者中形成。特徵部的一範例為半導體基板中或基板上的層中的孔洞或穿孔。另一範例為基板中或層中的凹槽。在許多實施例中,該特徵部可具有位於下方的層,例如阻障層或黏附層。位於下方的層之非限制性範例包括介電性層及傳導性層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約30:1、或更高的深寬比。若為高的深寬比則可能需要特徵部的側壁之保護作用。所揭露的方法可在具有開口小於約150nm之特徵部的基板上執行。特徵部(穿孔或凹槽)可稱為未填充特徵部或特徵部。特徵部可具有從底部、封閉端、或特徵部之內側,向特徵部之開口變窄的內凹角輪廓。在一些實施例中,本文所述之方法可用於形成具有這些特性之特徵部。
回到圖1A,在操作103中,在腔室中透過ALE來蝕刻該基板。ALE為以相繼的自限制反應來移除材料薄層的技術。大致上,可使用任何適當的技術來執行ALE。原子層蝕刻技術之範例記載於美國專利案第8883028號,公告日為2014年11月11日; 以及美國專利案第8808561號,公告日為2014年8月19日,該等案因描述例示性原子層蝕刻與蝕刻技術之目的而加入本案之參考資料。在許多實施例中,ALE可在有電漿之情況下執行,或可熱地執行。
可以循環的方式執行操作103。「ALE循環」的概念與本文中許多實施例的討論有關。大致上,ALE循環為用以執行一次蝕刻處理(例如蝕刻單層)的最小操作組。一循環的結果為將基板表面上至少若干的薄膜層蝕刻掉。一般而言,一ALE循環包括改質操作,以形成反應性層;接著移除操作,以僅將此已改質的層移除或蝕刻掉。該循環可包括某些輔助操作,例如掃除副產物或反應物中之一者。大致上,一循環包含一獨特操作順序範例。作為範例,一ALE循環可包括下列操作:(i)輸送反應物氣體;(ii)將該反應物氣體從腔室中沖除;(iii)輸送移除氣體並可取捨地輸送電漿;以及(iv)沖洗腔室。在一些實施例中,可非保型地執行蝕刻處理。圖1B呈現ALE循環的兩個例示性示意圖解。圖171a-171e呈現一般性的ALE循環。在171a中,提供基板。在171b中,將基板的表面改質。在171c中,預備下一個步驟。在171d中,蝕刻已改質的層。在171e中,移除已改質的層。相似地,圖172a-172e呈現蝕刻矽薄膜的ALE循環之範例。在172a中,提供矽基板,其包括許多矽原子。在172b中,將反應物氣體氯引導至基板,而將基板的表面改質。在172b中的示意圖呈現若干的氯吸附在基板的表面上,作為範例。雖然圖1B中描繪氯,但可使用任何含氯化合物或適當的反應物。在172c中,將反應物氣體氯從腔室中沖除。在172d中,使用方向性電漿來引入移除氣體氬(如Ar+ 電漿物種及箭頭所指示),並執行離子轟擊,以將基板的已改質表面移除。在此操作期間,對基板施加偏壓以將離子吸引向基板。在172e中,沖洗腔室並移除副產物。
一循環僅可部分地蝕刻約0.1 nm 到約 50 nm的材料、或介於約 0.1 nm 與約5 nm 之間的材料、或介於約0.2 nm與約50 nm之間的材料、或介於約0.2 nm與約 5 nm之間的材料。在一循環中被蝕刻掉的材料量可取決於與ALD整合之目的。舉例來說,若ALD係整合以保護側壁,則在ALE循環中被蝕刻掉的量可被改變,因為執行ALD保護位於特徵部之底部的暴露的側壁。在一些實施例中,一ALE循環可移除少於單層的材料。
在圖1A的操作103中將蝕刻化學品引入腔室中。如本文所述,在涉及使用電漿之原子層蝕刻的一些實施例中,在將材料引入腔室的操作中,可透過在處理基板或晶圓之前將化學品引入反應器或腔室中,而使腔室穩定化。腔室之穩定化,可使用與待在穩定化之後接續的操作中使用的化學品相同的流率、壓力、溫度、及其他條件。在一些實施例中,腔室之穩定化可涉及不同的參數。在一些實施例中,在操作103期間連續地流動載氣,例如N2 、 Ar、 Ne、 He、及其組合。在一些實施例中,僅在移除期間使用載氣。載氣可用於一些操作中作為沖洗氣體,如下描述。在一些實施例中,在操作103期間使用另一反應物氣體(例如氧)來將已改質的層移除。在一些實施例中,在移除期間未流動載氣。
本文中提供在操作103中可執行的改質與移除操作之範例。改質操作形成薄且反應性的表面層,其具有的厚度在後續的移除操作中比未改質的材料更容易被移除。在改質操作中,透過將氯引入腔室中來將該基板氯化。在所揭露實施例中使用氯作為例示性蝕刻劑物種,但應理解在一些實施例中,將不同的蝕刻氣體引入腔室中。可根據待蝕刻的基板之化學與類型來選擇蝕刻氣體。在一些實施例中,可引燃電漿,且氯與該基板發生反應而進行蝕刻處理。在一些實施例中,氯可與該基板發生反應,或可吸附在該基板的表面上。在許多實施例中,氯係以氣態被引入腔室中並且可取捨地伴隨載氣,該載氣可為上述的任何載氣。自氯電漿中產生的物種,可透過在容置該基板的處理腔室中形成電漿進而直接地產生;或可在未容置該基板的處理腔室中遠端地產生,然後供應到容置該基板的處理腔室中。在一些實施例中,未使用電漿,且可熱地將氯引入腔室中。
在許多實施例中,該電漿可為感應耦合型電漿或電容耦合型電漿。感應耦合型電漿可經設定為介於約50W與約2000W之間的電漿。在一些實施例中,可施加介於約 0V與約500V之間的偏壓。
在一些實施例中,在改質操作之後可執行沖洗。在沖洗操作中,可將未表面結合的活性氯物種從處理腔室中移除。這可透過將處理腔室加以沖洗及/或抽空來完成,進而移除活性物種但不移除已吸附的層。僅僅透過關閉電漿並讓餘留的物種衰變(decay )即可將自氯電漿中產生的物種移除,但可取捨地結合腔室之沖洗及/或抽空。可使用惰性氣體來完成沖洗,例如N2 、Ar、 Ne、 He、及其組合。
在移除操作中,可將基板暴露到能量來源(例如引發移除作用的活化或濺射氣體、或化學反應性物種),例如氬或氦,以透過方向性濺射來蝕刻該基板。在一些實施例中,可透過離子轟擊來執行該移除操作。在移除期間,可取捨地開啟偏壓,以促進方向性濺射。在一些實施例中,ALE可為等向性。
可控制濺射氣體的量,使得僅蝕刻目標的材料量。在許多實施例中,在改質與移除操作之間的腔室的壓力可不同。氣體的壓力可取決於腔室之尺寸、氣體的流率、反應器的溫度、基板的類型、及待蝕刻之基板的尺寸。若在移除期間將偏壓開啟,可將該偏壓設定在約50eV,以蝕刻軟性材料(例如非晶矽)中的特徵部、凹槽、或孔洞。在一些實施例中,在移除操作之後可沖洗該腔室。沖洗處理可為用於在改質操作之後進行沖洗的任何處理。
在許多實施例中,可以循環的方式重複執行改質與移除操作,例如約1到約30循環、或約1到約20循環。可包含ALE循環之任何適當數量,以蝕刻期望的薄膜量。在一些實施例中,以循環的方式執行ALE,以蝕刻約1Å到約50Å的基板上之層的表面。在一些實施例中,ALE循環將約2Å與約50Å之間的基板上之層的表面蝕刻掉。
回到1A,在操作105中,在相同腔室(基板在操作103中於該腔室中被蝕刻)中、或在無破壞操作103的真空之情況下,透過ALD在該基板上沉積薄膜。操作103與105可在無空氣洩漏之情況下或在無破壞真空之情況下,在原處執行或於真空中執行。在許多實施例中,在操作105中沉積含矽薄膜。例示性含矽薄膜包括矽氧化物、矽氧氮化物、及矽氮化物。在一些實施例中,可沉積金屬或含金屬薄膜。在一些實施例中,操作105可在操作103之前被執行。在一些實施例中,操作103可在操作105之前被執行。
ALD為以相繼的自限制反應來沉積材料薄層的技術。可使用任何適當的技術來執行ALD。在許多實施例中,ALD可在有電漿之情況下執行,或可熱地執行。可以循環的方式執行操作105。
「ALD循環」的概念與本文之許多實施例的討論有關。大致上,ALD循環為用以執行一次表面沉積反應的最小操作組。一循環的結果為在基板表面上產生至少部分的含矽薄膜層。一般而言,一ALD循環包括下列操作:將至少一反應物輸送並吸附在基板的表面上;然後使已吸附的反應物與一或更多反應物發生反應,以形成部分的薄膜層。該循環可包括某些輔助操作,例如掃除副產物或反應物之一者、及/或處理剛沉積的部分薄膜。大致上,一循環包含一獨特操作順序範例。作為範例,一ALD循環可包括下列操作:(i)輸送/吸附含矽前驅物;(ii)將含矽前驅物從腔室中沖除;(iii)輸送第二反應物與電漿;以及(iv)將電漿從腔室中沖除。
圖1B呈現用以沉積矽氧化物(SiO2 )之ALD循環的例示性示意圖解。圖182a-182e呈現一般性的ALD循環。在182a中,提供矽基板,其包括許多矽原子。在182b中,將氧引導至基板作為氧自由基,其將基板的表面改質。此可為反應物與電漿之輸送步驟。應注意,若干的氧自由基吸附在基板的表面上,作為範例。在182c中,將氧自由基從腔室中沖除。在182d中,引入含矽前驅物或矽的來源,且矽的來源與已吸附在基板的表面上的氧自由基發生反應。在182e,沖洗腔室並移除副產物,留下SiO2. 的沉積層。
在一些實施例中,以ALD沉積的薄膜可具高度地保型性。薄膜的保型性可由階梯覆蓋率來衡量。階梯覆蓋率可透過將特徵部底部、側壁、或頂部上之沉積薄膜的平均厚度,與特徵部底部、側壁、或頂部上之沉積薄膜的平均厚度作比較而算得。例如,透過將側壁上之沉積薄膜的平均厚度,除以特徵部頂部上之沉積薄膜的平均厚度,再乘100而得到比例,可計算出階梯覆蓋率。
與化學氣相沉積(CVD)技術不同地,ALD製程使用表面介導的沉積反應進而以逐層的方式沉積薄膜。在ALD製程的一範例中,將基板表面(包括總體的表面活化位置)暴露到一配量中的第一前驅物(例如含矽前驅物)的氣相分布,該配量被提供至容置該基板的處理腔室中。此第一前驅物的分子吸附在基板之表面上,包括該第一前驅物的化學吸附物種及/或物理吸附物種。應理解,若化合物如本文所述般吸附於基板表面上,吸附層可包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層可包括該含矽前驅物以及該含矽前驅物的衍生物。在某些實施例中,ALD的前驅物配量使基板的表面局部地飽和。在一些實施例中,ALD循環之配量階段在前驅物接觸該基板而使表面均勻地飽和之前結束。一般而言,此時將前驅物的流量關閉或轉向,而僅流動沖洗氣體。透過在此次飽和之方案中操作,ALD製程縮短循環時間並提高產出量。然而,因為前驅物吸附作用並未受飽和度所限制,所以整個基板表面上的吸附前驅物之濃度可能稍微不同。在次飽和之方案中操作ALD製程的範例記載於美國專利申請案第14/061587號, 申請日為 2013年10月23日,案名為「SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」,該案以全文加入本案之參考資料。在第一前驅物配量之後,將反應器抽空,以將任何仍為氣態的第一前驅物移除,使得僅留下已吸附的物種。將第二反應物(例如含氧或含氮氣體)引入該反應器中,使得若干的這些分子與吸附在表面上的第一前驅物發生反應。在一些製程中,第二前驅物立即地與吸附的第一前驅物發生反應。在其他實施例中,在時間方面,第二前驅物僅在施加活化來源之後發生反應。然後可再一次將該反應器抽空,以將未結合的第二前驅物分子移除。額外的ALD循環可用來積累薄膜厚度。
在一些實施例中,ALD方法包括電漿活化,例如當將第二反應物輸送到腔室中時。如本文所述, 該等ALD方法與本文所述之設備可為保型薄膜沉積(CFD)方法,該等方法大致上記載於美國專利申請案第 13/084399號 (現為美國專利案第 8728956號),申請日為 2011年4月11日,案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;並記載於美國專利申請案第13/084305號,申請日為 2011年4月11日,案名為「SILICON NITRIDE FILMS AND METHODS」,該等案以全文加入本案之參考資料。ALD製程的其他範例記載於Puurunen的「Surface chemistry of atomic layer deposition: for the trimethylaluminum/water process」, Applied Physics ,第97卷,第12301頁(2005),該篇因提供適當ALD製程的描述之目的而加入本案之參考資料。
回到圖1A,在一些實施例中,在操作105中可連續地流動載氣,例如N2 、 Ar、 Ne、 He、及其組合。該載氣可使用作為沖洗氣體。可提供惰性氣體以幫助處理腔室的壓力及/或溫度控制、液態反應物的蒸發作用、反應物的更快速輸送;及/或可提供作為掃除氣體,其用以將處理氣體從處理腔室及/或處理腔室之管路系統中移除。
本文提供在操作105中可執行的吸附與第二反應物輸送操作之範例。在ALD循環的吸附操作中,可將基板暴露到薄膜前驅物(例如四氯化矽(SiCl4 )),以吸附在基板的表面上。在一些實施例中,該薄膜前驅物可為含矽前驅物。在一些實施例中,該薄膜前驅物(例如SiCl4 )可吸附在約60%的基板的表面上。在許多實施例中,當薄膜前驅物流進腔室中時,該薄膜前驅物吸附在基板表面的活化位置上,而在該表面上形成薄膜前驅物之薄層。在許多實施例中,該層可少於一單層。
在吸附操作之後,可取捨地沖洗該腔室以將未吸附在基板表面上之氣態的多餘前驅物移除。沖洗可涉及掃除氣體,其可為其他操作中使用的載氣或可為不同的氣體。在一些實施例中,沖洗可涉及將腔室抽空。
在ALD循環的第二反應物輸送操作中,可將該基板暴露到第二反應物,並可取捨地暴露到電漿。在許多實施例中,該第二反應物為氧(O2 )、或氮(N2 )、或其組合。在沉積矽氧化物層的一些實施例中,使用氧作為第二反應物。在一些實施例中,第二反應物流量與電漿兩者均被開啟。在一些實施例中,第二反應物流量可在開啟電漿之前被開啟,進而(例如)讓第二反應物流量穩定化。
在一些實施例中,可取捨的電漿為原位電漿,使得電漿於該腔室中直接地在該基板表面的上方形成。在許多實施例中,該電漿可為感應耦合型電漿或電容耦合型電漿。感應耦合型電漿可經設定為介於約50W與約2000W之間的電漿。在一些實施例中,可施加介於約 0V與約500V之間的偏壓。在第二反應物的輸送期間,薄膜前驅物(例如SiCl4 )被關閉。可將該基板暴露到第二反應物及可取捨的電漿持續一段時間,而該段時間超過電漿與吸附在基板表面上的所有前驅物交互作用,而在基板的表面上方形成連續薄膜的時間。
在第二反應物輸送操作之後,可沖洗該腔室,例如透過引入載氣或惰性氣體。用於此操作之條件可為上述用於沖洗處理之任何條件。
在許多實施例中,可重複執行ALD循環。例如,針對ALD之操作可執行約5到約70循環。可包含沉積循環之任何適當數量,以沉積期望的沉積薄膜之薄膜厚度。ALD循環可每一循環沉積約1Å。根據操作的暴露時間,每一循環可沉積厚度約0.05Å與約5Å之間的薄膜,例如矽氧化物薄膜或矽氧氮化物薄膜。在一些實施例中,每分鐘可執行約2到約3次ALD循環。在一些實施例中,例如在入口設置得更靠近基板的腔室中,每分鐘可執行多於約3次循環。
在一些實施例中,ALD操作產生保型薄膜,保型薄膜能夠保護特徵部在後續的ALE製程中不被側向蝕刻,而該ALE製程可在相同的腔室中進行。在一些實施例中, ALD係整合以將薄膜選擇性地沉積在基板上,例如將薄膜沉積在特徵部的角落上,以保護特徵部的角落在蝕刻處理期間不被侵蝕。在一些實施例中,操作103與105中之至少一者為自限制反應。在一些實施例中,操作103與105中之至少一者盡可能為自限制反應。例如,在一些實施例中,僅操作103為自限制處理。在一些實施例中,僅操作105為自限制處理。在一些實施例中,操作103與105兩者皆為自限制的。在許多實施例中,可相繼地執行操作103與105。相繼地執行這些操作的範例,參考圖1C與1D進一步描述如下。
在操作107中,可取捨地施加偏壓。因為施加偏壓可蝕刻側壁,所以在許多實施例中,偏壓可不連續地施加,且可在許多ALD及ALE循環之後施加。可執行此操作以穿透(break through)由ALD沉積的薄膜。在一些實施例中,將該偏壓設定在約80eV以穿透由ALD循環所沉積的層。
在操作109中,判斷是否已執行足夠的蝕刻處理。若未足夠地蝕刻輪廓,可重複執行操作103-109。在一些實施例中,針對重複執行的循環,操作103-109可如最初的循環般在相同的腔室中執行、或在無破壞真空之情況下執行。
圖1C與1D提供所揭露實施例之進一步範例。在操作110中,可將容置在腔室中的基板暴露到蝕刻劑或蝕刻氣體,以將該基板的表面改質。此可稱為「表面改質」操作。在一些實施例中,這可對應到圖1D所示之表面改質階段150A,且可為蝕刻循環之一部分。如圖1D所示,在此操作期間,在使蝕刻劑流入該腔室的同時可取捨地流動載氣。載氣可為參考圖1A描述於前文的任何載氣。在一些實施例中,在表面改質期間未流動載氣。可流動蝕刻劑使得該蝕刻劑僅將基板的停止表面(stop surface) 改質。在許多實施例中,在操作110期間可施加偏壓。可施加功率介於約0V與約500V之間的偏壓。
回到圖1C,在操作112中,透過在移除操作中將基板暴露到濺射氣體(可與載氣相同或不同),可將基板的已改質的層從該基板上移除。在許多實施例中,至少部分的基板表面可在此操作中被蝕刻掉。可根據這些操作使用參考操作103提供於前文中的範例。在一些實施例中,執行操作110與112可構成執行原子層蝕刻製程一次。在一些實施例中,操作110與112可取捨地經重複執行一或更多次(例如一或更多循環)。
操作112可對應到如圖1D中所示之移除階段152A。執行表面改質150A與移除152A可構成蝕刻循環130A。在一些實施例中,一循環可包括更多操作。在移除期間,可流動載氣但不流動任何其他的化學品。在蝕刻已改質的層時,可流動載氣以幫助促進將已改質的層沖除。提供於圖1D中的範例為執行圖1C之操作110與操作112一次(未重複執行操作110與112)之範例。
在操作114中,將基板暴露到第一反應物,以使該第一反應物吸附在至少若干的基板表面上。可在不破壞執行操作110與112之真空的情況下執行此操作。在一些實施例中,該第一反應物為如本文中所述之薄膜前驅物。在許多實施例中,該第一反應物可吸附在該基板的活化位置上。ALD循環其間的吸附作用之上述範例與此操作有關。
操作114可對應到圖1D的第一前驅物暴露階段164A。在此操作期間,可在伴隨取捨的載氣之情況下流動該第一前驅物。應注意在此操作期間,可不流動蝕刻劑流量與第二反應物流量(進一步描述如下)。可執行此操作持續一段時間,而該段時間足以使至少部分的基板表面飽和。在一些實施例中,可使至少約40%、或至少約60%、或至少約80%、或約100%的表面飽和。
在一些實施例中,在執行第一前驅物暴露階段164A之後,在圖1D的沖洗階段165A中可取捨地沖洗容置該基板的腔室。在沖洗階段165A期間,流動載氣以將未吸附在基板的表面上之多餘的第一前驅物移除。在一些實施例中,未執行該沖洗階段。
回到圖1C,可執行操作116,以將該基板暴露到第二反應物。在許多實施例中,該第二反應物可為還原劑。該第二反應物與吸附層發生反應,而在該基板上沉積薄膜。應注意在一些實施例中,可次序顛倒地執行操作114與116,使得操作116係在使第一反應物吸附在基板表面上的操作之前被執行。操作116可對應到如圖1D所示之第二反應物暴露階段166A。在此階段期間,流動第二反應物且可取捨地流動載氣。在一些實施例中,在無伴隨載氣之情況下流動第二反應物。該蝕刻劑與該第一前驅物流量在此階段期間未被開啟。在許多實施例中,該第二反應物暴露階段亦可包括引燃電漿(未圖示)。在一些實施例中,可在無使用電漿之情況下沉積該薄膜層。在一些實施例中,在第二反應物暴露階段166A之後,在沖洗階段167A期間,可取捨地沖洗該腔室。可執行沖洗階段167A以從該腔室中將副產物、或氣態之多餘的第二反應物移除。在一些實施例中,未沖洗該腔室。
圖1C之操作114與116可取捨地經重複執行一或更多次。執行操作114與116可構成一ALD循環,如參考圖1A描述於前文中者。在許多實施例中,將操作110-116重複執行一或更多次。重複的操作110與112循環以及重複的操作114與116循環之組合,可經執行更多次循環。例如,在一些實施例中,包括執行操作110-112兩次、並執行操作114-116三次的一循環,可經重複執行二或更多次。在一些實施例中,操作110-112與操作114-116的循環係相繼地被執行。例如,在執行操作110-112之後,執行操作114-116,及/或反之亦然。在一製程中使用的循環之頻率以及循環之數量,可取決於所揭露實施例之用途的類型。
在圖1D中,將各個循環重複執行一次。因此,蝕刻循環130A構成圖1C之操作110-112一次,且沉積循環132A構成圖1C之操作114-116一次,然後在蝕刻循環130B與沉積循環132B中,兩個循環再重複執行一次。蝕刻循環130B包括表面改質階段150B與移除階段152B,而沉積循環132B包括第一前驅物暴露階段164B、沖洗階段165B、第二反應物暴露階段166B、及沖洗階段167B。應注意在一些實施例中,進一步的重複執行的循環可包括或不包括沖洗階段165B與167B。在一些實施例中,在一整合的製程中,在循環與循環之間的暴露及沖洗階段的持續期間可不同。在一些實施例中,在蝕刻整合製程期間,循環的數量可隨時間改變。
在一些實施例中,載氣可僅在蝕刻循環期間流動,而未在沉積循環期間流動。在一些實施例中,載氣可僅在沉積循環期間流動,而未在蝕刻循環期間流動。在一些實施例中,在蝕刻與沉積循環之間的載氣可不同。
在許多實施例中,可根據用途的類型及設備或工具(所揭露實施例在其上實施)之類型,來調整製程條件,例如支座溫度;腔室溫度;腔室壓力;氣體流率;氣流化學;脈衝、暴露、及沖洗的持續期間;電漿頻率;電漿功率;及偏壓功率。
本文所述之方法可適用於多種用途。用途之範例包括:(1)高深寬比的側壁或遮罩的保護作用;(2)MRAM(磁電阻式隨機存取記憶體)之蝕刻與封裝製程;以及(3)方向性蝕刻製程期間之選擇性改善。
在一些半導體製程中,將軟性材料(例如非晶矽或鍺)方向性地蝕刻,以形成凹槽或孔洞,或可將層中具有此種特徵部的基板暴露到方向性蝕刻處理。然而,若干的中性物種可能在凹槽或特徵部中形成,而蝕刻特徵部的側壁。微小特徵部中的ALE製程尤其可能導致側壁容易被側向蝕刻,而使特徵部變長。本文所述之方法整合ALE與ALD製程, 在ALD期間沉積的薄膜可保護這些特徵部的側壁在ALE期間不被蝕刻。相似的,該等ALE與ALD的整合製程可用於減少遮罩中的角落表面縐化(faceting)、改善遮罩的剛性、並且避免遮罩劣化。
習知的MRAM蝕刻與封裝製程通常涉及暴露濕度敏感性層,而因此冒著汙染裝置的風險。雖然封裝製程用於MRAM的製造中,但如本文所述之封裝製程亦可用於其他裝置的製造中,且可用於其他的敏感性層。
在MRAM的蝕刻製程中,MRAM疊層可包括沉積在MTJ (磁性穿隧接面)上方的鉭遮罩,進一步而言,該MTJ沉積在鈷及/或鉭層、以及基板的上方。在一些實施例中,有更多的層級沉積在這些層級之間。  一 MTJ可包括兩個鈷鐵硼 (CoFeB) 層,其中氧化鎂 (MgO)層被夾在該兩個 CoFeB層之間。
蝕刻此疊層可首先蝕刻釕層。在一些實施例中,可透過ALE來蝕刻釕層。接下來,可使用氬或氦電漿來蝕刻並開通該MTJ,藉此暴露濕度敏感性層。本文所述之方法允許基板留在相同的腔室中,然後同時執行ALD以在該濕度敏感性層的上方沉積薄的封裝薄膜並將其封裝。在一些實施例中,此薄的封裝薄膜為氧化物或氮化物層。在許多實施例中,然後可蝕刻下方的鈷及/或鉭層,以穿透到基板而繼續蝕刻下方的層。
本文所述之方法亦適用於改善蝕刻製程之選擇性。例如,在一些實施例中,可執行保型層沉積處理,以在基板的若干表面上沉積較厚的層。在一些實施例中,可執行選擇性ALD,以在某些區域上(而不在其他的區域上)沉積薄膜,使得後續的ALE製程蝕刻暴露的區域比蝕刻被ALD沉積的區域更多。例如,可執行ALD以沉積在特徵部的邊緣上,以避免特徵部的邊緣在ALE期間發生表面縐化。設備
現描述感應耦合型電漿(ICP)反應器,其在某些實施例中可適用於原子層蝕刻 (ALE)操作與原子層沉積 (ALD) 操作。此種ICP反應器亦記載於美國專利申請案公開號第2014/0170853號,申請日為2013年12月10日,案名為 「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」,該案因所有目的而以全文加入本案之參考資料。雖然本文中描述ICP反應器,但應理解在一些實施例中,亦可使用電容耦合型電漿反應器。
圖2示意地呈現感應耦合型電漿之整合蝕刻與沉積設備200之剖面圖,其適合用於實施本文的某些實施例,該設備之一範例為由美國加州佛蒙特(Fremont, CA.)的蘭姆研究公司(Lam Research Corp.)生產的Kiyo™反應器。感應耦合型電漿設備200包括整體的處理腔室201,其結構上由腔室壁與窗211界定。腔室壁可由不鏽鋼或鋁製成。窗211可由石英或其他的介電性材料製成。可取捨的內部電漿柵極250將整體的處理腔室分成上方子腔室202與下方子腔室203。在大部分的實施例中,可移除電漿柵極250,藉此使用子腔室202與203所形成的腔室空間。卡盤217設置在下方子腔室203中,並靠近底部的內側表面。卡盤217配置以接收並固持半導體晶圓219,蝕刻與沉積製程係在其上方執行。卡盤217可為用以固持晶圓219(當存在時)的靜電卡盤。在一些實施例中,邊緣環(未圖示)圍繞卡盤217,且具有幾乎與晶圓219(當存在於卡盤217上時)之頂部表面齊平的一上表面。卡盤217亦可包括靜電電極,用以夾持及去夾持晶圓。為此目的可設置濾波器與DC卡盤功率供應器(未圖示)。亦可設置用以將晶圓219從卡盤217升降的其他控制系統。可使用RF功率供應器223對卡盤217充電。RF功率供應器223透過連接件227連接到匹配電路221。匹配電路221透過連接件225連接到卡盤217。依此方式,RF功率供應器223連接到卡盤217。
用於電漿生成的元件包括位在窗211的上方的線圈233。在一些實施例中,所揭露實施例中未使用線圈。線圈233由導電性材料製成,且至少包括完整的一匝。圖2所示之線圈233的範例包括三匝。線圈233的截面以符號呈現,具有符號「x」的線圈旋轉延伸進入頁面,而具有符號「˙」的線圈旋轉延伸離開頁面。用於電漿生成的元件亦包括RF功率供應器241,其配置以將RF功率供應到線圈233。大致上,RF功率供應器241透過連接件245連接到匹配電路239。匹配電路239透過連接件243連接到線圈233。依此方式,RF功率供應器241連接到線圈233。可取捨的法拉第屏蔽(Faraday shield)249設置在線圈233與窗211之間。維持法拉第屏蔽249與線圈233之間有一間隔距離。法拉第屏蔽249鄰近地設置在窗211的上方。線圈233、法拉第屏蔽249、及窗211各經配置成彼此實質上平行。法拉第屏蔽可避免金屬或其他物種沉積在電漿腔室的介電窗上。
處理氣體(例如氯、氬、四氯化矽、氧、氮等)可透過設置在上方腔室中的一或更多主要氣流入口260、及/或透過一或更多側氣流入口270而流進處理腔室中。相似地,雖然未直接地圖示,但可使用相似的氣流入口將處理氣體供應到電容耦合型電漿處理腔室中。可使用真空泵浦(例如一或二階式機械乾式泵浦、及/或渦輪分子泵浦240)以將處理氣體從處理腔室201中抽離,並用以維持處理腔室201中的壓力。例如,泵浦可用於在ALD的沖洗操作期間將腔室201抽空。可使用閥控式導管將真空泵浦流體地連接到處理腔室,進而選擇性地控制由真空泵浦所提供的真空環境之施加。這可透過在操作性電漿處理期間應用閉迴路控制流量限制裝置而達成,例如節流閥(未圖示)或鐘擺閥(未圖示)。相似地,對電容耦合型電漿處理腔室亦可使用真空泵浦與閥控式流體連接。
在該設備的操作期間,可透過氣流入口260及/或270供應一或更多的處理氣體。在某些實施例中,亦可僅透過主要氣流入口260或僅透過側氣流入口270來供應處理氣體。在一些例子中,如圖所示之該等氣流入口可被更複雜的氣流入口取代,例如一或更多的噴淋頭。法拉第屏蔽249及/或可取捨的柵極250可包括允許處理氣體輸送到腔室的內部通道與孔洞。法拉第屏蔽249與可取捨的柵極250之其中一者或該兩者,可做為用以輸送處理氣體的噴淋頭。在一些實施例中,液體汽化與輸送系統可設置在腔室201之上游,使得液態反應物或前驅物一經汽化,汽化的反應物或前驅物即經由氣流入口260及/或270被引導到腔室中。例示性液態前驅物包括SiCl4 及矽醯胺類(silicon amides)。
射頻功率從RF功率供應器241供應到線圈233,使RF電流流經線圈233。流經線圈233的RF電流在線圈233的周圍產生電磁場。電磁場在上方子腔室202中產生感應電流。許多產生的離子及自由基之與晶圓219的物理與化學交互作用,選擇性地蝕刻晶圓的特徵部並在該晶圓上沉積層級。
若使用電漿柵極,使得具有上方子腔室202與下方子腔室203兩者,則感應電流對存在於上方子腔室202中的氣體起作用,而在上方子腔室202中產生電子-離子電漿。可取捨的內部電漿柵極250限制了下方子腔室203中的熱電子的量。在一些實施例中,設計並操作該設備,使得存在於下方子腔室203中的電漿為離子-離子電漿。
上方的電子-離子電漿及下方的離子-離子電漿兩者皆可包含正離子與負離子,但離子-離子電漿之負離子比正離子之比例較大。揮發性蝕刻及/或沉積副產物可透過埠口222從下方子腔室203中移除。本文中揭露的卡盤217可在範圍介於約10°C 與約 250 °C之間的昇高溫度下操作。該溫度將取決於製程操作與特定配方。
當腔室201安裝在潔淨室或製造設備中時,腔室201可與設備(未圖示)結合。設備包括管路系統,其可提供處理氣體、真空、溫度控制、及環境微粒控制。當這些設備安裝在目標製造設備中時,這些設備連接到腔室201。此外,腔室201可連接到傳送腔室,其允許機器手臂使用一般自動化技術將半導體晶圓傳送進出腔室201。
在一些實施例中,系統控制器230(其可包括一或更多實體或邏輯的控制器)控制處理腔室的若干或全部的操作。系統控制器230可包括一或更多記憶體裝置及一或更多處理器。在一些實施例中,該設備包括一轉換系統,用以在執行所揭露實施例時控制流率與持續時間。在一些實施例中,該設備可具有上達約500 ms、或上達約 750 ms的轉換時間。轉換時間可取決於流動化學、所選擇的配方、反應器的架構、及其他因素。
在一些實施例中,控制器230可為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。該電子設備可稱為「控制器」,其可控制一或複數之系統的各種元件或子部件。依據處理參數及/或系統之類型,可對控制器230編寫程式以控制本文所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器230定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器230可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器230接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器230可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所敘述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子佈植腔室或模組、徑跡腔室或模組、雷射量測腔室或模組、電子產生設備、及與半導體晶圓之加工及/或製造有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
圖3描繪半導體製程叢集架構,其具有與真空傳送模組338(VTM)接合的許多模組。用以在複數儲存設備與處理模組之間「傳送」晶圓的傳送模組的配置,可稱為「叢集工具架構」系統。氣室330(亦稱為負載室或傳送模組)呈現於VTM 338中,VTM 338具有四個處理模組320a-320d,處理模組320a-320d被個別最佳化以執行各種製程。以舉例的方式說明,可實施處理模組320a-320d,以執行基板蝕刻、沉積、離子佈植、晶圓清洗、濺射、及/或其他半導體製程。在一些實施例中,ALD 與ALE 係在相同的模組中執行。在一些實施例中,ALD 與ALE 係在相同的工具的不同的模組中執行。可實施一或更多的基板蝕刻處理模組(320a-320d之任一者),如本文中揭露般,亦即,用於沉積保型薄膜、透過ALD選擇性沉積薄膜、蝕刻圖案、以及根據所揭露實施例的其他合適的功能。可將氣室330與處理模組320稱為「站」。各個站具有面(facet)336,面336將站與VTM 338接合。在各個面中,感測器1-18用以在晶圓326於個別的站之間移動時偵測通過的晶圓326。
機器手臂322在站之間傳送晶圓326。在一實施例中,機器手臂322具有一個臂部,而在其他實施例中,機器手臂322具有兩個臂部,其中各個臂部具有用以選擇進行傳送之晶圓(例如晶圓326)的端點制動器324。位於大氣傳送模組(ATM)340中的前端機器手臂332用以將晶圓326從負載埠模組(LPM)342中的卡匣或前開式晶圓傳送盒(FOUP)334傳送到氣室330。處理模組320中的模組中心328為用以放置晶圓326的位置。ATM340中的對準器344用以對準晶圓。
在例示性處理方法中,晶圓放置在LPM342中的其中一個FOUP334中。前端機器手臂332將該晶圓從FOUP334傳送到對準器344,對準器344使晶圓326在蝕刻或處理之前適當地置於中心。在對準之後,晶圓326被前端機器手臂332移動到氣室330中。因為氣室模組具有使ATM與VTM之間的環境一致的能力,所以晶圓326能夠在兩個壓力環境之間移動而不被破壞。晶圓326被機器手臂322從氣室模組330、移動經過VTM 338、並進入處理模組320a-320d中之一者。為達成此晶圓移動,機器手臂322使用位於其各個臂部上的端點制動器324。晶圓326一經處理後,其被機器手臂322從處理模組320a-320d移動到氣室模組330。晶圓326可被前端機器手臂332從氣室模組330移動到其中一個FOUP334中,或移動到對準器344。
應注意的係,控制晶圓移動的控制器位於叢集架構中,或可位於製造地坪中的該叢集架構之外部,或位於遠端位置並透過網路連接到該叢集架構。參考圖2描述於前文的控制器可與圖3中的工具一起實施。實驗 實驗 1
根據所揭露實施例進行一實驗。提供非晶矽基板。該基板亦包括圖案化遮罩。將該基板提供到可得自美國加州佛蒙特的蘭姆研究公司的Kiyo™反應器。將該基板暴露到50次ALE循環以蝕刻特徵部。在ALE循環之後,在無破壞真空之情況下,於相同的Kiyo反應器中使用SiCl4 作為前驅物、並使用 O2 電漿作為第二反應物,將該基板暴露到50次ALD循環以產生5 nm的SiO2 。應注意的係,沉積5 nm的SiO2 以可見地在該基板的影像中呈現實驗結果。
基板400中作為結果的蝕刻特徵部描繪於圖4中。影像401呈現蝕刻後的基板,其具有圖案化遮罩410,以及沉積在圖案化遮罩410上方的SiO2 420的保型覆蓋層。應注意在所呈現的影像中,可見鉻430的帽蓋層。影像403呈現影像401中相同特徵部的放大影像。如影像403所示,以ALD沉積的SiO2 420為保型的,其在側壁上具有約5nm,且具有約5.4nm沉積在特徵部的底部。該等實驗結果證實整合ALE與ALD製程於相同腔室中的可行性。結論
雖然為了理解之明確性的緣故,已稍微詳細地描述上述之實施例,但顯然在隨附申請專利範圍之範疇內可實行某些改變與修改。應注意的係,有許多實施本文之實施例的處理、系統、及設備的替代方式。因此,應將本文之實施例視為例示性而非限制性,且該等實施例不限於本文中提出的細節。
1-18‧‧‧感測器
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
109‧‧‧操作
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作
130A‧‧‧循環
132A‧‧‧循環
130B‧‧‧循環
132B‧‧‧循環
150A‧‧‧階段
152A‧‧‧階段
164A‧‧‧階段
165A‧‧‧階段
166A‧‧‧階段
167A‧‧‧階段
150B‧‧‧階段
152B‧‧‧階段
164B‧‧‧階段
165B‧‧‧階段
166B‧‧‧階段
167B‧‧‧階段
171a-171e‧‧‧步驟
172a-172e‧‧‧步驟
182a-182e‧‧‧步驟
200‧‧‧設備
201‧‧‧腔室
202‧‧‧子腔室
203‧‧‧子腔室
211‧‧‧窗
217‧‧‧卡盤
219‧‧‧晶圓
221‧‧‧匹配電路
222‧‧‧埠口
223‧‧‧RF功率供應器
225‧‧‧連接件
227‧‧‧連接件
230‧‧‧控制器
233‧‧‧線圈
239‧‧‧匹配電路
240‧‧‧泵浦
241‧‧‧RF功率供應器
243‧‧‧連接件
245‧‧‧連接件
249‧‧‧法拉第屏蔽
250‧‧‧電漿柵極
260‧‧‧入口
270‧‧‧入口
320a-320d/320‧‧‧處理模組
322‧‧‧機器手臂
324‧‧‧端點制動器
326‧‧‧晶圓
328‧‧‧模組中心
330‧‧‧氣室(模組)
332‧‧‧機器手臂
334‧‧‧前開式晶圓傳送盒(FOUP)
336‧‧‧面
338‧‧‧真空傳送模組338(VTM)
340‧‧‧大氣傳送模組(ATM)
342‧‧‧負載埠模組(LPM)
344‧‧‧對準器
400‧‧‧基板
401‧‧‧影像
403‧‧‧影像
410‧‧‧圖案化遮罩
420‧‧‧SiO2
430‧‧‧Cr
圖1A為製程流程圖,描繪根據所揭露實施例的一方法之操作。
圖1B為蝕刻與沉積處理之範例的示意圖解。
圖1C為製程流程圖,描繪根據所揭露實施例的一方法之操作。
圖1D為時程示意圖,描繪根據所揭露實施例而執行的操作之範例。
圖2為用以執行所揭露實施例的例示性處理腔室的示意圖。
圖3為用以執行所揭露實施例的例示性處理設備的示意圖。
圖4呈現從根據所揭露實施例進行的一實驗得到的經蝕刻之基板的影像。
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作

Claims (30)

  1. 一種基板的處理方法,該方法包含下列步驟: 以原子層蝕刻處理在一腔室中蝕刻基板;並且 以原子層沉積處理在該腔室中沉積一薄膜; 其中該蝕刻步驟及該沉積步驟係在無破壞真空之情況下執行。
  2. 如申請專利範圍第1項之基板的處理方法,其中以循環的方式來執行該蝕刻步驟,一循環包括下列步驟: 將基板暴露到蝕刻氣體,以將該基板的表面改質;並且 將該基板暴露到移除氣體,以將至少若干的已改質的表面移除。
  3. 如申請專利範圍第1項之基板的處理方法,其中以循環的方式來執行該沉積步驟,一循環包括下列步驟: 將該基板暴露到沉積前驅物,以將該基板的表面改質;並且 將該基板暴露到還原劑,以沉積該薄膜。
  4. 如申請專利範圍第2項之基板的處理方法,其中將基板暴露到蝕刻氣體之步驟,更包含引燃電漿。
  5. 如申請專利範圍第2項之基板的處理方法,更包含對該基板施加偏壓。
  6. 如申請專利範圍第3項之基板的處理方法,更包含引燃電漿。
  7. 如申請專利範圍第2項之基板的處理方法,其中該蝕刻氣體為含氯化合物。
  8. 如申請專利範圍第1項之基板的處理方法,其中該蝕刻步驟係非保型地執行。
  9. 如申請專利範圍第2項之基板的處理方法,其中一循環將約1 Å 到約 50 Å之間的薄膜蝕刻掉。
  10. 如申請專利範圍第3項之基板的處理方法,其中於該基板暴露到該沉積前驅物期間,至少若干的該沉積前驅物吸附在該基板的表面上。
  11. 如申請專利範圍第2或3項之任一項之基板的處理方法,其中在暴露步驟之間沖洗該腔室。
  12. 如申請專利範圍第1-10項之任一項之基板的處理方法,其中該蝕刻步驟與該沉積步驟係在相同的腔室中執行。
  13. 如申請專利範圍第1-10項之任一項之基板的處理方法,其中該蝕刻步驟或該沉積步驟中之至少一者為自限制反應。
  14. 如申請專利範圍第1-10項之任一項之基板的處理方法,其中執行該蝕刻步驟與該沉積步驟,以將材料沉積在該基板上。
  15. 如申請專利範圍第1-10項之任一項之基板的處理方法,其中執行該蝕刻步驟與該沉積步驟,以蝕刻該基板上的材料。
  16. 如申請專利範圍第1-10項之任一項之基板的處理方法,其中該蝕刻步驟更包含對該基板進行方向性濺射。
  17. 一種方法,包含下列步驟: (a)  將容置於一腔室中的基板暴露到蝕刻氣體與移除氣體的交替脈衝,以逐層地蝕刻該基板; (b) 將該基板暴露到第一反應物與第二反應物的交替脈衝,以將薄膜沉積在該基板上;並且 (c)  於相同的腔室中重複執行步驟(a)與步驟(b)。
  18. 如申請專利範圍第17項之方法,其中步驟(a)更包括對該基板施加偏壓。
  19. 如申請專利範圍第17項之方法,其中步驟(a)更包括對該基板進行方向性濺射。
  20. 如申請專利範圍第17項之方法,更包含在將該基板暴露到該移除氣體時引燃電漿。
  21. 如申請專利範圍第17項之方法,更包含在將該基板暴露到該第二反應物時引燃電漿。
  22. 如申請專利範圍第17-21項之任一項之方法,其中該移除氣體為選自由下列所組成之群組的載氣: N2 、 Ar、 He、 及 Ne。
  23. 如申請專利範圍第17-21項之任一項之方法,其中步驟(a)與步驟(b)係在相同的腔室中執行,且相繼地執行。
  24. 如申請專利範圍第17-21項之任一項之方法,其中在脈衝之間沖洗該腔室。
  25. 如申請專利範圍第17-21項之任一項之方法,其中步驟(a)或步驟(b)中之至少一者為自限制反應。
  26. 如申請專利範圍第17-21項之任一項之方法,其中重複執行步驟(a)與步驟(b),以將材料沉積在該基板上。
  27. 如申請專利範圍第17-21項之任一項之方法,其中重複執行步驟(a)與步驟(b),以蝕刻該基板上的薄膜。
  28. 如申請專利範圍第17-21項之任一項之方法,其中該基板係選自由金屬與介電質所組成之群組。
  29. 一種處理基板的設備,該設備包含: 一或更多處理腔室,各處理腔室包含一卡盤; 進入該等處理腔室以及相關的流量控制硬體的一或更多氣體入口;以及 一控制器,其具有一記憶體以及至少一處理器,其中 該記憶體以及該至少一處理器彼此通訊地連接; 該至少一處理器至少與該流量控制硬體操作地連接;並且 該記憶體儲存用以控制該至少一處理器的電腦可執行指令,以至少控制該流量控制硬體進行下列操作:         以原子層蝕刻處理在一腔室中蝕刻基板;並且 以原子層沉積處理在該腔室中沉積一薄膜; 其中在無破壞真空之情況下執行該蝕刻操作及該沉積操作。
  30. 一種處理基板的設備,該設備包含: 一或更多處理腔室,各處理腔室包含一卡盤; 進入該等處理腔室以及相關的流量控制硬體的一或更多氣體入口;以及 一控制器,其具有一記憶體以及至少一處理器,其中 該記憶體以及該至少一處理器彼此通訊地連接; 該至少一處理器至少與該流量控制硬體操作地連接;並且 該記憶體儲存用以控制該至少一處理器的電腦可執行指令,以至少控制該流量控制硬體進行下列操作: (a)     將一基板暴露到蝕刻劑與沖洗氣體的交替脈衝,以逐層地蝕刻該基板; (b)    將該基板暴露到還原劑與前驅物的交替脈衝,以將薄膜沉積在經蝕刻的該基板上;並且 (c)     在操作(a)與操作(b)的執行之間無破壞真空之情況下重複執行操作(a)與操作(b)。
TW105100640A 2015-01-12 2016-01-11 整合原子尺度製程:原子層沉積與原子層蝕刻 TWI694166B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562102463P 2015-01-12 2015-01-12
US62/102,463 2015-01-12
US14/696,254 US9576811B2 (en) 2015-01-12 2015-04-24 Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US14/696,254 2015-04-24

Publications (2)

Publication Number Publication Date
TW201641731A true TW201641731A (zh) 2016-12-01
TWI694166B TWI694166B (zh) 2020-05-21

Family

ID=56368031

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105100640A TWI694166B (zh) 2015-01-12 2016-01-11 整合原子尺度製程:原子層沉積與原子層蝕刻

Country Status (6)

Country Link
US (5) US9576811B2 (zh)
JP (1) JP6935985B2 (zh)
KR (1) KR102570795B1 (zh)
CN (3) CN108807128B (zh)
SG (1) SG10201600099VA (zh)
TW (1) TWI694166B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114340875A (zh) * 2019-06-04 2022-04-12 丹麦技术大学 原子层工艺打印机
TWI778226B (zh) * 2018-02-20 2022-09-21 日商東京威力科創股份有限公司 達成側壁蝕刻的方法
TWI791059B (zh) * 2017-10-31 2023-02-01 美商蘭姆研究公司 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
TWI808998B (zh) * 2017-10-06 2023-07-21 美商蘭姆研究公司 高能量原子層蝕刻

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
WO2017091327A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Method for modifying epitaxial growth shape
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
KR102489215B1 (ko) * 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
JP2020502790A (ja) * 2016-12-15 2020-01-23 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 半導体処理装置
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20180294168A1 (en) * 2017-04-11 2018-10-11 Tokyo Electron Limited Method for anisotropic dry etching of titanium-containing films
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
WO2019118660A1 (en) * 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019169627A (ja) * 2018-03-23 2019-10-03 東京エレクトロン株式会社 エッチング方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102642011B1 (ko) * 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
CN108448008B (zh) * 2018-04-12 2020-05-01 昆山梦显电子科技有限公司 Oled薄膜封装工艺及oled薄膜封装系统
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102413572B1 (ko) * 2018-05-11 2022-06-28 주식회사 원익아이피에스 기판 처리 장치
KR102466724B1 (ko) * 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
KR102475843B1 (ko) * 2018-05-30 2022-12-09 주식회사 원익아이피에스 박막 형성 방법
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
KR102475844B1 (ko) * 2018-05-11 2022-12-09 주식회사 원익아이피에스 기판 처리 장치
EP3570317A1 (en) 2018-05-17 2019-11-20 IMEC vzw Area-selective deposition of a mask material
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US10707100B2 (en) * 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102027776B1 (ko) 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7282910B2 (ja) * 2019-03-14 2023-05-29 ラム リサーチ コーポレーション 高アスペクト比エッチングのためのプラズマエッチングツール
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295937B2 (en) 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11170981B2 (en) 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210078264A (ko) 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111243948B (zh) * 2020-01-17 2023-03-21 北京北方华创微电子装备有限公司 用于半导体加工的原子层刻蚀方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
WO2022051045A1 (en) 2020-09-03 2022-03-10 Applied Materials, Inc. Selective anisotropic metal etch
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
CN112813418B (zh) * 2020-12-30 2022-05-24 无锡邑文电子科技有限公司 基于ald技术的晶圆原子层沉积控制系统及高效晶圆生产方法
US11910601B2 (en) 2021-01-05 2024-02-20 Micron Technology, Inc. Microelectronic devices with source region vertically between tiered decks, and related methods and systems
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
JPH03133128A (ja) * 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE19681602T1 (de) 1995-10-19 1998-11-26 Massachusetts Inst Technology Verfahren zum Entfernen von Metall
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
WO2002091461A2 (en) * 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
KR100742644B1 (ko) * 2006-01-05 2007-07-25 재단법인서울대학교산학협력재단 단원자층 증착법을 이용한 양자점 형성 방법
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5416280B2 (ja) * 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808998B (zh) * 2017-10-06 2023-07-21 美商蘭姆研究公司 高能量原子層蝕刻
TWI791059B (zh) * 2017-10-31 2023-02-01 美商蘭姆研究公司 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
TWI778226B (zh) * 2018-02-20 2022-09-21 日商東京威力科創股份有限公司 達成側壁蝕刻的方法
CN114340875A (zh) * 2019-06-04 2022-04-12 丹麦技术大学 原子层工艺打印机

Also Published As

Publication number Publication date
KR102570795B1 (ko) 2023-08-24
CN108807128B (zh) 2020-11-24
CN105789027A (zh) 2016-07-20
CN112530789A (zh) 2021-03-19
TWI694166B (zh) 2020-05-21
US10515816B2 (en) 2019-12-24
SG10201600099VA (en) 2016-08-30
KR20160087348A (ko) 2016-07-21
US20180033635A1 (en) 2018-02-01
JP6935985B2 (ja) 2021-09-15
US20190139778A1 (en) 2019-05-09
CN108807128A (zh) 2018-11-13
US20170117159A1 (en) 2017-04-27
US10186426B2 (en) 2019-01-22
US9805941B2 (en) 2017-10-31
US20200161139A1 (en) 2020-05-21
US20160203995A1 (en) 2016-07-14
US9576811B2 (en) 2017-02-21
JP2016131238A (ja) 2016-07-21

Similar Documents

Publication Publication Date Title
TWI694166B (zh) 整合原子尺度製程:原子層沉積與原子層蝕刻
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
US11239094B2 (en) Designer atomic layer etching
US10998187B2 (en) Selective deposition with atomic layer etch reset
TWI750120B (zh) GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10714354B2 (en) Self limiting lateral atomic layer etch
TWI726989B (zh) 蝕刻基板的材料之方法及設備