KR20160087348A - 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합 - Google Patents

원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합 Download PDF

Info

Publication number
KR20160087348A
KR20160087348A KR1020160003290A KR20160003290A KR20160087348A KR 20160087348 A KR20160087348 A KR 20160087348A KR 1020160003290 A KR1020160003290 A KR 1020160003290A KR 20160003290 A KR20160003290 A KR 20160003290A KR 20160087348 A KR20160087348 A KR 20160087348A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
etching
gas
deposition
Prior art date
Application number
KR1020160003290A
Other languages
English (en)
Other versions
KR102570795B1 (ko
Inventor
케렌 제이콥스 카나릭
제프리 막스
하미트 싱
사만다 탄
알렉산더 카반스키
위언빙 양
김태승
데니스 엠. 하우스만
토르스텐 릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160087348A publication Critical patent/KR20160087348A/ko
Application granted granted Critical
Publication of KR102570795B1 publication Critical patent/KR102570795B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Abstract

동일한 챔버 또는 반응기에서 ALE (atomic layer etch) 프로세스 및 ALD (atomic layer deposition) 프로세스 양자를 수행함으로써 ALE 및 ALD를 통합하기 위한 방법들이 제공된다. 방법들은 에칭 동안 피처 열화를 방지하고, 선택도를 개선하고, 그리고 반도체 기판의 센서티브한 층들을 캡슐화 (encapsulate) 하도록 ALE 프로세스와 ALD 프로세스 사이를 연속적으로 교번하는 단계를 수반한다.

Description

원자 스케일 프로세스들: ALD (ATOMIC LAYER DEPOSITION) 및 ALE (ATOMIC LAYER ETCH) 의 통합{INTEGRATING ATOMIC SCALE PROCESSES: ALD (ATOMIC LAYER DEPOSITION) AND ALE (ATOMIC LAYER ETCH)}
피처 사이즈들이 축소됨에 따라, ALD (Atomic Layer Deposition) 및 ALE (Atomic Layer Etch) 와 같은 원자 스케일 프로세싱에 대한 수요가 성장하고 있다. ALD 프로세스 및 ALE 프로세스는 관례적으로 모든 ALD 동작 또는 모든 ALE 동작이 각각 모든 ALE 동작 또는 모든 ALD 동작 전에 수행되도록 별도의 프로세스들로서 수행되었다. 관례적인 ALD 프로세스 및 ALE 프로세스는 조건들, 전구체 화학적 성질, 및 대응하는 프로세스 각각에 대한 파라미터들을 수용하기 위해 별도의 반응기들 또는 챔버들을 사용한다.
ALE 및 ALD를 통합하는 방법들이 제공된다. 일 양태는 챔버 내에서 ALE에 의해 기판을 에칭하는 단계; 및 챔버 내에서 ALD에 의해 막을 증착하는 단계에 의해 기판을 프로세싱하는 방법을 수반하고, 에칭 및 증착은 진공을 파괴하지 않고 수행된다.
에칭 단계는 사이클들로 수행될 수도 있고, 일 사이클은: 기판의 표면을 개질하도록 기판을 에칭 가스에 노출시키는 단계; 및 개질된 표면의 적어도 일부를 제거하도록 기판을 제거 가스에 노출시키는 단계를 포함한다. 기판을 에칭 가스에 노출시키는 단계는 플라즈마를 점화하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 방법은 기판에 바이어스를 인가하는 단계를 더 포함한다. 에칭 가스는 염소-함유 화합물일 수도 있다. 다양한 실시예들에서, 일 사이클은 약 1 Å 내지 약 50 Å의 막을 에칭한다. 챔버는 노출 단계들 사이에 퍼지될 수도 있다.
증착 단계는 사이클들로 수행될 수도 있고, 일 사이클은: 기판의 표면을 개질하도록 증착 전구체에 기판을 노출시키는 단계; 및 막을 증착하도록 기판을 환원제에 노출시키는 단계를 포함한다. 일부 실시예들에서, 방법은 플라즈마를 점화하는 단계를 더 포함한다. 일부 실시예들에서, 증착 전구체의 적어도 일부는 기판의 증착 전구체로의 노출 동안 기판의 표면 상에 흡착한다. 챔버는 노출 단계들 사이에 퍼지될 수도 있다.
일부 실시예들에서, 에칭 단계 및 증착 단계는 기판 상에 재료를 증착하도록 수행된다. 일부 실시예들에서, 에칭 단계 및 증착 단계는 기판 상에 재료를 에칭하도록 수행된다.
다양한 실시예들에서, 에칭 단계는 기판을 지향성으로 스퍼터링하는 단계를 더 포함한다. 일부 실시예들에서, 에칭 단계 및 증착 단계는 동일한 챔버 내에서 수행된다. 에칭은 컨포멀하지 않게 수행될 수도 있다. 일부 실시예들에서, 에칭 단계 또는 증착 단계 중 적어도 하나는 자기-제한 반응이다.
또 다른 양태는 (a) 층 단위로 기판을 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버 내에 하우징된 기판을 노출시키는 단계; (b) 기판 위에 막을 증착하기 위해 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 기판을 노출시키는 단계; 및 (c) 동일한 챔버 내에서 단계 (a) 및 단계 (b) 를 반복하는 단계를 포함하는, 방법을 수반한다.
제거 가스는 N2, Ar, He, 및 Ne로 구성된 그룹으로부터 선택된 캐리어 가스일 수도 있다. 일부 실시예들에서, 단계 (a) 및 단계 (b) 는 동일한 챔버 내에서 수행되고 순차적으로 수행된다. 게다가, 챔버는 펄스들 사이에 퍼지될 수도 있다. 다양한 실시예들에서, 단계 (a) 는 기판에 바이어스를 인가하는 단계를 더 포함한다. 일부 실시예들에서, 단계 (a) 는 기판을 지향성으로 스퍼터링하는 단계를 더 포함한다.
일부 실시예들에서, 방법은 또한 제거 가스에 기판을 노출할 때 플라즈마를 점화하는 단계를 포함한다. 방법은 또한 제 2 반응물질에 기판을 노출할 때 플라즈마를 점화하는 단계를 포함할 수도 있다.
다양한 실시예들에서, 단계 (a) 또는 단계 (b) 중 적어도 하나는 자기-제한 반응이다. 일부 실시예들에서, 단계 (a) 및 단계 (b) 는 기판 상에 재료를 증착하도록 반복된다. 일부 실시예들에서, 단계 (a) 및 단계 (b) 는 기판 상에 막을 에칭하도록 반복된다. 다양한 실시예들에서, 기판은 금속들 및 유전체들로 구성된 그룹으로부터 선택된다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 포함하는, 하나 이상의 프로세스 챔버들; 프로세스 챔버들 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는, 챔버 내에서 원자층 에칭에 의해 기판을 에칭하는 단계; 및 챔버 내에서 원자층 증착에 의해 막을 증착하는 단계에 의해 적어도 플로우-제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하고, 에칭 단계 및 증착 단계는 진공을 파괴하지 않고 수행된다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 포함하는, 하나 이상의 프로세스 챔버들; 프로세스 챔버들 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는, (a) 층 단위로 기판을 에칭하기 위해 에천트 및 퍼지 가스의 교번하는 펄스들에 기판을 노출시키는 단계; (b) 에칭된 기판 위에 막을 증착하기 위해 환원제 및 전구체의 교번하는 펄스들에 기판을 노출시키는 단계; 및 (c) 단계 (a) 를 수행하는 단계와 단계 (b) 를 수행하는 단계 사이에 진공을 파괴하지 않고 단계 (a) 및 단계 (b) 를 반복하는 단계에 의해, 적어도 플로우-제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장한다.
이들 및 다른 양태들이 도면들을 참조하여 이하에 더 기술된다.
도 1a는 개시된 실시예들에 따른 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 1b는 에칭 및 증착의 예들의 개략적 예시이다.
도 1c는 개시된 실시예들에 따른 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 1d는 개시된 실시예들에 따라 수행된 동작들의 예를 도시하는 타이밍 개략도이다.
도 2는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 3은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 개략도이다.
도 4는 개시된 실시예들에 따라 수행된 실험으로부터 에칭된 기판의 이미지들을 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
피처 사이즈들이 축소됨에 따라, ALD (Atomic Layer Deposition) 및 ALE (Atomic Layer Etch) 와 같은 원자 스케일 프로세싱에 대한 수요가 성장하고 있다. 이들은 막 두께들에서 수치적 변화 및 작은 변화를 발생시키는 명목상 자기-제한 단계들의 순환적 프로세스들이다. 이 프로세스들은 평활도 (smoothness) 및 컨포멀성 (conformality) 및 또한 일부 ALE의 경우 지향성을 특징으로 한다. ALD 프로세스 및 ALE 프로세스는 관례적으로, 모든 증착 프로세스들이 모든 에칭 프로세스들 전에 또는 그 반대로 수행되도록, 독립적으로 수행되었다. 관례적인 ALD 프로세스 및 ALE 프로세스는 상이한 툴들 상에서 수행된다. 예를 들어, 관례적으로 ALD 툴들은 ALE 프로세스들을 실행하지 않고, ALE 툴들은 ALD 프로세스들을 실행하지 않는다.
에칭 프로세스 및 증착 프로세스를 인시츄 (예를 들어, 툴의 동일한 챔버 내에서 또는 진공을 파괴하지 않고) 통합하기 위한 방법들이 본 명세서에 제공된다. 개시된 실시예들은 기판 상에서 재료를 순-증착하고 재료를 순-에칭하기 위해 사용될 수도 있다. 본 명세서에 기술된 일부 방법들은 ALE 프로세스들 동안 ALD에 의해 패시베이션층을 증착하는 단계를 수반한다. 개시된 방법들은 트렌치들 및 홀들에 대한 에칭 프로세스들, MRAM의 제조, 상 변화 재료들의 제조, 에칭 프로세스들에서 개선된 선택도, 및 폴리 더미 제거를 포함하는, 광범위한 애플리케이션들에 적합하다. 예를 들어, 에칭 동안 증착은 에칭 동안 측벽들 또는 마스크를 보호하도록 또는 에칭 및 후속하는 대기로의 노출 동안 재료를 캡슐화 (encapsulate) 하도록 또는 증착이 선택적일 때 선택도를 제공하도록 사용될 수도 있고, 증착 동안 에칭은 증착 동안 피처들이 개방된 채로 유지되도록 사용될 수도 있다.
도 1a는 개시된 실시예들에 따른 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다. 도 1a의 동작들은 약 1 mTorr 내지 약 100 Torr, 예를 들어, 약 1 mTorr 내지 약 1 Torr의 챔버 압력에서 수행될 수도 있다. 동작 101에서, 기판 또는 웨이퍼가 프로세싱 챔버에 제공된다. 챔버는 멀티-챔버 장치 또는 단일-챔버 장치의 챔버일 수도 있다. 기판은 실리콘 웨이퍼, 상부에 유전체, 도전성, 또는 반도전성 재료와 같은 재료의 하나 이상의 층들이 증착된 웨이퍼들을 포함하는, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일부 실시예들에서, 기판은 비정질 실리콘과 같은 실리콘의 블랭킷 층 또는 게르마늄의 블랭킷 층을 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크층을 포함할 수도 있다. 예를 들어, 마스크층은 블랭킷 비정질 실리콘층을 포함하는 기판 상에 증착되고 패터닝될 수도 있다.
일부 실시예들에서, 기판 상의 층들은 패터닝될 수도 있다. 기판들은 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있고, 이들은 하나 이상의 좁은/좁거나 재차들어간 개구들, 피처 내의 협착부들 (constrictions) 및 고종횡비들을 특징으로 할 수도 있다. 피처는 하나 이상의 상기 기술된 층들 내에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 홀 또는 비아이다. 또 다른 예는 기판 또는 층 내의 트렌치이다. 다양한 실시예들에서, 피처는 배리어층 또는 접착층과 같은, 하부층을 가질 수도 있다. 하부층들의 비한정적인 예들은 유전체 층들 또는 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속층들을 포함한다.
일부 실시예들에서, 피처들은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 적어도 약 30:1, 또는 그 이상의 종횡비들을 가질 수도 있다. 피처 측벽들의 보호가 고종횡비들에서 바람직할 수도 있다. 개시된 방법들은 약 150 ㎚ 미만의 개구를 갖는 피처들을 갖는 기판들 상에서 수행될 수도 있다. 피처 비아 또는 트렌치는 충진되지 않은 피처 또는 피처로 지칭될 수도 있다. 피처는 하단부, 폐쇄된 단부, 또는 피처의 내부로부터 피처 개구로 좁아지는 재차들어간 프로파일을 가질 수도 있다. 일부 실시예들에서, 본 명세서에 기술된 방법들은 이들 특성들을 갖는 피처들을 형성하도록 사용될 수도 있다.
다시 도 1a를 참조하면, 동작 103에서, 기판은 챔버 내에서 ALE에 의해 에칭된다. ALE는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 원자층 에칭 기법들의 예들은, 예시적인 원자층 에칭 및 에칭 기법들을 기술하기 위한 목적들을 위해 참조로서 본 명세서에 인용된, 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호; 및 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호에 기술된다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
동작 103은 사이클들로 수행될 수도 있다. "ALE 사이클" 의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 ALE 사이클은 모노레이어를 에칭하는 것과 같은, 에칭 프로세스를 한번 수행하도록 사용된 동작들의 최소 세트이다. 기판 표면 상의 막층의 적어도 일부가 에칭되는 것이 일 사이클의 결과이다. 통상적으로, ALE 사이클은 반응성 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 고유한 시퀀스의 동작들의 일 예를 포함한다. 예로서, ALE 사이클은 다음 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시예들에서, 에칭은 컨포멀하지 않게 수행될 수도 있다. 도 1b는 ALE 사이클의 2가지 예시적인 개략적인 예시들을 도시한다. 도표들 (171a 내지 171e) 은 일반적인 ALE 사이클을 도시한다. 171a에서, 기판이 제공된다. 171b에서, 기판의 표면이 개질된다. 171c에서, 다음 단계가 준비된다. 171d에서, 개질된 층이 에칭된다. 171e에서, 개질된 층이 제거된다. 유사하게, 도표들 (172a 내지 172e) 은 실리콘 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. 172a에서, 많은 실리콘 원자들을 포함하는, 실리콘 기판이 제공된다. 172b에서, 기판의 표면을 개질하는 반응물질 가스 염소가 기판에 도입된다. 172b의 도식은 예로서 일부 염소가 기판의 표면 상에 흡착하는 것을 도시한다. 도 1b에서 염소가 도시되었지만, 임의의 염소-함유 화합물 또는 적합한 반응물질이 사용될 수도 있다. 172c에서, 반응물질 가스 염소가 챔버로부터 퍼지된다. 172d에서, 제거 가스 아르곤이 Ar+ 플라즈마 종 및 화살표들로 나타낸 바와 같이 지향성 플라즈마를 사용하여 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충격이 수행된다. 이 동작 동안, 이온들을 기판을 향하여 유인하도록 바이어스가 기판에 인가된다. 172e에서, 챔버는 퍼지되고 부산물들은 제거된다.
일 사이클은 단지 재료의 약 0.1 ㎚ 내지 약 50 ㎚, 또는 재료의 약 0.1 ㎚ 내지 약 5 ㎚, 또는 재료의 약 0.2 ㎚ 내지 약 50 ㎚, 또는 재료의 약 0.2 ㎚ 내지 약 5 ㎚를 부분적으로 에칭할 수도 있다. 일 사이클에서 에칭된 재료의 양은 ALD와 통합하는 목적에 따를 수도 있다. 예를 들어, ALD가 측벽들을 보호하기 위해 통합된다면, ALE 사이클들에서 에칭된 양은 ALD를 수행하는 것이 피처의 하단부에서 노출된 측벽들을 보호하도록 가변될 수도 있다. 일부 실시예들에서, 일 사이클의 ALE는 재료의 모노레이어보다 적게 제거할 수도 있다.
도 1a의 동작 103에서 에칭 화학적 성질이 챔버 내로 도입된다. 본 명세서에 기술된 바와 같이, 재료들이 챔버 내로 도입되는 동작들에서, 플라즈마를 사용하는 원자층 에칭을 수반하는 일부 실시예들에서, 반응기 또는 챔버는 기판 또는 웨이퍼를 프로세싱하기 전에 화학적 성질을 챔버 내로 도입함으로써 안정화될 수도 있다. 챔버를 안정화하는 것은 동일한 플로우 레이트들, 압력, 온도들 및 안정화 후의 동작에서 사용될 화학적 성질과 같은 다른 조건들을 사용할 수도 있다. 일부 실시예들에서, 챔버를 안정화하는 것은 상이한 파라미터들을 수반할 수도 있다. 일부 실시예들에서, N2, Ar, Ne, He, 및 이들의 조합들과 같은 캐리어 가스는 동작 103 동안 계속해서 흐른다. 일부 실시예들에서, 캐리어 가스는 제거 동안에만 사용된다. 캐리어 가스는 이하에 기술된 바와 같이 일부 동작들에서 퍼지 가스로서 사용될 수도 있다. 일부 실시예들에서, 산소와 같은 또 다른 반응물질 가스가 개질된 층을 제거하기 위해 동작 103 동안 사용된다. 일부 실시예들에서, 캐리어 가스는 제거 동안 흐르지 않는다.
동작 103 동안 수행될 수도 있는 개질 동작 및 제거 동작의 예가 본 명세서에 제공된다. 개질 동작은 후속하는 제거 동작에서 개질되지 않은 재료보다 보다 용이하게 제거되는 두께를 갖는 얇은, 반응성 표면층을 형성한다. 개질 동작에서, 기판은 챔버 내로 염소를 도입함으로써 염소화될 수도 있다. 염소는 개시된 실시예들에서 예시적인 에천트 종으로 사용되지만, 일부 실시예들에서, 상이한 에칭 가스가 챔버 내로 도입된다는 것이 이해될 것이다. 에칭 가스는 에칭될 기판의 타입 및 화학적 성질에 따라 선택될 수도 있다. 일부 실시예들에서, 플라즈마가 점화될 수도 있고 염소는 에칭 프로세스를 위해 기판과 반응할 수도 있다. 일부 실시예들에서, 염소는 기판과 반응할 수도 있고 또는 기판의 표면 상에 흡착할 수도 있다. 다양한 실시예들에서, 염소는 가스 형태로 챔버 내로 도입되고, 상기 기술된 캐리어 가스들 중 어느 하나일 수도 있는 캐리어 가스와 선택가능하게 동반될 수도 있다. 염소 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 바로 생성될 수 있고 또는 기판을 하우징하지 않는 프로세스 챔버 내에서 원격으로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다. 일부 실시예들에서, 플라즈마는 사용되지 않고 염소는 챔버 내로 열적으로 도입될 수도 있다.
다양한 실시예들에서, 플라즈마는 유도 결합된 플라즈마 또는 용량 결합된 플라즈마일 수도 있다. 유도 결합된 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시예들에서, 바이어스는 약 0 V 내지 약 500 V로 인가될 수도 있다.
일부 실시예들에서, 퍼지는 개질 동작 후에 수행될 수도 있다. 퍼지 동작 동안, 표면-결합되지 않은 활성 염소 종이 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층은 제거하지 않고, 활성 종을 제거하기 위해 프로세스 챔버를 퍼지 및/또는 배기함으로써 행해질 수 있다. 염소 플라즈마에서 생성된 종은 단순히 플라즈마를 정지시키고 남아 있는 종으로 하여금 선택가능하게 챔버의 퍼지 및/또는 배기과 조합하여, 감퇴되게 함으로써 제거될 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 행해질 수 있다.
제거 동작에서, 기판은 지향성 스퍼터링에 의해 기판을 에칭하도록 아르곤 또는 헬륨과 같은 에너지 소스 (예를 들어 활성화 또는 스퍼터링 가스 또는 제거를 유도하는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시예들에서, 제거 동작은 이온 충격에 의해 수행될 수도 있다. 제거 동안, 바이어스는 지향성 스퍼터링을 용이하게 하도록 선택가능하게 턴온될 수도 있다. 일부 실시예들에서, ALE는 등방성일 수도 있다.
스퍼터링 가스의 양은 예컨대 재료의 목표된 양만을 에칭하도록 제어될 수도 있다. 다양한 실시예들에서, 챔버의 압력은 개질 동작과 제거 동작 사이에서 가변될 수도 있다. 가스의 압력은 챔버의 사이즈, 가스의 플로우 레이트, 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 따를 수도 있다. 제거 동안 바이어스가 턴온되면, 바이어스는 비정질 실리콘과 같은 소프트 재료 내의 피처들, 트렌치들, 또는 홀들을 에칭하기 위해 약 50 eV로 설정될 수도 있다. 일부 실시예들에서, 챔버는 제거 동작 후에 퍼지될 수도 있다. 퍼지 프로세스들은 개질 동작 후에 퍼지를 위해 사용된 임의의 퍼지 프로세스일 수도 있다.
다양한 실시예들에서, 개질 동작 및 제거 동작은 사이클들, 예컨대 약 1 내지 약 30 사이클들, 또는 약 1 내지 약 20 사이클들로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들은 목표된 양의 막을 에칭하기 위해 포함될 수도 있다. 일부 실시예들에서, ALE는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å을 에칭하도록 사이클들로 수행된다. 일부 실시예들에서, ALE의 사이클들은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å을 에칭한다.
다시 도 1a를 참조하면, 동작 105에서, 동작 103에서 기판이 에칭되는 동일한 챔버 내에서 또는 동작 103으로부터 진공을 파괴하지 않고 ALD에 의해 기판 상에 박막이 증착된다. 동작 103 및 동작 105는 인시츄로 또는 진공 내에서, 공기 노출 (air break) 없이, 또는 진공을 파괴하지 않고 수행될 수도 있다. 다양한 실시예들에서, 실리콘-함유 막은 동작 105에서 증착된다. 예시적인 실리콘-함유 막들은 실리콘 옥사이드 및 실리콘 옥시나이트라이드 및 실리콘 나이트라이드를 포함한다. 일부 실시예들에서, 금속 또는 금속-함유 막이 증착될 수도 있다. 일부 실시예들에서, 동작 105는 동작 103 전에 수행될 수도 있다. 일부 실시예들에서, 동작 103은 동작 105 전에 수행될 수도 있다.
ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. ALD는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 다양한 실시예들에서, ALD는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다. 동작103은 사이클들로 수행될 수도 있다.
"ALD 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로, ALD 사이클은 표면 증착 반응을 한번 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 부분적인 실리콘-함유 막 층의 생성이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달 및 흡착하기 위한 동작, 및 이어서 부분적인 막층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작을 포함한다. 이 사이클은 반응물질들 또는 부산물들 중 하나의 스윕핑 및/또는 증착될 때 부분적인 막의 처리와 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 일 사이클은 고유한 시퀀스의 동작들의 일 예를 포함한다. 예로서, ALD 사이클은 다음 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼지, (iii) 제 2 반응물질 및 플라즈마의 전달, 및 (iv) 챔버로부터 플라즈마의 퍼지를 포함할 수도 있다.
도 1b는 실리콘 옥사이드 (SiO2) 를 증착하기 위한 ALD 사이클의 예시적인 개략적인 예시를 도시한다. 도표들 (182a 내지 182e) 은 일반적인 ALD 사이클을 도시한다. 182a에서, 많은 실리콘 원자들을 포함하는 실리콘 기판이 제공된다. 182b에서, 기판의 표면을 개질하는 산소가 산소 라디칼들로서 기판에 도입된다. 이는 반응물질 및 플라즈마의 전달일 수도 있다. 일부 산소 라디칼들은 예로서 기판의 표면 상에 흡착된다는 것을 주의한다. 182c에서, 산소 라디칼들은 챔버로부터 퍼지된다. 182d에서, 실리콘-함유 전구체 또는 실리콘 소스가 도입되고 실리콘 소스는 기판의 표면 상에 흡착된 산소 라디칼들과 반응한다. 182e에서, 챔버는 퍼지되고, SiO2의 증착된 층을 남기면서 부산물들은 제거된다.
일부 실시예들에서, ALD에 의해 증착된 막들은 매우 컨포멀할 수도 있다. 막들의 컨포멀성 (conformality) 은 단차 커버리지로 측정될 수도 있다. 단차 커버리지는 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께에 대한 피처의 하단부, 측벽 또는 상단부 상에 증착된 막의 평균 두께를 비교함으로써 산출될 수도 있다. 예를 들어, 단차 커버리지는 피처의 측벽 상에 증착된 막의 평균 두께를 피처의 상단부에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 산출될 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층단위로 막들을 증착하기 위해 표면-매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는, 기판 표면은 기판을 하우징하는 프로세스 챔버에 제공된 일 도즈 내의 실리콘-함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학적 흡착 종 및/또는 물리적 흡착 종을 포함하여, 기판 표면 상에 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 특정한 실시예들에서, ALD 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 균일하게 포화시키도록 전구체가 기판에 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이 때 턴오프되거나 방향전환되어, 퍼지 가스만이 흐른다. 이 반-포화 레짐 (sub-saturation regime) 을 동작시킴으로써, ALD 프로세스는 사이클 시간을 감소시키고 처리량을 증가시킨다. 그러나, 전구체 흡착은 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호에 제공된다. 제 1 전구체 도즈 후에, 이어서 반응기는 흡착된 종만이 남도록 가스 상으로 남아 있는 모든 제 1 전구체를 제거하도록 배기된다. 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 산소 또는 질소-함유 가스와 같은 제 2 반응물질이 반응기에 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 전구체는 활성화 소스가 일시적으로 인가된 후에만 반응한다. 이어서 반응기는 결합되지 않은 제 2 전구체 분자들을 제거하도록 다시 배기될 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하도록 사용될 수도 있다.
일부 구현예들에서, ALD 방법들은 예컨대 제 2 반응물질이 챔버로 전달될 때, 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는, 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원되고 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (이제 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원되고 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다. ALD 프로세스들의 부가적인 예들은 적합한 ALD 프로세스들에 대한 기술을 제공할 목적으로 참조로서 본 명세서에 인용된, Puurunen, "Surface chemistry of atomic layer deposition: for trimethylaluminum/water process", 97 J. Applied Physics 12301 (2005) 에 기술된다.
다시 도 1a를 참조하면, 동작 105에서, 일부 실시예들에서, 캐리어 가스, 예컨대 N2, Ar, Ne, He, 및 이들의 조합들은 연속적으로 흐를 수도 있다. 캐리어 가스는 퍼지 가스로서 사용될 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 고속 전달을 보조하도록 및/또는 프로세스 챔버로 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
동작 105에서 수행될 수도 있는, 흡착 동작 및 제 2 반응물질 전달 동작의 예가 본 명세서에 제공된다. ALD 사이클의 흡착 동작 동안, 기판은 기판 표면 상에 흡착하도록 실리콘 테트라클로라이드 (SiCl4) 와 같은 막 전구체에 노출될 수도 있다. 일부 실시예들에서, 막 전구체는 실리콘-함유 전구체일 수도 있다. 일부 실시예들에서, 막 전구체, 예컨대 SiCl4는 기판 표면의 약 60 %에 흡착할 수도 있다. 다양한 실시예들에서, 막 전구체가 챔버로 흐를 때, 막 전구체는 표면 상에 막 전구체의 박층을 형성하는, 기판의 표면 상의 활성 사이트들 상에 흡착한다. 다양한 실시예들에서, 이 층은 모노레이어보다 작을 수도 있다.
흡착 후에, 챔버는 기판의 표면 상에 흡착하지 않는 가스 상의 과잉 전구체를 제거하기 위해 선택가능하게 퍼지될 수도 있다. 퍼지는 다른 동작들에서 사용된 캐리어 가스이거나 다른 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다.
ALD 사이클의 제 2 반응물질 전달 동작에서, 기판은 제 2 반응물질 및, 선택가능하게 플라즈마에 노출될 수도 있다. 다양한 실시예들에서, 제 2 반응물질은 산소 (O2) 또는 질소 (N2) 또는 이들의 조합들이다. 실리콘 옥사이드층이 증착되는 일부 실시예들에서, 산소는 제 2 반응물질로서 사용된다. 일부 실시예들에서, 제 2 반응물질 플로우 및 플라즈마 양자가 턴온된다. 일부 실시예들에서, 제 2 반응물질 플로우는 예를 들어, 제 2 반응물질 플로우로 하여금 안정화되게 하도록, 플라즈마를 턴온하기 전에 턴온될 수도 있다.
일부 실시예들에서, 선택가능한 플라즈마는 플라즈마가 챔버 내에서 기판 표면 바로 위에서 형성되도록 인시츄 플라즈마이다. 다양한 실시예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시예들에서, 바이어스는 약 0 V 내지 약 500 V으로 인가될 수도 있다. 제 2 반응물질의 전달 동안, 막 전구체, 예컨대 SiCl4은 턴오프된다. 기판은 플라즈마가 기판 표면 최상단에 연속적인 막을 형성하는, 기판 표면 상에 흡착된 모든 전구체들과 상호작용하는 시간을 초과하는 지속기간 동안 제 2 반응물질 및 선택가능한 플라즈마에 노출될 수도 있다.
제 2 반응물질 전달 동작 후에, 챔버는 예컨대 캐리어 가스 또는 불활성 가스를 도입함으로써 퍼지될 수도 있다. 이 동작을 위한 조건들은 퍼지 프로세스들을 위해 상기 기술된 조건들 중 어느 하나일 수도 있다.
다양한 실시예들에서, ALD 사이클들은 반복될 수도 있다. 예를 들어, ALD를 위한 동작들은 약 5 내지 약 70 사이클 수행될 수도 있다. 임의의 적합한 수의 증착 사이클들이 증착된 막의 목표된 막 두께로 증착하도록 포함될 수도 있다. 일부 실시예들에서, ALD 사이클은 사이클 당 약 1 Å을 증착할 수도 있다. 동작들의 노출 시간에 따라, 사이클 각각은 약 0.05 Å 내지 약 5 Å의 두께를 갖는, 실리콘 옥사이드막 또는 실리콘 옥시나이트라이드막과 같은 막을 증착할 수도 있다. 일부 실시예들에서, 분 당 ALD의 약 2 내지 약 3 사이클이 수행될 수도 있다. 일부 실시예들에서, 예컨대 유입부들이 기판에 보다 가깝게 위치된 챔버들 내에서, 분 당 약 3 사이클보다 많은 사이클들이 수행될 수도 있다.
일부 실시예들에서, ALD 동작들은 동일한 챔버 내에서 발생할 수도 있는, 후속하는 ALE 프로세스들에서 측방향 에칭으로부터 피처들을 보호할 수 있는 컨포멀한 막들을 생성한다. 일부 실시예들에서, ALD는 예컨대, 에칭 프로세스들 동안 부식으로부터 피처를 보호하도록 피처의 모서리들 상에 막들을 증착하도록, 기판 상에 막들을 선택적으로 증착하도록 통합된다. 일부 실시예들에서, 동작 103 및 동작 105 중 적어도 하나는 자기-제한 반응이다. 일부 실시예들에서, 동작 103 및 동작 105 중 적어도 하나는 가능한 한 자기-제한 반응이다. 예를 들어, 일부 실시예들에서, 동작 103만이 자기-제한 프로세스이다. 일부 실시예들에서, 동작 105만이 자기-제한 프로세스이다. 일부 실시예들에서, 동작 103 및 동작 105 양자는 자기-제한 프로세스이다. 다양한 실시예들에서, 동작 103 및 동작 105는 순차적으로 수행될 수도 있다. 이들 동작들을 순차적으로 수행하는 단계의 예들은 도 1c 및 도 1d에 대해 이하에 더 기술된다.
동작 107에서, 바이어스는 선택가능하게 인가된다. 바이어스 인가는 측벽들을 에칭할 수도 있고, 따라서, 다양한 실시예들에서, 바이어스는 연속적으로 인가되지 않을 수도 있고 ALD 및 ALE의 여러 사이클들 후에 인가될 수도 있다. 이 동작은 ALD에 의해 증착된 막을 관통 (break through) 하도록 수행될 수도 있다. 일부 실시예들에서, 바이어스는 ALD 사이클들에 의해 증착된 층을 관통하도록 약 80 eV로 설정된다.
동작 109에서, 충분한 에칭이 수행되었는지 여부가 결정된다. 프로파일이 충분히 에칭되지 않았다면, 동작들 103 내지 109는 반복될 수도 있다. 일부 실시예들에서, 동작들 103 내지 109는 동일한 챔버 내에서 수행되거나 최초 사이클에서와 같이 반복된 사이클들 동안 진공을 파괴하지 않고 수행된다.
도 1c 및 도 1d는 개시된 실시예들의 추가 예들을 제공한다. 동작 110에서, 챔버 내에 하우징된 기판은 기판의 표면을 개질하도록 에천트 또는 에칭 가스에 노출될 수도 있다. 이는 "표면 개질" 동작으로 지칭될 수도 있다. 일부 실시예들에서, 이는 도 1d에 도시된 표면 개질 페이즈 150A에 대응할 수도 있고 에칭 사이클의 일부일 수도 있다. 도 1d에 도시된 바와 같이, 이 동작 동안, 캐리어 가스는 에천트가 챔버로 흐르는 동안, 선택가능하게 흐를 수도 있다. 캐리어 가스는 도 1a에 대해 상기 기술된 임의의 캐리어 가스들일 수도 있다. 일부 실시예들에서, 캐리어 가스는 표면 개질 동안 흐르지 않는다. 에천트는 기판의 최상단 표면만을 개질하도록 흐를 수도 있다. 다양한 실시예들에서, 바이어스는 동작 110 동안 인가될 수도 있다. 바이어스는 약 0 V 내지 약 500 V의 전력으로 인가될 수도 있다.
도 1c를 다시 참조하면, 동작 112에서, 기판의 개질된 층은 캐리어 가스와 동일하거나 상이할 수도 있는, 제거 동작의 스퍼터링 가스에 기판을 노출시킴으로써 기판으로부터 제거될 수도 있다. 다양한 실시예들에서, 기판 표면의 적어도 일부는 이 동작에서 에칭될 수도 있다. 동작 103에 대해 상기 제공된 예는 이들 동작들에 따라 사용될 수도 있다. 동작 110 및 동작 112를 수행하는 것은, 일부 실시예들에서, ALE를 1회 수행하는 단계를 구성한다. 일부 실시예들에서, 동작 110 및 동작 112는 1 회 이상 (예를 들어, 하나 이상의 사이클들로) 선택가능하게 반복될 수도 있다.
동작 112는 도 1d에 도시된 바와 같이 제거 페이즈 152A에 대응할 수도 있다. 표면 개질 150A 및 제거 152A를 수행하는 단계는 에칭 사이클 130A를 구성할 수도 있다. 일부 실시예들에서, 사이클은 보다 많은 동작들을 포함할 수도 있다. 제거 동안, 캐리어 가스는 임의의 다른 화학적 성질을 흘리지 않고 흐를 수도 있다. 캐리어 가스는 개질된 층이 에칭될 때 개질된 층의 퍼지를 용이하게 하는 것을 돕도록 흐를 수도 있다. 도 1d에 제공된 예는 도 1c의 동작 110 및 동작 112를 반복하지 않고 동작 110 및 동작 112를 1 회 수행하는 단계의 예이다.
동작 114에서, 기판은 제 1 반응물질이 적어도 일부의 기판 표면 상에 흡착하도록 제 1 반응물질에 노출된다. 이 동작은 동작 110 및 동작 112의 수행으로부터 진공을 파괴하지 않고 수행될 수도 있다. 일부 실시예들에서, 제 1 반응물질은 본 명세서에 기술된 바와 같이 막 전구체이다. 다양한 실시예들에서, 제 1 반응물질은 기판의 활성 사이트들 상에 흡착할 수도 있다. ALD 사이클 동안 상기 기술된 흡착 예들은 이 동작과 관련된다.
동작 114는 도 1d의 제 1 전구체 노출 페이즈 164A에 대응할 수도 있다. 이 동작 동안, 제 1 전구체는 선택가능한 캐리어 가스와 함께 흐를 수도 있다. 이 동작 동안, 이하에 추가로 기술될 에천트 플로우 및 제 2 반응물질 플로우는 흐르지 않을 수도 있다는 것을 주의한다. 이 동작은 기판의 표면의 적어도 일부를 포화시키기에 충분한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 표면의 적어도 약 40 %, 또는 적어도 약 60 %, 또는 적어도 약 80 %, 또는 약 100 %가 포화될 수도 있다.
일부 실시예들에서, 제 1 전구체 노출 페이즈 164A를 수행한 후에, 기판을 하우징하는 챔버는 도 1d의 퍼지 페이즈 165A에서 선택가능하게 퍼지될 수도 있다. 퍼지 페이즈 165A 동안, 캐리어 가스는 기판의 표면에 흡착하지 않은 과잉 제 1 전구체를 제거하기 위해 흐른다. 일부 실시예들에서, 퍼지 페이즈는 수행되지 않는다.
도 1c를 다시 참조하면, 동작 116은 기판이 제 2 반응물질에 노출되도록 수행될 수도 있다. 다양한 실시예들에서, 제 2 반응물질은 환원제일 수도 있다. 제 2 반응물질은 기판 상에 박막을 증착하도록 흡착된 층과 반응한다. 일부 실시예들에서, 동작 114 및 동작 116은 기판의 표면 상에 제 1 반응물질이 흡착하기 전에 동작 116이 수행되도록 역으로 수행될 수도 있다는 것을 주의한다. 동작 116은 도 1d에 도시된 바와 같이 제 2 반응물질 노출 페이즈 166A에 대응할 수도 있다. 이 페이즈 동안, 제 2 반응물질은 흐르고, 캐리어 가스는 선택가능하게 흐른다. 일부 실시예들에서, 제 2 반응물질은 캐리어 가스 없이 흐른다. 에천트 및 제 1 전구체 플로우는 이 페이즈 동안 턴온되지 않는다. 다양한 실시예들에서, 제 2 반응물질 노출 페이즈는 또한 플라즈마를 점화하는 단계 (미도시) 를 포함할 수도 있다. 일부 실시예들에서 막의 박층은 플라즈마를 사용하지 않고 증착될 수도 있다. 일부 실시예들에서, 챔버는 제 2 반응물질 노출 페이즈 166A 후에 퍼지 페이즈 167A 동안 선택가능하게 퍼지된다. 퍼지 페이즈 167A는 챔버로부터 가스 상의 과잉 제 2 반응물질 또는 부산물들을 제거하도록 수행될 수도 있다. 일부 실시예들에서, 챔버는 퍼지되지 않는다.
도 1c의 동작 114 및 동작 116은 1 회 이상 선택가능하게 반복될 수도 있다. 동작 114 및 동작 116을 수행하는 단계는 도 1a에 대해 상기 기술된 바와 같이 ALD 사이클을 구성할 수도 있다. 다양한 실시예들에서, 동작들 110 내지 116은 1 회 이상 반복된다. 동작 110 및 동작 112의 반복된 사이클들 및 동작 114 및 동작 116의 반복된 사이클들의 조합은 추가 사이클들로 수행될 수도 있다. 예를 들어, 일부 실시예들에서, 동작 110 및 동작 112를 2 회 수행하는 단계 및 동작 114 및 동작 116을 3 회 수행하는 단계를 포함하는 사이클은 2 회 이상 반복될 수도 있다. 일부 실시예들에서, 동작 110 및 동작 112와 동작 114 및 동작 116의 사이클들은 순차적으로 수행된다. 예를 들어, 동작 110 및 동작 112를 수행한 후, 동작 114 및 동작 116이 수행되고, 및/또는 그 반대도 된다. 프로세스에서 사용된 사이클들의 빈도 및 사이클들의 수는 개시된 실시예들이 사용되는 애플리케이션의 타입에 따를 수도 있다.
도 1d에서, 사이클 각각은 1 회 반복된다. 이와 같이, 에칭 사이클 130A는 도 1c의 동작 110 및 동작 112를 1 회 반복하는 단계로 구성되고, 증착 사이클 132A는 도 1c의 동작 114 및 동작 116을 1 회 반복하는 단계로 구성되고, 이어서 사이클들 양자는 에칭 사이클 130B 및 증착 사이클 132B에서 한번 더 반복된다. 에칭 사이클 130B는 표면 개질 페이즈 150B 및 제거 페이즈 152B를 포함하는 반면, 증착 사이클 132B은 제 1 전구체 노출 페이즈 164B, 퍼지 페이즈 165B, 제 2 반응물질 노출 페이즈 166B, 및 퍼지 페이즈 167B를 포함한다. 일부 실시예들에서, 추가의 반복된 사이클들은 퍼지 페이즈 165B 및 퍼지 페이즈 167B를 포함할 수도 있거나 포함하지 않을 수도 있다는 것을 주의한다. 일부 실시예들에서, 노출 페이즈 및 퍼지 페이즈의 지속기간은 통합된 프로세스 동안 사이클 마다 가변할 수도 있다. 일부 실시예들에서, 사이클들의 수는 통합된 에칭 프로세스 동안 시간에 따라 변할 수도 있다.
일부 실시예들에서, 캐리어 가스는 에칭 사이클들 동안에만 흐르고 증착 사이클들 동안에는 흐르지 않을 수도 있다. 일부 실시예들에서, 캐리어 가스는 증착 사이클들 동안에만 흐르고 에칭 사이클들 동안에는 흐르지 않을 수도 있다. 일부 실시예들에서, 캐리어 가스들은 에칭 사이클과 증착 사이클 사이에서 가변할 수도 있다.
다양한 실시예들에서, 페데스탈 온도, 챔버 온도, 챔버 압력, 가스 플로우 레이트들, 가스 플로우 화학적 성질, 펄스들, 노출들, 및 퍼지들의 지속기간, 플라즈마 주파수, 플라즈마 전력 및 바이어스 전력과 같은 프로세스 조건들은 개시된 실시예들이 구현되는 장치 또는 툴의 타입 및 애플리케이션의 타입에 따라 조정될 수도 있다.
본 명세서에 기술된 방법들은 다양한 애플리케이션들에 적합할 수도 있다. 애플리케이션들의 예들은: (1) 고종횡비 측벽 또는 마스크 보호, (2) MRAM (magnetoresistive random access memory) 에칭 프로세스 및 캡슐화 (encapsulation) 프로세스, 및 (3) 지향성 에칭 프로세스들 동안 선택도 개선을 포함한다.
일부 반도체 프로세스들에서, 비정질 실리콘 또는 게르마늄과 같은 소프트 재료들은 트렌치들 또는 홀들을 형성하도록 지향성으로 에칭되거나, 내부에 이러한 피처들을 갖는 층들을 갖는 기판들은 지향성 에칭에 노출될 수도 있다. 그러나, 일부 중성 종이 트렌치 또는 피처 내에 형성될 수도 있고, 이는 피처들의 측벽들을 에칭할 수도 있다. 특히 작은 피처들에서의 ALE 프로세스는, 측벽들이 피처들을 길어지게 (elongate) 할 수도 있는 측방향 에칭에 민감해지게 할 수도 있다. 본 명세서에 기술된 방법들은 ALE 프로세스 및 ALD 프로세스를 통합함으로써, ALD 동안 증착된 막이 ALE 동안 에칭되는 이들 피처들의 측벽들을 보호할 수도 있다. 유사하게, 이들 ALE 및 ALD 통합된 프로세스들은 마스크들 내에서 모서리 패시팅 (faceting) 을 감소시키고, 마스크의 강도를 개선하고, 그리고 마스크의 열화를 방지하도록 사용될 수도 있다.
관례적인 MRAM 에칭 및 캡슐화 프로세스들은 종종 수분-센서티브 층 노출, 그에 따른 디바이스의 오염 위험을 수반한다. 캡슐화가 MRAM 제조시 사용되지만, 본 명세서에 기술된 바와 같은 캡슐화는 또한 다른 디바이스 제조에 사용될 수도 있고 다른 센서티브 층들에 사용될 수도 있다.
MRAM 에칭시, MRAM 스택은, 코발트층 및/또는 탄탈룸층 상에 더 증착되는 MTJ (magnetic tunnel junction) 및 기판 상에 증착된 탄탈룸 마스크를 포함할 수도 있다. 일부 실시예들에서, 이들 층들 사이에 보다 많은 층들이 증착된다. MTJ는 2개의 코발트 철 붕소 (CoFeB) 층들 사이에 개재된 마그네슘 옥사이드 (MgO) 층과 함께 2개의 CoFeB 층들을 포함한다.
이 스택은 루테늄층을 먼저 에칭함으로써 에칭될 수도 있다. 일부 실시예들에서, 루테늄층은 ALE에 의해 에칭될 수도 있다. 후속하여, 아르곤 플라즈마 또는 헬륨 플라즈마가 MTJ를 에칭 및 개방하도록 사용될 수도 있고, 따라서 수분-센서티브 층을 노출한다. 본 명세서에 기술된 방법들은, 나중에 수분-센서티브 층 위에 얇은 캡슐화 막을 증착하고 이를 캡슐화하도록 ALD가 수행되는 동안 기판으로 하여금 동일한 챔버 내에 남아 있게 한다. 일부 실시예들에서, 이 얇은 캡슐화 막은 옥사이드층 또는 나이트라이드층이다. 다양한 실시예들에서, 이어서 아래의 코발트층 및/또는 탄탈룸층이 아래의 층을 계속해서 에칭하도록 기판까지 관통하도록 에칭될 수도 있다.
본 명세서에 기술된 방법들은 또한 에칭 프로세스들의 선택도를 개선하기에 적합할 수도 있다. 예를 들어, 일부 실시예들에서, 컨포멀한 층 증착은 기판의 일부 표면들 상에 보다 두꺼운 층들을 증착하도록 수행될 수도 있다. 일부 실시예들에서, 선택적인 ALD는 후속하는 ALE 프로세스들이 ALD 증착된 영역들보다 보다 많은 노출된 영역들을 에칭하도록 일부 영역들 상에는 박막을 증착하고 다른 영역들 상에는 증착하지 않도록 수행될 수도 있다. 예를 들어, ALD는 ALE 동안 피처들의 에지들의 패시팅을 방지하도록 피처들의 에지들 상에 증착하도록 수행될 수도 있다.
장치
특정한 실시예들에서 ALE 동작들 및 ALD 동작들에 적합할 수도 있는, 유도 결합 플라즈마 (ICP) 반응기들이 이제 기술된다. 이러한 ICP 반응기들은 또한 모든 목적들을 위해서 전체가 참조로서 본 명세서에 인용된, 2013년 12월 10일 출원되고 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공개 번호 제 2014/0170853 호에 기술된다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, 용량 결합된 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 2는 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (200) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont의 Lam Research Corp.에 의해 생산된 Kiyo™ 반응기이다. 유도 결합된 플라즈마 장치 (200) 는 챔버 벽들 (201) 및 윈도우 (211) 에 의해 구조적으로 규정된 전체적인 프로세스 챔버를 포함한다. 챔버 벽들 (201) 은 스텐인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (211) 는 석영 또는 다른 유전체 재료로 이루어질 수도 있다. 선택가능한 내부 플라즈마 그리드 (250) 는 상반-챔버 (202) 및 하반-챔버 (203) 로 전체적인 프로세싱 챔버를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (250) 는 제거될 수도 있어서, 상반-챔버 (202) 및 하반-챔버 (203) 으로 이루어진 챔버 공간을 활용할 수도 있다. 척 (217) 은 하단 내측 표면 근방에 하반-챔버 (203) 내에 위치된다. 척 (217) 은 에칭 프로세스 및 증착 프로세스가 수행될 때 반도체 웨이퍼 (219) 를 수용하고 홀딩하도록 구성된다. 척 (217) 은 존재한다면 웨이퍼 (219) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (217) 을 둘러싸고, 상부 표면을 갖고, 상부 표면은 척 (217) 위에 존재한다면, 웨이퍼 (219) 의 상단 표면과 거의 평평하다. 척 (217) 은 또한 웨이퍼를 척킹하고 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 척 (217) 으로부터 웨이퍼 (219) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (217) 은 RF 전력 공급부 (223) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (223) 는 연결부 (227) 를 통해 매칭 회로 (221) 에 연결된다. 매칭 회로 (221) 는 연결부 (225) 를 통해 척 (217) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (223) 는 척 (217) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (211) 위에 위치된 코일 (233) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (233) 은 전기적으로 도전성 재료로부터 제조되고 적어도 하나의 완전한 턴을 포함한다. 도 2에 도시된 코일 (233) 의 예는 3개의 턴들을 포함한다. 코일 (233) 의 단면들은 심볼들을 사용하여 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하며 연장하는 반면, "
Figure pat00001
"을 갖는 코일들은 페이지 밖으로 회전하며 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (233) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (241) 를 포함한다. 일반적으로, RF 전력 공급부 (241) 는 연결부 (245) 를 통해 매칭 회로 (239) 에 연결된다. 매칭 회로 (239) 는 연결부 (243) 를 통해 코일 (233) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (241) 는 코일 (233) 에 연결된다. 선택가능한 패러데이 차폐부 (Faraday shield) (249) 가 코일 (233) 과 윈도우 (211) 사이에 위치된다. 패러데이 차폐부 (249) 는 코일 (233) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (249) 는 윈도우 (211) 바로 위에 배치된다. 코일 (233), 패러데이 차폐부 (249), 및 윈도우 (211) 는 서로 실질적으로 평행하도록 각각 구성된다. 패러데이 차폐부는 플라즈마 챔버의 유전체 윈도우 상에 금속 또는 다른 종이 증착하는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어 염소, 아르곤, 실리콘 테트라클로라이드, 산소, 질소, 등) 은 상부 챔버 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (260) 을 통해 및/또는 하나 이상의 측면 가스 플로우 유입부들 (270) 을 통해 프로세싱 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않았지만, 유사한 가스 플로우 유입부들이 용량 결합된 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 스테이지 기계적 건조 펌프 및/또는 터보분자 펌프 (240) 는 프로세스 챔버 (224) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (200) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALD의 퍼지 동작 동안 챔버 (200) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관은 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하기 위해 프로세싱 챔버에 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이는 선택가능한 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 용량 결합 플라즈마 프로세싱 챔버로 진공 펌프 및 밸브 제어된 유체 연결부가 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (260 및/또는 270) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 주 가스 플로우 유입부 (260) 를 통해서만, 또는 측면 가스 플로우 유입부 (270) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 보다 복잡한 가스 플로우 유입부들, 예를 들어 하나 이상의 샤워헤드들을 대체할 수도 있다. 패러데이 차폐부 (249) 및/또는 선택가능한 그리드 (250) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (249) 및 선택가능한 그리드 (250) 중 어느 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다. 일부 실시예들에서, 액체 기화 및 전달 시스템은, 액체 반응물질 또는 전구체가 일단 기화되면, 기화된 반응물질 또는 전구체가 가스 플로우 유입부 (260 및/또는 270) 를 통해 챔버 내로 도입되도록, 챔버 (201) 의 업스트림에 놓일 수도 있다. 예시적인 액체 전구체들은 SiCl4 및 실리콘 아미드들을 포함한다.
무선 주파수 전력이 RF 전력 공급부 (241) 로부터 코일 (233) 로 공급되어 RF 전류로 하여금 코일 (233) 을 통해 흐르게 한다. 코일 (233) 을 통한 RF 전류 흐름은 코일 (233) 둘레에 전자기장을 생성한다. 전자기장은 상반-챔버 (202) 내에 유도 전류를 생성한다. 다양한 생성된 이온들 및 라디칼들과 웨이퍼 (219) 의 화학적 및 물리적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭하고 웨이퍼 상에 층들을 증착한다.
상반-챔버 (202) 및 하반-챔버 (203) 양자가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상반-챔버 (202) 내에 전자-이온 플라즈마를 생성하도록 상반-챔버 (202) 내에 존재하는 가스에 대해 작용한다. 선택가능한 내부 플라즈마 그리드 (250) 는 하반-챔버 (203) 내에서 고온 전자들의 양들을 제한한다. 일부 실시예들에서, 장치는 하반-챔버 (203) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자는 양이온 및 음이온을 포함할 수도 있고, 이온-이온 플라즈마를 통해 보다 높은 양이온들에 대한 음이온들의 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (222) 를 통해 하반-챔버 (203) 으로부터 제거될 수도 있다. 본 명세서에 개시된 척 (217) 은 약 10 ℃ 내지 약 250 ℃의 상승된 온도 범위에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피를 따를 것이다.
챔버 (201) 는 클린룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경적 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때, 챔버 (201) 에 커플링된다. 부가적으로, 챔버 (201) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 챔버 (201) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, 시스템 제어기 (230) (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있음) 는 프로세싱 챔버의 동작들의 일부 또는 전부를 제어한다. 시스템 제어기 (230) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 최대 약 500 ms, 또는 최대 약 750 ms의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학적 성질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 따를 수도 있다.
일부 구현예들에서, 제어기 (230) 제어기는 상술한 예들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (230) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (230) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (230) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (230) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (230) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 3은 VTM (vacuum transfer module) (338) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 다수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 구성은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록 또는 이송 모듈로 공지된 에어록 (330) 은, 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4개의 프로세싱 모듈들 (320a 내지 320d) 을 갖는 VTM (338) 내에 도시된다. 예로서, 프로세싱 모듈들 (320a 내지 320d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시예들에서, ALD 및 ALE는 동일한 모듈에서 수행된다. 일부 실시예들에서, ALD 및 ALE는 동일한 툴의 상이한 모듈들에서 수행된다. 기판 에칭 프로세싱 모듈들 중 하나 이상 (320a 내지 320d 중 어느 하나) 이 본 명세서에 개시된 바와 같이, 즉 컨포멀한 막들을 증착하고, ALD에 의해 막들을 선택적으로 증착하고, 패턴들을 에칭하고, 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (330) 및 프로세스 모듈 (320) 은 "스테이션들"로서 지칭될 수도 있다. 스테이션 각각은 VTM (338) 과 스테이션을 인터페이싱하는 패싯 (336) 을 갖는다. 패싯 각각 내부에서, 각각의 스테이션들 사이에서 이동될 때 웨이퍼 (326) 의 통과를 검출하도록 센서들 (1 내지 18) 이 사용된다.
로봇 (322) 은 스테이션들 사이에서 웨이퍼 (326) 를 이송한다. 일 실시예에서, 로봇 (322) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (322) 은 2개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (326) 와 같은 웨이퍼들을 집기 (pick) 위한 엔드 이펙터 (324) 를 갖는다. ATM (atmospheric transfer module) 모듈 (340) 내 프론트-엔드 로봇 (332) 은 LPM (Load Port Module) (342) 내의 FOUP (Front Opening Unified Pod) (334) 또는 카세트로부터 에어록 (330) 으로 웨이퍼들 (326) 을 이송하기 위해 사용된다. 프로세스 모듈 (320) 내의 모듈 중심 (328) 은 웨이퍼 (326) 를 위치시키기 위한 일 위치이다. ATM (340) 내의 얼라이너 (344) 는 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (342) 내의 FOUP들 (334) 중 하나 내에 위치된다. 프론트-엔드 로봇 (332) 은 FOUP (334) 로부터 웨이퍼 (326) 로 하여금 에칭되거나 프로세싱되기 전에 적절하게 중앙에 놓이게 하는, 얼라이너 (344) 로 웨이퍼를 이송한다. 정렬된 후에, 웨이퍼 (326) 는 프론트-엔드 로봇 (332) 에 의해 에어록 (330) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 환경을 매칭시키는 능력을 갖기 때문에, 웨이퍼 (326) 는 대미지를 받지 않고 두 압력 환경들 사이에서 이동할 수 있다. 웨이퍼 (326) 는 에어록 모듈 (330) 로부터 로봇 (322) 에 의해 VTM (338) 을 통해 프로세스 모듈들 (320a 내지 320d) 중 하나로 이동된다. 이러한 웨이퍼 이동을 달성하기 위해, 로봇 (322) 은 로봇의 암들 각각의 엔드 이펙터들 (324) 을 사용한다. 일단 웨이퍼 (326) 가 프로세싱되면, 웨이퍼는 로봇 (322) 에 의해 프로세스 모듈들 (320a 내지 320d) 로부터 에어록 모듈 (330) 로 이동된다. 여기서부터, 웨이퍼 (326) 는 프론트-엔드 로봇 (332) 에 의해 FOUP들 (334) 중 하나 또는 얼라이너 (344) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장의 클러스터 아키텍처 외부에 위치될 수 있거나, 이격된 위치에 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 2에 대하여 상기 기술된 바와 같은 제어기는 도 3의 툴을 사용하여 구현될 수도 있다.
실험
실험 1
실험은 개시된 실시예들에 따라 수행되었다. 비정질 실리콘 기판이 제공된다. 기판은 또한 패터닝된 마스크를 포함한다. 기판은 CA, Fremont의 Lam Research Corp.로부터 입수가능한 Kiyo™ 반응기 내로 제공된다. 기판은 피처들을 에칭하기 위해 50 사이클의 ALE에 노출된다. ALE의 사이클들 후에, 이어서 기판은 전구체로서 SiCl4 및 제 2 반응물질로서 O2 플라즈마를 사용하여 5 ㎚의 SiO2를 생성하도록 동일한 Kiyo 반응기 내에서 진공을 파괴하지 않고 50 사이클의 ALD에 노출된다. 5 ㎚의 SiO2는 기판의 이미지로 결과를 가시적으로 도시하기 위해 증착되었다는 것을 주의한다.
기판 (400) 내에 발생된 에칭된 피처들이 도 4에 도시된다. 이미지 (401) 는 패터닝된 마스크 (410), 패터닝된 마스크 (410) 위에 증착된 SiO2의 컨포멀한 블랭킷 층 (420) 을 갖는 에칭된 기판을 도시한다. 도시된 이미지에서, 크롬 캡핑층 (430) 이 도시된다는 것을 주의한다. 이미지 (403) 는 이미지 (401) 의 동일한 피처들의 확대된 이미지이다. 이미지 (403) 에 도시된 바와 같이, ALD에 의해 증착된 SiO2 (420) 는 측벽들 상에 약 5 ㎚, 피처들의 하단부에 약 5.4 ㎚로 증착되어 컨포멀하다. 결과들은 동일한 챔버 내에서 통합된 ALE 프로세스 및 ALD 프로세스의 실행가능성을 입증한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고, 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (30)

  1. 기판을 프로세싱하는 방법에 있어서,
    상기 방법은,
    챔버 내에서 원자층 에칭에 의해 상기 기판을 에칭하는 단계; 및
    상기 챔버 내에서 원자층 증착에 의해 막을 증착하는 단계를 포함하고,
    상기 에칭 단계 및 상기 증착 단계는 진공을 파괴하지 않고 수행되는, 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 에칭 단계는 사이클들로 수행되고,
    일 사이클은,
    상기 기판의 표면을 개질하도록 상기 기판을 에칭 가스에 노출시키는 단계; 및
    상기 개질된 표면의 적어도 일부를 제거하도록 상기 기판을 제거 가스에 노출시키는 단계를 포함하는, 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 증착 단계는 사이클들로 수행되고,
    일 사이클은,
    상기 기판의 상기 표면을 개질하도록 증착 전구체에 상기 기판을 노출시키는 단계; 및
    상기 막을 증착하도록 상기 기판을 환원제에 노출시키는 단계를 포함하는, 기판을 프로세싱하는 방법.
  4. 제 2 항에 있어서,
    상기 기판을 상기 에칭 가스에 노출시키는 단계는 플라즈마를 점화하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  5. 제 2 항에 있어서,
    상기 기판에 바이어스를 인가하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  6. 제 3 항에 있어서,
    플라즈마를 점화하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  7. 제 2 항에 있어서,
    상기 에칭 가스는 염소-함유 화합물인, 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서,
    상기 에칭은 컨포멀하지 않게 수행되는, 기판을 프로세싱하는 방법.
  9. 제 2 항에 있어서,
    일 사이클은 막의 약 1 Å 내지 약 50 Å을 에칭하는, 기판을 프로세싱하는 방법.
  10. 제 3 항에 있어서,
    상기 증착 전구체의 적어도 일부는 상기 기판의 상기 증착 전구체로의 상기 노출 동안 상기 기판의 상기 표면 상에 흡착하는, 기판을 프로세싱하는 방법.
  11. 제 2 항 또는 제 3 항에 있어서,
    상기 챔버는 노출들 사이에 퍼지되는, 기판을 프로세싱하는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 에칭 단계 및 상기 증착 단계는 동일한 챔버 내에서 수행되는, 기판을 프로세싱하는 방법.
  13. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 에칭 단계 또는 상기 증착 단계 중 적어도 하나는 자기-제한 반응인, 기판을 프로세싱하는 방법.
  14. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 에칭 단계 및 상기 증착 단계는 상기 기판 상에 재료를 증착하기 위해 수행되는, 기판을 프로세싱하는 방법.
  15. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 에칭 단계 및 상기 증착 단계는 상기 기판 상의 재료를 에칭하도록 수행되는, 기판을 프로세싱하는 방법.
  16. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 에칭 단계는 상기 기판을 지향성으로 스퍼터링하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  17. (a) 층 단위로 기판을 에칭하기 위해 에칭 가스 및 제거 가스의 교번하는 펄스들에 챔버 내에 하우징된 상기 기판을 노출시키는 단계;
    (b) 상기 기판 위에 막을 증착하기 위해 제 1 반응물질 및 제 2 반응물질의 교번하는 펄스들에 상기 기판을 노출시키는 단계; 및
    (c) 동일한 챔버 내에서 상기 단계 (a) 및 상기 단계 (b) 를 반복하는 단계를 포함하는, 방법.
  18. 제 17 항에 있어서,
    상기 단계 (a) 는 상기 기판에 바이어스를 인가하는 단계를 더 포함하는, 방법.
  19. 제 17 항에 있어서,
    상기 단계 (a) 는 상기 기판을 지향성으로 스퍼터링하는 단계를 더 포함하는, 방법.
  20. 제 17 항에 있어서,
    상기 기판을 상기 제거 가스에 노출시킬 때 플라즈마를 점화하는 단계를 더 포함하는, 방법.
  21. 제 17 항에 있어서,
    상기 기판을 상기 제 2 반응물질에 노출시킬 때 플라즈마를 점화하는 단계를 더 포함하는, 방법.
  22. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 제거 가스는 N2, Ar, He, 및 Ne로 구성된 그룹으로부터 선택된 캐리어 가스인, 방법.
  23. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 동일한 챔버 내에서 수행되고 순차적으로 수행되는, 방법.
  24. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 챔버는 펄스들 사이에 퍼지되는, 방법.
  25. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 단계 (a) 또는 상기 단계 (b) 중 적어도 하나는 자기-제한 반응인, 방법.
  26. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 상기 기판 상에 재료를 증착하도록 반복되는, 방법.
  27. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 상기 기판 상의 막을 에칭하도록 반복되는, 방법.
  28. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 기판은 금속들 및 유전체들로 구성된 그룹으로부터 선택되는, 방법.
  29. 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    하나 이상의 프로세스 챔버들로서, 상기 프로세스 챔버 각각은 척을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 상기 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고
    상기 메모리는,
    챔버 내에서 원자층 에칭에 의해 상기 기판을 에칭하는 단계; 및
    상기 챔버 내에서 원자층 증착에 의해 막을 증착하는 단계에 의해 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하고,
    상기 에칭 단계 및 상기 증착 단계는 진공을 파괴하지 않고 수행되는, 기판들을 프로세싱하기 위한 장치.
  30. 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    하나 이상의 프로세스 챔버들로서, 상기 프로세스 챔버 각각은 척을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 상기 플로우-제어 하드웨어와 동작가능하게 연결되고, 그리고
    상기 메모리는,
    (a) 층 단위로 기판을 에칭하기 위해 에천트 및 퍼지 가스의 교번하는 펄스들에 상기 기판을 노출시키는 단계;
    (b) 상기 에칭된 기판 위에 막을 증착하기 위해 환원제 및 전구체의 교번하는 펄스들에 상기 기판을 노출시키는 단계; 및
    (c) 상기 단계 (a) 를 수행하는 단계와 상기 단계 (b) 를 수행하는 단계 사이에 진공을 파괴하지 않고 상기 단계 (a) 및 상기 단계 (b) 를 반복하는 단계에 의해, 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 기판들을 프로세싱하기 위한 장치.
KR1020160003290A 2015-01-12 2016-01-11 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합 KR102570795B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562102463P 2015-01-12 2015-01-12
US62/102,463 2015-01-12
US14/696,254 US9576811B2 (en) 2015-01-12 2015-04-24 Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US14/696,254 2015-04-24

Publications (2)

Publication Number Publication Date
KR20160087348A true KR20160087348A (ko) 2016-07-21
KR102570795B1 KR102570795B1 (ko) 2023-08-24

Family

ID=56368031

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160003290A KR102570795B1 (ko) 2015-01-12 2016-01-11 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합

Country Status (6)

Country Link
US (5) US9576811B2 (ko)
JP (1) JP6935985B2 (ko)
KR (1) KR102570795B1 (ko)
CN (3) CN112530789A (ko)
SG (1) SG10201600099VA (ko)
TW (1) TWI694166B (ko)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180117525A (ko) * 2017-04-19 2018-10-29 램 리써치 코포레이션 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR20190022394A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190111807A (ko) * 2018-03-23 2019-10-02 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102027776B1 (ko) 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
KR20190129612A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190129613A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190136438A (ko) * 2018-05-30 2019-12-10 주식회사 원익아이피에스 박막 형성 방법
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
KR20200128185A (ko) * 2018-03-30 2020-11-11 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR20210129722A (ko) * 2019-03-14 2021-10-28 램 리써치 코포레이션 고 종횡비 에칭을 위한 플라즈마 에칭 툴
KR20210148701A (ko) * 2020-06-01 2021-12-08 인하대학교 산학협력단 구리 박막의 건식 식각방법
US11913113B2 (en) 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
WO2017091327A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Method for modifying epitaxial growth shape
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
CN109804459B (zh) * 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102403102B1 (ko) * 2016-12-15 2022-05-26 에이에스엠 아이피 홀딩 비.브이. 반도체 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20180294168A1 (en) * 2017-04-11 2018-10-11 Tokyo Electron Limited Method for anisotropic dry etching of titanium-containing films
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
DE102017211539A1 (de) * 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019118660A1 (en) * 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
TWI778226B (zh) * 2018-02-20 2022-09-21 日商東京威力科創股份有限公司 達成側壁蝕刻的方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
CN108448008B (zh) * 2018-04-12 2020-05-01 昆山梦显电子科技有限公司 Oled薄膜封装工艺及oled薄膜封装系统
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
EP3570317A1 (en) 2018-05-17 2019-11-20 IMEC vzw Area-selective deposition of a mask material
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10707100B2 (en) * 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20220307133A1 (en) * 2019-06-04 2022-09-29 Danmarks Tekniske Universitet Atomic layer process printer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295937B2 (en) 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11170981B2 (en) 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210078264A (ko) 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111243948B (zh) * 2020-01-17 2023-03-21 北京北方华创微电子装备有限公司 用于半导体加工的原子层刻蚀方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2023540291A (ja) 2020-09-03 2023-09-22 アプライド マテリアルズ インコーポレイテッド 選択的異方性金属エッチング
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813418B (zh) * 2020-12-30 2022-05-24 无锡邑文电子科技有限公司 基于ald技术的晶圆原子层沉积控制系统及高效晶圆生产方法
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11910601B2 (en) 2021-01-05 2024-02-20 Micron Technology, Inc. Microelectronic devices with source region vertically between tiered decks, and related methods and systems
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR20070073464A (ko) * 2006-01-05 2007-07-10 재단법인서울대학교산학협력재단 단원자층 증착법을 이용한 양자점 형성 방법
KR20090008799A (ko) * 2007-07-19 2009-01-22 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US20100173494A1 (en) * 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
JPH03133128A (ja) * 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6083413A (en) 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI303090B (en) * 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5416280B2 (ja) * 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR20070073464A (ko) * 2006-01-05 2007-07-10 재단법인서울대학교산학협력재단 단원자층 증착법을 이용한 양자점 형성 방법
US20100173494A1 (en) * 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching
KR20090008799A (ko) * 2007-07-19 2009-01-22 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180117525A (ko) * 2017-04-19 2018-10-29 램 리써치 코포레이션 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR20190022394A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11735423B2 (en) 2017-08-25 2023-08-22 Tokyo Electron Limited Workpiece processing method
KR20190111807A (ko) * 2018-03-23 2019-10-02 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20200128185A (ko) * 2018-03-30 2020-11-11 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR20190129612A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190129613A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190136438A (ko) * 2018-05-30 2019-12-10 주식회사 원익아이피에스 박막 형성 방법
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
US11913113B2 (en) 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
KR102027776B1 (ko) 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
KR20210129722A (ko) * 2019-03-14 2021-10-28 램 리써치 코포레이션 고 종횡비 에칭을 위한 플라즈마 에칭 툴
KR20210148701A (ko) * 2020-06-01 2021-12-08 인하대학교 산학협력단 구리 박막의 건식 식각방법
WO2021246701A1 (ko) * 2020-06-01 2021-12-09 인하대학교 산학협력단 구리 박막의 건식 식각방법

Also Published As

Publication number Publication date
US9576811B2 (en) 2017-02-21
CN108807128A (zh) 2018-11-13
CN112530789A (zh) 2021-03-19
SG10201600099VA (en) 2016-08-30
JP6935985B2 (ja) 2021-09-15
US20170117159A1 (en) 2017-04-27
US10186426B2 (en) 2019-01-22
CN108807128B (zh) 2020-11-24
US20200161139A1 (en) 2020-05-21
TWI694166B (zh) 2020-05-21
JP2016131238A (ja) 2016-07-21
US20180033635A1 (en) 2018-02-01
US10515816B2 (en) 2019-12-24
US20190139778A1 (en) 2019-05-09
US9805941B2 (en) 2017-10-31
CN105789027A (zh) 2016-07-20
US20160203995A1 (en) 2016-07-14
KR102570795B1 (ko) 2023-08-24
TW201641731A (zh) 2016-12-01

Similar Documents

Publication Publication Date Title
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US11239094B2 (en) Designer atomic layer etching
US10784086B2 (en) Cobalt etch back
US10714354B2 (en) Self limiting lateral atomic layer etch
KR20200035247A (ko) 에어 갭들을 생성하는 방법
US11742212B2 (en) Directional deposition in etch chamber
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
US20230093011A1 (en) Atomic layer etching of molybdenum

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant