KR20190022394A - 피처리체를 처리하는 방법 - Google Patents

피처리체를 처리하는 방법 Download PDF

Info

Publication number
KR20190022394A
KR20190022394A KR1020180098685A KR20180098685A KR20190022394A KR 20190022394 A KR20190022394 A KR 20190022394A KR 1020180098685 A KR1020180098685 A KR 1020180098685A KR 20180098685 A KR20180098685 A KR 20180098685A KR 20190022394 A KR20190022394 A KR 20190022394A
Authority
KR
South Korea
Prior art keywords
trench
gas
film
wafer
width
Prior art date
Application number
KR1020180098685A
Other languages
English (en)
Other versions
KR102632154B1 (ko
Inventor
마사히로 다바타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190022394A publication Critical patent/KR20190022394A/ko
Application granted granted Critical
Publication of KR102632154B1 publication Critical patent/KR102632154B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 피처리체 상의 패턴 형성에 있어서 매우 정밀한 최소선폭의 편차를 억제하는 방법을 제공하는 것을 과제로 한다.
ALD법과 동일한 방법에 의해 제1 시퀀스를 반복 실행하는 막형성 처리에 있어서 형성되는 막의 막두께가 상기 막이 형성되는 면의 온도에 따라서 상이한 것에 기초하여, 웨이퍼의 표면에서의 트렌치의 편차를 저감하도록 웨이퍼 표면의 영역마다의 온도를 조절한 후에 막형성 처리를 행하여 트렌치의 내면에 실리콘의 산화물을 포함하는 막을, 웨이퍼의 표면에서의 트렌치의 편차를 저감하면서 원자층마다 매우 정밀하게 형성한다. 막이 형성된 트렌치의 트렌치폭이 기준폭보다 좁은 경우에는, 트렌치폭을 넓히기 위해, ALE법과 동일한 방법에 의해 제2 시퀀스를 반복 실행하는 에칭 처리를 행하여 트렌치의 내면에 마련된 막의 표면을 등방적으로 균일하게 에칭한다.

Description

피처리체를 처리하는 방법{WORKPIECE PROCESSING METHOD}
본 발명의 실시형태는 피처리체를 처리하는 방법에 관한 것이다.
전자 디바이스의 제조 프로세스에서는, 피처리층 상에 마스크를 형성하고 상기 마스크의 패턴을 상기 피처리층에 전사하기 위해 에칭이 행해진다. 상기 에칭으로는 플라즈마 에칭이 이용될 수 있다. 플라즈마 에칭에 이용되는 레지스트 마스크는 포토리소그래피 기술에 의해 형성된다. 따라서, 피처리층에 형성되는 패턴의 한계 치수는, 포토리소그래피 기술에 의해 형성되는 레지스트 마스크의 해상도에 의존한다. 레지스트 마스크의 해상도에는 해상 한계가 있다. 전자 디바이스의 고집적화에 대한 요구가 높아지고 있고, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성하는 것이 요구되고 있다. 이 때문에, 특허문헌 1에 기재되어 있는 바와 같이, 레지스트 마스크의 치수 형상을 조정하여, 상기 레지스트 마스크에 의해 제공되는 개구의 폭을 축소하는 기술이 제안되어 있다.
특허문헌 1 : 일본 특허 공개 제2004-80033호 공보
패턴 형성은, 예컨대 SiO2층 등의 피처리층에 대하여 매우 정밀한 트렌치를 형성함으로써 이룰 수 있다. 레지스트 마스크의 해상 한계보다 작은 치수를 갖는 패턴을 형성하는 경우, 패턴의 트렌치의 매우 정밀한 최소선폭(CD : Critical Dimension)의 제어가 요구된다. 패턴이 상세할수록, 최소선폭의 편차의 영향이 크다. 최소선폭은, 예컨대 웨이퍼의 위치(중심부, 중간부, 단부 등)마다 상이한 경우가 있다. 따라서, 예컨대 SiO2 등의 피처리층을 갖는 피처리체 상의 패턴 형성에 있어서, 고집적화에 따른 미세화를 위해, 매우 정밀한 최소선폭의 편차를 억제하는 방법의 실현이 요구되고 있다.
일양태에서는, 피처리체를 처리하는 방법이 제공된다. 피처리체에는 복수의 트렌치가 상기 피처리체의 표면에 마련되어 있다. 상기 방법은, 복수의 트렌치의 트렌치폭을 측정하는 제1 공정과, 제1 공정에서 측정한 트렌치폭의 표면에서의 편차가 미리 설정된 기준 범위 내에 없는 경우에 트렌치폭을 조절하는 제2 공정과, 편차가 기준 범위 내에 있고 또한 제1 공정에서 측정한 트렌치폭이 미리 설정된 기준폭보다 좁은 경우에 트렌치폭을 넓히는 에칭 처리를 행하는 제3 공정을 포함하는 기본 공정을 구비하고, 표면은, 상기 방법에 있어서 복수의 영역으로 구분되어 있고, 제2 공정은, 표면의 온도를 복수의 영역마다 조절하는 제4 공정과, 트렌치의 내면에 막을 형성하는 막형성 처리를 행하는 제5 공정을 구비하고, 제4 공정은, 막형성 처리에서의 표면의 온도와 트렌치의 내면에 퇴적되는 막의 막두께의 대응을 나타내는 미리 취득된 대응 데이터를 이용하여, 막의 형성에 의해 편차를 저감하도록 표면의 온도를 조절하고, 막형성 처리는, 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 제1 가스를 공급하는 제6 공정과, 제6 공정의 실행후에, 처리 용기 내의 공간을 퍼지하는 제7 공정과, 제7 공정의 실행후에, 처리 용기 내에서 제2 가스의 플라즈마를 생성하는 제8 공정과, 제8 공정의 실행후에, 처리 용기 내의 공간을 퍼지하는 제9 공정을 포함하는 제1 시퀀스를 반복 실행하고, 에칭 처리는, 처리 용기 내에 제3 가스의 플라즈마를 생성하고 제3 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층을 트렌치의 내면의 원자층에 등방적으로 형성하는 제10 공정과, 제10 공정의 실행후에, 처리 용기 내의 공간을 퍼지하는 제11 공정과, 제11 공정의 실행후에, 처리 용기 내에서 제4 가스의 플라즈마를 생성하고 제4 가스의 플라즈마에 포함되는 라디칼에 의해 혼합층을 제거하는 제12 공정과, 제12 공정의 실행후에, 처리 용기 내의 공간을 퍼지하는 제13 공정을 포함하는 제2 시퀀스를 반복 실행하여 막을 원자층마다 제거함으로써 상기 막을 등방적으로 에칭하고, 막은 실리콘을 포함하고, 제1 가스는 아미노실란계 가스를 포함하고, 제2 가스는 산소 원자를 함유하는 가스를 포함하고, 제3 가스는 질소를 포함하고, 제4 가스는 불소를 포함하고, 제12 공정에서 생성되는 제4 가스의 플라즈마는, 실리콘의 질화물을 포함하는 혼합층을 제거하는 라디칼을 포함하고, 제6 공정은, 제1 가스의 플라즈마를 생성하지 않는다.
상기 방법에서는, ALD(Atomic Layer Deposition)법과 동일한 방법에 의해 제1 시퀀스를 반복 실행하는 막형성 처리에서 형성되는 막의 막두께가 상기 막의 형성면의 온도에 따라서 상이한 것에 기초하여, 피처리체의 표면에 마련된 복수의 트렌치의 트렌치폭의 상기 표면에서의 편차를 저감하도록(피처리체의 표면에서의 트렌치폭의 면내 균일성을 향상시키도록) 제4 공정에서 피처리체의 표면의 영역마다의 온도를 조절한 후에 막형성 처리를 행하기 때문에, 피처리체의 표면에 마련된 복수의 트렌치의 트렌치폭의 상기 표면에서의 편차가 저감되면서 트렌치의 내면에 실리콘의 산화물을 포함하는 막이 원자층마다 매우 정밀하게 형성된다. 또한, 막이 형성된 트렌치의 트렌치폭이 기준폭보다 좁은 경우에는, 트렌치폭을 넓히기 위해, ALE(Atomic Layer Etching)법과 동일한 방법에 의해 제2 시퀀스를 반복 실행하는 에칭 처리를 행하기 때문에, 트렌치의 내면에 마련된 막의 표면이 등방적으로 균일하게 에칭되고, 트렌치폭이 원하는 기준폭으로 조절된다. 따라서, 피처리체의 표면에서 트렌치폭에 편차가 있는 경우에, 상기 편차를 충분히 저감하면서, 트렌치폭을 원하는 기준폭으로 정밀하게 조절하는 것이 가능해진다.
일실시형태에서, 기본 공정은, 제2 공정의 실행후 및 제3 공정의 실행후에, 제1 공정으로 되돌아간다. 이와 같이, 막을 형성함으로써 트렌치폭을 조절하는 제2 공정의 실행후, 및, 에칭에 의해 트렌치폭을 넓히는 제3 공정의 실행후에, 트렌치폭을 측정하는 제1 공정으로 되돌아가기 때문에, 트렌치폭의 보다 정밀한 조절이 가능해진다.
일실시형태에서, 기본 공정은 반복 실행되고, 기준 범위는 기본 공정의 실행이 반복될 때마다 단계적으로 좁아진다. 이와 같이, 트렌치폭의 편차의 기준 범위를 단계적으로 좁히면서 기본 공정을 반복 실행함으로써, 제2 공정에서 형성되는 막의 두께를 단계적으로 비교적 서서히 증가시키면서 트렌치폭의 조절이 가능해지기 때문에, 트렌치폭이 비교적 좁은 트렌치가 포함되어 있더라도 막의 형성에 의해 트렌치의 개구가 폐색되는 사태를 회피할 수 있다.
일실시형태에서, 제1 가스는 모노아미노실란을 포함한다. 이와 같이, 모노아미노실란을 포함하는 제1 가스를 이용하여 실리콘의 반응 전구체를 형성할 수 있다.
일실시형태에서, 제1 가스의 아미노실란계 가스는, 1∼3개의 규소 원자를 갖는 아미노실란을 포함할 수 있다. 제1 가스의 아미노실란계 가스는, 1∼3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 이와 같이 제1 가스의 아미노실란계 가스에는, 1∼3개의 규소 원자를 포함하는 아미노실란을 이용할 수 있다. 또한, 제1 가스의 아미노실란계 가스에는, 1∼3개의 아미노기를 포함하는 아미노실란을 이용할 수 있다.
일실시형태에서, 제4 가스는, NF3 가스 및 O2 가스를 포함하는 혼합 가스, NF3 가스, O2 가스, H2 가스 및 Ar 가스를 포함하는 혼합 가스, CH3F 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스일 수 있다. 이와 같이, 불소를 함유하는 제4 가스가 실현될 수 있다.
이상 설명한 바와 같이, 피처리체 상의 패턴 형성에 있어서 매우 정밀한 최소선폭의 편차를 억제하는 방법이 제공된다.
도 1은, 일실시형태에 관한 방법의 한 부분을 나타내는 흐름도이다.
도 2는, 도 1에 나타내는 방법의 적용 대상인 피처리체를 예시하는 단면도이다.
도 3은, 도 1에 나타내는 방법의 실시에 이용하는 것이 가능한 처리 시스템의 일례를 나타내는 도면이다.
도 4는, 도 3에 나타내는 처리 시스템이 구비하는 것이 가능한 플라즈마 처리 장치의 일례를 나타내는 도면이다.
도 5는, 도 1에 나타내는 공정에서 구분된 피처리체의 주면의 복수의 영역의 일부를, 일례로서 모식적으로 나타내는 도면이다.
도 6은, 도 1에 나타내는 방법에 포함되는 공정이자 트렌치폭의 편차를 조절하는 공정의 일례를 나타내는 흐름도이다.
도 7은, 도 6에 나타내는 공정에서 형성되는 막의 막두께와 피처리체의 온도의 관계를 모식적으로 나타내는 도면이다.
도 8은, (a)부, (b)부, (c)부를 구비하고, 도 6에 나타내는 공정에서의 막의 형성의 원리를 나타내는 도면이다.
도 9는, 도 6에 나타내는 공정에서 막이 형성된 후의 피처리체의 상태를 나타내는 단면도이다.
도 10은, 도 1에 나타내는 방법에 포함될 수 있는 공정이자 트렌치폭을 조절하는 공정의 일례를 나타내는 흐름도이다.
도 11은, 도 10에 나타내는 공정에서 표면 개질이 행해진 후의 피처리체의 상태를 나타내는 단면도이다.
도 12는, 도 10에 나타내는 시퀀스에서 에칭의 등방성과 이방성이 압력에 의해 영향을 받을 수 있는 것을 나타내는 도면이다.
도 13은, 도 10에 나타내는 시퀀스에서의 에칭의 등방성과 압력의 관계를 나타내는 도면이다.
도 14는, 도 10에 나타내는 시퀀스에서의 표면 개질의 자기 제어성을 나타내는 도면이다.
도 15는, (a)부, (b)부, (c)부를 구비하고, 도 10에 나타내는 공정에서의 에칭의 원리를 나타내는 도면이다.
도 16은, 도 10에 나타내는 공정에서 에칭이 행해진 후의 피처리체의 상태를 나타내는 단면도이다.
도 17은, 도 10에 나타내는 시퀀스의 실행중에 있어서의 막에 대한 에칭량과 막에 형성되는 혼합층의 두께의 변화를 나타내는 도면이다.
도 18은, 도 1에 나타내는 방법에 의해 발휘되는 효과를 나타내는 막대그래프이다.
도 19는, (a)부, (b)부를 구비하고, 도 1에 나타내는 방법에 의해 발휘되는 효과의 일례를 나타내는 막대그래프이다.
도 20은, 도 1에 나타내는 방법을 반복 실행하는 경우의 트렌치폭의 변화의 모습을 모식적으로 나타내는 도면이다.
이하, 도면을 참조하여 여러가지 실시형태에 관해 상세히 설명한다. 또, 각 도면에서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이기로 한다. 도 1은, 일실시형태에 관한 방법(이하, 방법(MT)이라고 함)의 한 부분을 나타내는 흐름도이다. 도 1에 나타내는 방법(MT)은, 피처리체(이하, 웨이퍼(W)라고 함)를 처리하는 방법의 일실시형태이다. 도 2는, 도 1에 나타내는 방법(MT)의 적용 대상인 피처리체(웨이퍼(W))를 예시하는 단면도이다.
도 2에 나타내는 웨이퍼(W)는, 피처리층(EL)과, 피처리층(EL) 상(피처리층(EL)의 표면(EL1))에 마련된 마스크(MK)와, 마스크(MK)에 마련된 트렌치(트렌치란, 트렌치(TR1), 트렌치(TR2) 등이며, 본 실시형태에서는, 구덩이, 오목부, 구멍 등 기타 유사한 형상을 포함할 수 있다. 이하 동일.)를 구비한다. 웨이퍼(W)에는 복수의 트렌치가 웨이퍼(W)의 표면에 마련되어 있다. 본 실시형태에서는, 트렌치는 마스크(MK)에 마련되어 있지만, 트렌치가 마스크(MK)에 마련되어 있는 구성에 한정되지 않는다.
피처리층(EL)의 재료는 실리콘의 산화물을 포함하고 있고, 일실시형태에서 예컨대 SiO2를 포함할 수 있다. 마스크(MK)의 재료는, 일실시형태에서 예컨대 TiN 등을 포함할 수 있다. 마스크(MK)에는, 개구를 제공하는 패턴의 트렌치(웨이퍼(W)의 표면에 마련된 트렌치와 동일한 의미)가 포토리소그래피에 의해 형성되어 있다. 일실시형태에서 웨이퍼(W)에는, 서로 트렌치폭이 상이한 2종류의 트렌치, 즉 도 2에 나타내는 트렌치(TR1) 및 트렌치(TR2)가 마련되어 있다. 트렌치(TR1), 트렌치(TR2)는 서로 트렌치폭이 상이하다. 트렌치(TR1)는 트렌치폭(WW1a)을 가지며, 트렌치(TR2)는 트렌치폭(WW1b)을 갖는다. 도 2에 나타내는 트렌치(TR1), 트렌치(TR2)에서, 트렌치폭(WW1a)의 값은 트렌치폭(WW1b)의 값보다 작다. 트렌치(TR1)는, 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부(중간부는 중심부와 단부 사이에 있음)에 걸쳐, 대강 웨이퍼(W)의 표면 전체에 형성되어 있다. 트렌치(TR2)는, 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸쳐, 대강 웨이퍼(W)의 표면 전체에 형성되어 있다. 또, 웨이퍼(W)의 표면에 마련되는 트렌치의 종류는, 트렌치(TR1), 트렌치(TR2)의 2종류에 한정되지 않는다. 도 2에 나타내는 트렌치(TR1), 트렌치(TR2)는 모두, 동일한 영역(후술하는 영역(ER)이며, 보다 구체적으로, 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부의 어느 것)에 있다.
방법(MT)(피처리체를 처리하는 방법)은, 플라즈마 처리 장치를 갖는 처리 시스템에 의해 실행된다. 도 3은, 도 1에 나타내는 방법(MT)의 실시에 이용하는 것이 가능한 처리 시스템의 일례를 나타내는 도면이다. 도 3에 나타내는 처리 시스템(1)은, 제어부(Cnt), 대(112a), 대(112b), 대(112c), 대(112d), 수용 용기(114a), 수용 용기(114b), 수용 용기(114c), 수용 용기(114d), 로더 모듈(LM), 로드록 챔버(LL1), 로드록 챔버(LL2), 트랜스퍼 챔버(111), 광학 관찰 장치(OC), 플라즈마 처리 장치(10)를 구비하고 있다.
제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 처리 시스템(1)의 후술하는 각 부를 제어한다. 제어부(Cnt)는, 반송 로봇(Rb1), 반송 로봇(Rb2), 광학 관찰 장치(OC), 플라즈마 처리 장치(10) 등에 접속되어 있고, 또한, 후술하는 도 4에 나타내는 플라즈마 처리 장치(10)에서는, 매스플로우 컨트롤러(124), 개폐 밸브(126), 고주파 전원(150A), 고주파 전원(150B), 직류 전원(22), 스위치(23), 배기 장치(50), 고주파 전원(64), 정합기(68), 정전척(ESC), 히터 전원(HP), 칠러 유닛 등에 접속되어 있다.
제어부(Cnt)는, 방법(MT)의 각 공정에서 처리 시스템(1)의 각 부를 제어하기 위한 컴퓨터 프로그램(입력된 레시피에 기초하는 프로그램)에 따라서 동작하여, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 의해, 처리 시스템(1)의 각 부, 예컨대, 반송 로봇(Rb1), 반송 로봇(Rb2), 광학 관찰 장치(OC) 및 플라즈마 처리 장치(10)의 각 부를 제어한다. 도 4에 나타내는 플라즈마 처리 장치(10)에서는, 제어부(Cnt)는, 제어부(Cnt)로부터의 제어 신호에 의해, 가스 공급원(122)으로부터 공급되는 가스의 선택 및 유량, 배기 장치(50)의 배기, 고주파 전원(150A) 및 고주파 전원(150B)으로부터의 전력 공급, 고주파 전원(64)으로부터의 전력 공급, 히터 전원(HP)로부터의 전력 공급, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도 등을 제어하는 것이 가능하다. 또, 본 명세서에서 개시되는 방법(MT)의 각 공정은, 제어부(Cnt)에 의한 제어에 의해 처리 시스템(1)의 각 부를 동작시키는 것에 의해 실행될 수 있다. 제어부(Cnt)의 기억부에는, 방법(MT)을 실행하기 위한 컴퓨터 프로그램, 및, 방법(MT)의 실행에 이용되는 각종 데이터(예컨대 후술하는 대응 데이터(DT))가, 독출 가능하게 저장되어 있다.
대(112a∼112d)는, 로더 모듈(LM)의 한 가장자리를 따라서 배열되어 있다. 대(112a∼112d)의 각각의 위에는, 수용 용기(114a∼114d)가 각각 마련되어 있다. 수용 용기(114a∼114d) 내에는 웨이퍼(W)가 수용될 수 있다.
로더 모듈(LM) 내에는 반송 로봇(Rb1)이 마련되어 있다. 반송 로봇(Rb1)은, 수용 용기(114a∼114d) 중의 어느 것에 수용되어 있는 웨이퍼(W)를 취출하여, 웨이퍼(W)를 로드록 챔버(LL1) 또는 로드록 챔버(LL1)에 반송한다.
로드록 챔버(LL1) 및 로드록 챔버(LL2)는, 로더 모듈(LM)의 다른 한 가장자리를 따라서 마련되어 있고, 로더 모듈(LM)에 접속되어 있다. 로드록 챔버(LL1) 및 로드록 챔버(LL2)는 예비 감압실을 구성하고 있다. 로드록 챔버(LL1) 및 로드록 챔버(LL2)는, 트랜스퍼 챔버(111)에 각각 접속되어 있다.
트랜스퍼 챔버(111)는 감압 가능한 챔버이며, 트랜스퍼 챔버(111) 내에는 반송 로봇(Rb2)이 마련되어 있다. 트랜스퍼 챔버(111)에는 플라즈마 처리 장치(10)가 접속되어 있다. 반송 로봇(Rb2)은, 로드록 챔버(LL1) 또는 로드록 챔버(LL2)로부터 웨이퍼(W)를 취출하여, 상기 웨이퍼(W)를 플라즈마 처리 장치(10)에 반송한다.
처리 시스템(1)은 광학 관찰 장치(OC)를 구비한다. 웨이퍼(W)는, 반송 로봇(Rb1) 및 반송 로봇(Rb2)에 의해, 광학 관찰 장치(OC)와 플라즈마 처리 장치(10) 사이에서 이동될 수 있다. 반송 로봇(Rb1)에 의해 웨이퍼(W)가 광학 관찰 장치(OC) 내에 수용되고, 광학 관찰 장치(OC) 내에서 웨이퍼(W)의 위치 맞춤이 행해진 후에, 광학 관찰 장치(OC)는, 웨이퍼(W)의 마스크(예컨대 도 2 등에 나타내는 마스크(MK) 등)의 트렌치(예컨대 도 2 등에 나타내는 트렌치(TR1), 트렌치(TR2) 등)의 트렌치폭을 측정하고, 측정 결과를 제어부(Cnt)에 송신한다. 광학 관찰 장치(OC)에서는, 웨이퍼(W) 표면의 복수의 영역(ER)(도 5를 참조하여 후술함)마다 트렌치폭이 측정될 수 있다.
도 4는, 도 3에 나타내는 처리 시스템(1)이 구비하는 것이 가능한 플라즈마 처리 장치(10)의 일례를 나타내는 도면이다. 도 4에는, 웨이퍼(W)를 처리하는 방법(MT)의 여러 실시형태에서 이용 가능한 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 나타나 있다.
도 4에 나타내는 플라즈마 처리 장치(10)는, ICP(Inductively Coupled Plasma)형의 플라즈마원을 구비한다. 플라즈마 처리 장치(10)는, 금속제(일실시형태에서 예컨대 알루미늄제)의 통형(일실시형태에서 예컨대 원통형)으로 형성된 처리 용기(192)를 구비한다. 처리 용기(192)는, 플라즈마 처리가 행해지는 처리 공간(Sp)을 구획한다. 처리 용기(192)의 형상은 원통형에 한정되지 않고, 일실시형태에서 예컨대 상자형 등의 각진 통형이어도 좋다. 플라즈마 처리 장치(10)의 플라즈마원은, ICP형에 한정되지 않고, 예컨대 ECR(Electron Cyclotron Resonance)형, CCP(Capacitively Coupled Plasma)형이나, 마이크로파를 이용한 것 등일 수 있다.
처리 용기(192)의 바닥부에는, 웨이퍼(W)를 배치하기 위한 배치대(PD)가 마련되어 있다. 배치대(PD)는, 정전척(ESC), 하부 전극(LE)을 구비한다. 하부 전극(LE)은, 제1 플레이트(18a), 제2 플레이트(18b)를 구비한다. 처리 용기(192)는, 처리 공간(Sp)을 구획한다.
지지부(14)는, 처리 용기(192)의 내측에서, 처리 용기(192)의 바닥부 위에 마련된다. 지지부(14)는, 일실시형태에서 예컨대, 대략 원통형의 형상을 가진다. 지지부(14)는, 일실시형태에서 예컨대 절연 재료로 구성된다. 지지부(14)를 구성하는 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(192) 내에서, 처리 용기(192)의 바닥부로부터 수직 방향(처리 용기(192)의 천장측(구체적으로는 예컨대 판형 유전체(194)측)으로부터 정전척(ESC) 상에 배치된 웨이퍼(W)의 표면으로 향하는 방향)으로 연장된다.
배치대(PD)는 처리 용기(192) 내에 마련된다. 배치대(PD)는 지지부(14)에 의해 지지된다. 배치대(PD)는, 배치대(PD)의 상면에서 웨이퍼(W)를 유지한다. 웨이퍼(W)는 피처리체이다. 배치대(PD)는, 하부 전극(LE) 및 정전척(ESC)을 구비한다.
하부 전극(LE)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함한다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 일실시형태에서 예컨대 알루미늄 등의 금속으로 구성된다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 일실시형태에서 예컨대, 대략 원반형의 형상을 가진다. 제2 플레이트(18b)는 제1 플레이트(18a) 상에 마련된다. 제2 플레이트(18b)는 제1 플레이트(18a)에 전기적으로 접속된다.
정전척(ESC)은 제2 플레이트(18b) 상에 마련된다. 정전척(ESC)은, 한쌍의 절연층 사이, 또는, 한쌍의 절연 시트 사이에서, 도전막의 전극이 배치된 구조를 구비한다. 직류 전원(22)은, 스위치(23)를 통해 정전척(ESC)의 전극에 전기적으로 접속된다. 정전척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의해 생기는 정전력에 의해 웨이퍼(W)를 흡착한다. 이것에 의해, 정전척(ESC)은 웨이퍼(W)를 유지할 수 있다.
포커스링(FR)은, 웨이퍼(W)의 엣지 및 정전척(ESC)을 둘러싸도록, 제2 플레이트(18b)의 주연부 상에 배치된다. 포커스링(FR)은, 에칭의 균일성을 향상시키기 위해 마련된다. 포커스링(FR)은, 에칭 대상인 막의 재료에 따라 적절하게 선택되는 재료로 구성되어 있고, 일실시형태에서 예컨대 석영으로 구성될 수 있다.
냉매 유로(24)는, 제2 플레이트(18b)의 내부에 마련된다. 냉매 유로(24)는 온도 조절 기구를 구성한다. 냉매 유로(24)에는, 처리 용기(192)의 외부에 마련되는 칠러 유닛으로부터 배관(26a)을 통해 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 통해 칠러 유닛으로 복귀된다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전척(ESC)에 의해 지지되는 웨이퍼(W)의 온도가 제어된다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 일실시형태에서 예컨대 He 가스를, 정전척(ESC)의 상면과 웨이퍼(W)의 이면 사이에 공급한다.
플라즈마 처리 장치(10)에는, 웨이퍼(W)의 온도를 조절하는 온도 조절부(HT)가 마련되어 있다. 온도 조절부(HT)는 정전척(ESC)에 내장되어 있다. 온도 조절부(HT)에는 히터 전원(HP)이 접속되어 있다. 히터 전원(HP)으로부터 온도 조절부(HT)에 전력이 공급됨으로써, 정전척(ESC)의 온도가 조절되고, 정전척(ESC) 상에 배치되는 웨이퍼(W)의 온도가 조절되도록 되어 있다. 또, 온도 조절부(HT)는, 제2 플레이트(18b) 내에 매립되어 있을 수도 있다.
온도 조절부(HT)는, 열을 발하는 복수의 가열 소자와, 상기 복수의 가열 소자의 각각의 주위의 온도를 각각 검출하는 복수의 온도 센서를 구비한다. 복수의 가열 소자의 각각은, 웨이퍼(W)가 정전척(ESC) 상에 위치 맞춤되어 배치되어 있는 경우에, 웨이퍼(W)의 주면(FW)의 복수의 영역(ER)(후술)마다 마련되어 있다. 제어부(Cnt)는, 웨이퍼(W)가 정전척(ESC) 상에 위치 맞춤되어 배치되어 있는 경우에, 웨이퍼(W) 표면의 복수의 영역(ER)의 각각에 대응하는 가열 소자 및 온도 센서를 영역(ER)과 관련지어 인식한다. 제어부(Cnt)는, 영역(ER)과, 영역(ER)에 대응하는 가열 소자 및 온도 센서를, 복수의 영역마다(복수의 영역(ER)마다), 일실시형태에서 예컨대 숫자나 문자 등의 번호 등에 의해 식별할 수 있다. 제어부(Cnt)는, 하나의 영역(ER)의 온도를, 상기 하나의 영역(ER)에 대응하는 개소에 마련된 온도 센서에 의해 검출하고, 상기 하나의 영역(ER)에 대한 온도 조절을, 상기 하나의 영역(ER)에 대응하는 개소에 마련된 가열 소자에 의해 행한다. 또, 웨이퍼(W)가 정전척(ESC) 상에 배치되어 있는 경우에 하나의 온도 센서에 의해 검출되는 온도는, 웨이퍼(W) 중 상기 온도 센서 상의 영역(ER)의 온도와 동일하다.
판형 유전체(194)는, 배치대(PD)의 상측에서 배치대(PD)와 대향 배치된다. 하부 전극(LE)과 판형 유전체(194)는, 서로 대략 평행하게 마련된다. 판형 유전체(194)와 하부 전극(LE) 사이에는 처리 공간(Sp)이 제공된다. 처리 공간(Sp)은, 플라즈마 처리를 웨이퍼(W)에 행하기 위한 공간 영역이다.
플라즈마 처리 장치(10)에서는, 처리 용기(192)의 내벽을 따라서 증착 실드(46)가 착탈 가능하게 마련되어 있다. 증착 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 증착 실드(46)는, 처리 용기(192)에 에칭 부생물(증착)이 부착되는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 증착 실드는, Y2O3 외에, 일실시형태에서 예컨대, 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.
배기 플레이트(48)는, 처리 용기(192)의 바닥부측이자, 지지부(14)와 처리 용기(192)의 측벽 사이에 마련되어 있다. 배기 플레이트(48)는, 일실시형태에서 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 배기구(12e)는, 배기 플레이트(48)의 하측에서 처리 용기(192)에 마련되어 있다. 배기 장치(50)는, 배기관(52)을 통해 배기구(12e)에 접속된다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 구비하고 있고, 처리 용기(192) 내의 공간을 원하는 진공도까지 감압할 수 있다. 고주파 전원(64)은, 웨이퍼(W)에 이온을 인입하기 위한 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400[kHz]∼40.68[MHz]의 범위 내의 주파수, 일례에서는 13[MHz]의 고주파 바이어스 전력을 발생시킨다. 고주파 전원(64)은, 정합기(68)를 통해 하부 전극(LE)에 접속된다. 정합기(68)는, 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다.
처리 용기(192)의 천장부에는, 일실시형태에서 예컨대 석영 유리나 세라믹 등으로 구성된 판형 유전체(194)가 배치대(PD)에 대향하도록 마련되어 있다. 구체적으로는, 판형 유전체(194)는, 일실시형태에서 예컨대 원판형으로 형성되고, 처리 용기(192)의 천장부에 형성된 개구를 막도록 기밀하게 부착되어 있다. 처리 공간(Sp)은, 플라즈마원에 의해 플라즈마가 생성되는 공간이다. 처리 공간(Sp)은, 웨이퍼(W)가 배치되는 공간이다.
처리 용기(192)에는, 후술하는 제1 가스(G1), 제2 가스, 제3 가스 및 제4 가스를 공급하는 가스 공급부(120)가 마련되어 있다. 가스 공급부(120)는, 전술한 처리 공간(Sp)에 제1 가스∼제4 가스를 공급한다. 처리 용기(192)의 측벽부에는 가스 도입구(121)가 형성되어 있고, 가스 도입구(121)에는 가스 공급 배관(123)을 통해 가스 공급원(122)이 접속되어 있다. 가스 공급 배관(123)의 도중에는 제1 가스∼제4 가스의 유량을 제어하는 유량 제어기(예컨대, 매스플로우 컨트롤러(124) 및 개폐 밸브(126))가 개재되어 있다. 이러한 가스 공급부(120)에 의하면, 가스 공급원(122)으로부터 출력되는 제1 가스∼제4 가스는, 매스플로우 컨트롤러(124)에 의해 미리 설정된 유량으로 제어되어, 가스 도입구(121)로부터 처리 용기(192)의 처리 공간(Sp)에 공급된다.
또, 도 4에서는 설명을 간단히 하기 위해, 가스 공급부(120)를 하나의 계통의 가스 라인을 이용하여 표현하고 있지만, 가스 공급부(120)는, 복수의 가스종을 공급하는 구성을 구비한다. 도 4에 나타내는 가스 공급부(120)는, 일례로서, 처리 용기(192)의 측벽부로부터 가스를 공급하는 구성을 구비하고 있지만, 가스 공급부(120)는, 도 4에 나타내는 구성에 한정되지 않는다. 예컨대, 가스 공급부(120)는, 처리 용기(192)의 천장부로부터 가스를 공급하는 구성을 구비할 수도 있다. 가스 공급부(120)가 이러한 구성을 구비하는 경우에는, 예컨대, 판형 유전체(194)의 예컨대 중앙부에 가스 도입구가 형성되고, 이 가스 도입구로부터 가스가 공급될 수 있다.
처리 용기(192)의 바닥부에는, 처리 용기(192) 내의 분위기를 배출하는 배기 장치(50)가 배기관(52)을 통해 접속되어 있다. 배기 장치(50)는, 예컨대 진공 펌프에 의해 구성되며, 처리 용기(192) 내의 압력을 미리 설정된 압력으로 할 수 있다.
처리 용기(192)의 측벽부에는 웨이퍼 반출 반입구(134)가 마련되어 있고, 웨이퍼 반출 반입구(134)에는 게이트 밸브(136)가 마련되어 있다. 예컨대 웨이퍼(W)가 반입될 때에는, 게이트 밸브(136)가 개방되고, 도시하지 않은 반송 아암 등의 반송 기구에 의해 웨이퍼(W)가 처리 용기(192) 내의 배치대(PD) 상에 배치된 후에, 게이트 밸브(136)가 폐쇄되고, 웨이퍼(W)의 처리가 개시된다.
처리 용기(192)의 천장부에는, 판형 유전체(194)의 상측면(외측면)에, 평면형의 고주파 안테나(140)와, 고주파 안테나(140)를 덮는 실드 부재(160)가 마련된다. 일실시형태에서의 고주파 안테나(140)는, 판형 유전체(194)의 중앙부에 배치되어 있는 내측 안테나 소자(142A)와, 내측 안테나 소자(142A)의 외주를 둘러싸도록 배치되어 있는 외측 안테나 소자(142B)를 구비한다. 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각은, 일실시형태에서 예컨대, 구리, 알루미늄, 스테인레스 등의 도체이며, 스파이럴 코일형의 형상을 가지고 있다.
내측 안테나 소자(142A), 외측 안테나 소자(142B)는 모두, 복수의 협지체(144)에 협지되어 일체로 되어 있다. 협지체(144)는, 일실시형태에서 예컨대, 막대 형의 형상을 가지고 있다. 협지체(144)는, 내측 안테나 소자(142A)의 중앙 부근으로부터 외측 안테나 소자(142B)의 외측으로 돌출되도록 방사선형으로 배치되어 있다.
실드 부재(160)는, 내측 실드벽(162A)과 외측 실드벽(162B)을 구비한다. 내측 실드벽(162A)은, 내측 안테나 소자(142A)를 둘러싸도록, 내측 안테나 소자(142A)와 외측 안테나 소자(142B) 사이에 마련되어 있다. 외측 실드벽(162B)은, 외측 안테나 소자(142B)를 둘러싸도록 마련되어 있고, 통형의 형상을 가진다. 따라서, 판형 유전체(194)의 상측면은, 내측 실드벽(162A)의 내측의 중앙부(중앙 존)와, 내측 실드벽(162A)과 외측 실드벽(162B) 사이의 주연부(주연부 존)로 나누어진다.
내측 안테나 소자(142A) 상에는, 내측 실드벽(162A)의 개구를 막도록 원판형의 내측 실드판(164A)이 마련되어 있다. 외측 안테나 소자(142B) 상에는, 내측 실드벽(162A)과 외측 실드벽(162B) 사이의 개구를 막도록 도우넛판형의 외측 실드판(164B)이 마련되어 있다.
실드 부재(160)의 형상은, 원통형에 한정되는 것이 아니다. 실드 부재(160)의 형상은, 일실시형태에서 예컨대, 각진 통형 등의 다른 형상일 수 있고, 또는, 처리 용기(192)의 형상에 맞춰진 것일 수 있다. 여기서는, 처리 용기(192)가 일실시형태에서 예컨대 대략 원통형의 형상을 가지고 있기 때문에, 상기 원통형상에 맞춰 실드 부재(160)도 대략 원통형의 형상을 가진다. 처리 용기(192)가 대략 각진 통형의 형상을 가지고 있는 경우에는, 실드 부재(160)도 대략 각진 통형의 형상을 가진다.
내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각에는, 고주파 전원(150A), 고주파 전원(150B)의 각각이 따로따로 접속되어 있다. 이에 따라, 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각에는, 동일한 주파수 또는 상이한 주파수의 고주파를 인가할 수 있다. 예컨대, 고주파 전원(150A)으로부터 일실시형태에서 예컨대 27[MHz] 등의 주파수의 고주파가 미리 설정된 파워[W]로 내측 안테나 소자(142A)에 공급되면, 처리 용기(192) 내에 형성된 유도 자계에 의해, 처리 용기(192) 내에 도입된 가스가 여기되고, 웨이퍼(W) 상의 중앙부에 도우넛형의 플라즈마가 생성될 수 있다. 또한, 고주파 전원(150B)으로부터 일실시형태에서 예컨대 27[MHz] 등의 주파수의 고주파가 미리 설정된 파워[W]로 외측 안테나 소자(142B)에 공급되면, 처리 용기(192) 내에 형성된 유도 자계에 의해, 처리 용기(192) 내에 도입된 가스가 여기되고, 웨이퍼(W) 상의 주연부에 별도의 도우넛형의 플라즈마가 생성될 수 있다. 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 출력되는 고주파는, 전술한 주파수에 한정되지 않고, 여러 주파수의 고주파가, 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 공급될 수 있다. 또, 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 출력되는 고주파에 따라서, 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 전기적 길이를 조절할 필요가 있다. 내측 실드판(164A), 외측 실드판(164B)의 각각에서는, 액츄에이터(168A), 액츄에이터(168B)에 의해 따로따로 높이를 조절할 수 있다.
이하, 도 1, 도 6, 도 10 등을 참조하여, 플라즈마 처리 장치(10)를 구비하는 처리 시스템(1)에서 실시되는 형태를 예로 들어, 방법(MT)에 관해 상세히 설명한다. 또, 방법(MT)은, 처리 시스템(1)과는 상이한 다른 처리 시스템에서 실시되는 것도 가능하고, 그와 같은 처리 시스템은, 플라즈마 처리 장치(10) 이외의 다른 플라즈마 처리 장치를 구비하는 것이 가능하다.
우선, 도 1로 되돌아가 설명한다. 방법(MT)은 기본 공정을 구비한다. 기본 공정은, 공정 ST1(제1 공정), 공정 ST2, 공정 ST3(제2 공정), 공정 ST4, 공정 ST5(제3 공정)를 구비한다. 도 1에 나타낸 바와 같이, 기본 공정은, 공정 ST3의 실행후 및 공정 ST5의 실행후에 공정 ST1로 되돌아간다. 공정 ST1은, 웨이퍼(W)의 복수의 트렌치(트렌치(TR1), 트렌치(TR2)를 포함한다. 이하 동일.)의 트렌치폭을 측정한다. 보다 구체적으로, 공정 ST1에서, 제어부(Cnt)는, 처리 시스템(1)의 광학 관찰 장치(OC)를 이용하여, 트렌치(TR1), 트렌치(TR2) 등마다, 또한 웨이퍼(W) 표면의 복수의 영역(ER)마다 트렌치폭의 값을 측정한다. 웨이퍼(W)의 표면은, 방법(MT)에 있어서(제어부(Cnt)가 행하는 처리에서), 도 5에 나타낸 바와 같이 복수의 영역(ER)으로 구분되어 있다. 도 5는, 일실시형태에 관한 방법(MT)에 있어서 구분된 웨이퍼(W) 표면의 복수의 영역(ER)의 일부를, 일례로서 모식적으로 나타내는 도면이다. 복수의 영역(ER)은 서로 중복되지 않는다. 복수의 영역(ER)은 웨이퍼(W)의 표면을 피복한다. 영역(ER)의 형상은, 일실시형태에서 예컨대, 웨이퍼(W) 표면의 중심에 대하여 대략 동심원으로 연장된 영역, 또는, 격자형의 영역 등일 수 있지만, 이것에 한정되지 않는다.
공정 ST1에 이어지는 공정 ST2에서, 제어부(Cnt)는, 공정 ST1에서 영역(ER)마다 측정된 트렌치폭과 영역(ER)마다 미리 설정된 트렌치폭의 기준치(상기 기준치는 트렌치(TR1), 트렌치(TR2)의 각각에 대하여 개별로 설정되지만, 설명을 간략하게 하기 위해 단순히 기준치로 총칭함)와의 차분치와, 공정 ST1에서 측정된 트렌치폭의 웨이퍼(W)의 표면에서의 편차(보다 구체적으로는, 일실시형태에서 예컨대 상기 차분치의 웨이퍼(W)의 표면에서의 편차)를 산출하고, 상기 편차가, 미리 설정된 기준 범위 내(상기 기준 범위는 트렌치(TR1), 트렌치(TR2)의 각각에 대하여 개별로 설정되지만, 설명을 간략하게 하기 위해 단순히 기준 범위로 총칭함)에 있는지 아닌지를 판정한다. 상기 편차는, 공정 ST1에서 영역(ER)마다 측정된 트렌치(TR1), 트렌치(TR2)의 각각의 트렌치폭의 측정치의 편차이며, 일실시형태에서 예컨대 표준편차 등이 이용될 수 있다. 상기 편차는, 트렌치(TR1), 트렌치(TR2)의 각각에서, 웨이퍼(W)의 면내에서의 트렌치폭의 균일성을 나타내고 있고, 일실시형태에서 보다 구체적으로, 웨이퍼(W)의 면내에 있는 모든 트렌치(TR1)의 트렌치폭의 값의 표준편차와, 웨이퍼(W)의 면내에 있는 모든 트렌치(TR2)의 트렌치폭의 값의 표준편차에 의해 표시된다.
트렌치(TR1), 트렌치(TR2)의 각각에서의 상기 편차의 기준 범위로서, 트렌치(TR1), 트렌치(TR2)의 각각에서 단일한 범위가 이용되는 경우, 또는, 트렌치(TR1), 트렌치(TR2)의 각각에서 복수의 범위가 이용되는 경우의 어느 경우이어도 좋다. 복수의 기준 범위는, 예컨대, 도 1에 나타내는 방법(MT)을 반복 실행하는 경우에 이용될 수 있다. 이 경우, 방법(MT)의 실행마다 상이한 기준 범위가 이용될 수 있다. 방법(MT)을 반복 실행하는 경우에 관해서는, 이후에 상세히 설명한다.
공정 ST2에서, 웨이퍼(W)의 면내에서의 트렌치(TR1)의 트렌치폭의 웨이퍼(W)의 표면에서의 편차, 및, 웨이퍼(W)의 면내에서의 트렌치(TR2)의 트렌치폭의 웨이퍼(W)의 표면에서의 편차가 각각의 기준 범위 내에 없다고 판정된 경우(공정 ST2 : NO), 공정 ST3으로 이행하고, 웨이퍼(W)의 면내에서의 트렌치(TR1)의 트렌치폭의 편차, 및, 웨이퍼(W)의 면내에서의 트렌치(TR2)의 트렌치폭의 편차가 각각의 기준 범위 내에 있다고 판정된 경우(공정 ST2 : YES), 공정 ST4로 이행한다.
공정 ST2 : NO에 이어지는 공정 ST3에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차를 조절하는 처리를 실행한다. 공정 ST3에서는, 공정 ST1에서 측정한 웨이퍼(W)의 표면에서의 트렌치폭의 편차가 미리 설정된 기준 범위 내에 없는 경우(공정 ST2 : NO)에 트렌치폭을 조절함으로써 상기 편차를 조절한다. 공정 ST3에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차의 조절은, 상기 편차를 저감하도록, 웨이퍼(W)의 표면에(특히 각 트렌치 내에) 막(후술하는 도 9 등에 나타내는 막(LA))을 형성함으로써 실현된다. 공정 ST3의 상세에 관해서는, 이후에 도 6을 참조하여 상세히 설명한다. 공정 ST3의 실행후에는, 다시 공정 ST1로 되돌아가 공정 ST1 이후의 처리를 반복한다.
공정 ST2 : YES에 이어지는 공정 ST4에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차가 저감된 후에, 상기 트렌치폭이, 트렌치(TR1), 트렌치(TR2)의 각각에서 미리 설정된 기준폭보다 좁은지 아닌지에 관해 판정한다. 트렌치(TR1), 트렌치(TR2)의 적어도 한쪽에서 트렌치폭이 기준폭보다 좁은 경우(공정 ST4 : YES), 공정 ST5로 이행하고, 트렌치(TR1), 트렌치(TR2)의 모두에서 트렌치폭이 기준폭 이상인 경우(공정 ST4 : NO), 방법(MT)의 처리를 종료한다.
공정 ST4 : YES에 이어지는 공정 ST5에서는, 공정 ST1에서 측정한 웨이퍼(W)의 표면에서의 편차가 기준 범위 내에 있고 또한 공정 ST1에서 측정한 트렌치폭이 트렌치(TR1), 트렌치(TR2)의 적어도 한쪽에서 미리 설정된 기준폭보다 좁은 경우에 트렌치폭을 넓히는 에칭 처리를 행한다. 보다 구체적으로, 공정 ST5에서는, 트렌치폭을 균일하게 넓히도록, 공정 ST3에서 웨이퍼(W)의 표면에 형성된 막에 대하여 등방적인 에칭을 행한다. 공정 ST5의 에칭에 의해 에칭되는 에칭량(공정 ST3에서 웨이퍼(W)의 표면에 형성된 막 중 공정 ST5에서 에칭되는 부분의 두께)은, 모든 트렌치에서 균일(대략 동일)하다. 공정 ST5의 상세에 관해서는, 이후에 도 10을 참조하여 상세히 설명한다. 공정 ST5의 실행후에는, 다시 공정 ST1로 되돌아가, 공정 ST1 이후의 처리를 반복한다.
다음으로, 도 6을 참조하여 공정 ST3에 관해 설명한다. 도 6은, 도 1에 나타내는 방법(MT)에 포함되는 공정이자 트렌치폭의 편차를 조절하는 공정 ST3의 일례를 나타내는 흐름도이다. 공정 ST3은, 공정 ST3a, 공정 ST3b, 공정 ST3c(제4 공정), 시퀀스 SQ1(제1 시퀀스), 공정 ST3h, 공정 ST3i를 구비한다. 공정 ST3c에서는 웨이퍼(W) 표면의 온도를 복수의 영역(ER)마다 조절하고, 공정 ST3c에 이어지는 시퀀스 SQ1 및 공정 ST3h의 막형성 처리(제5 공정)에서는 온도 조절후의 웨이퍼(W)의 표면에 ALD(Atomic Layer Deposition)법을 이용하여 웨이퍼(W)의 트렌치의 내면에 막(LA)을 형성하는 막형성 처리를 행한다. 시퀀스 SQ1은, 공정 ST3d(제6 공정), 공정 ST3e(제7 공정), 공정 ST3f(제8 공정), 공정 ST3g(제9 공정)을 구비한다.
보다 구체적으로, 공정 ST2 : NO(도 1)에 이어지는 공정 ST3a에서는, 웨이퍼(W)는, 광학 관찰 장치(OC)로부터 플라즈마 처리 장치(10)에, 반송 로봇(Rb1) 및 반송 로봇(Rb2)에 의해 이동되어, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(192) 내에 반입된다. 공정 ST3a에 이어지는 공정 ST3b에서는, 플라즈마 처리 장치(10)의 처리 용기(192) 내에 반입된 웨이퍼(W)는, 정전척(ESC) 상에 위치 맞춤되어 배치된다.
공정 ST3c의 후에 실행하는 시퀀스 SQ1 및 공정 ST3h의 막형성 처리에서 형성되는 막의 막두께는 웨이퍼(W) 표면의 온도에 따라서 증감하기 때문에, 공정 ST3b에 이어지는 공정 ST3c에서는, 웨이퍼(W) 표면의 복수의 영역(ER)마다, 온도 조절부(HT)를 이용하여 웨이퍼(W) 표면의 온도를 조절한다. 도 7은, 도 6에 나타내는 공정에서 형성되는 막의 막두께와 웨이퍼(W) 표면의 온도의 관계를 모식적으로 나타내는 도면이다. 도 7에 나타내는 선(GRa)은, 도 6에 나타내는 공정에서 형성되는 막의 막두께와, 상기 막이 형성되는 웨이퍼(W) 표면의 온도의 대응을 나타내고 있고, 아레니우스(Arrhenius)의 식(아레니우스 플롯)에 대응하고 있다. 도 7의 횡축은, 공정 ST3에 의해 막이 형성되는 웨이퍼(W) 표면의 온도를 나타내고 있다. 도 7의 종축은, 공정 ST3에 의해 형성되는 막의 막두께를 나타내고 있다. 특히, 도 7의 종축에 나타내는 막두께는, 공정 ST3에서 이용되는 ALD법에서의 자기 제어(self-limited) 영역에 이르는 시간 이상의 시간에 형성되는 막의 막두께이다. 도 7에 나타낸 바와 같이, 웨이퍼(W) 표면의 온도가 값 T1인 경우에, 웨이퍼(W)의 상기 표면에 형성되는 막의 막두께는 값 W1이 되고, 웨이퍼(W)의 상기 표면의 온도가 값 T2(T2>T1)인 경우에 웨이퍼(W)의 상기 표면에 형성되는 막의 막두께는 값 W2(W2>W1)가 된다. 이와 같이, ALD법을 이용한 경우, 표면 온도를 높게 할수록, 상기 표면에 형성되는 막의 막두께를 두껍게 할 수 있다. 따라서, 공정 ST3c에서 웨이퍼(W) 표면의 온도를 조절한 후에 시퀀스 SQ1 및 공정 ST3h의 막형성 처리를 행하면, 상기 막형성 처리에 의해 형성되는 막에 의해, 트렌치(TR1), 트렌치(TR2)의 각각에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차가 저감될 수 있다(면내 균일성이 향상된다). 바꾸어 말하면, 공정 ST3c에서의 웨이퍼(W) 표면의 온도의 조절은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST3c 후에 행해지는 시퀀스 SQ1 및 공정 ST3h의 막형성 처리에 의해 형성되는 막에 의해 웨이퍼(W)의 표면에서의 트렌치폭의 편차가 저감되도록 행해진다.
공정 ST3c에서, 제어부(Cnt)는, 시퀀스 SQ1 및 공정 ST3h의 막형성 처리에서의 웨이퍼(W) 표면의 온도와 트렌치의 내면에 퇴적되는 막(시퀀스 SQ1 및 공정 ST3h의 막형성 처리에 의해 형성되는 막으로서 막(LA)에 포함되는 막)의 막두께의 대응을 나타내는 미리 취득된 대응 데이터(DT)를 이용하여, 트렌치(TR1), 트렌치(TR2)의 각각에서, 상기 막의 형성에 의해 웨이퍼(W)의 표면에서의 트렌치폭의 편차를 저감하도록 웨이퍼(W) 표면의 온도를 복수의 영역(ER)마다 조절한다. 대응 데이터(DT)는, 웨이퍼(W) 표면의 온도마다 시퀀스 SQ1 및 공정 ST3h의 막형성 처리와 동일한 조건(웨이퍼(W) 표면의 온도를 제외한 조건)을 기초로 마스크(MK)의 표면(MK1) 및 마스크(MK)에 마련된 트렌치의 내면에 막(도 9 등에 나타내는 막(LA))을 퇴적시키는 것에 의해 미리 얻어진 데이터이며, 제어부(Cnt)의 기억부에 독출 가능하게 저장되어 있다. 즉, 공정 ST3c에서, 제어부(Cnt)는, 처리 용기(192) 내에 반입된 웨이퍼(W) 표면의 복수의 영역(ER)마다의 온도가, 공정 ST2에서 복수의 영역(ER)마다 산출된 차분치의 막두께에 대응하는 온도가 되도록, 웨이퍼(W) 표면의 온도를, 온도 조절부(HT)와 대응 데이터(DT)를 이용하여, 복수의 영역(ER)마다 조절한다. 공정 ST3c에 의해 온도 조절된 웨이퍼(W)의 표면(표면(MK1) 및 웨이퍼(W)의 트렌치의 내면을 포함)에 대하여 시퀀스 SQ1 및 공정 ST3h의 막형성 처리를 행함으로써, 트렌치(TR1), 트렌치(TR2)의 각각에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차가 저감될 수 있다.
이상 설명한 바와 같이, 공정 ST3c에 이어지는 시퀀스 SQ1 및 공정 ST3h의 일련의 공정은, 처리 용기(192) 내에 반입된 웨이퍼(W)의 표면(마스크(MK)의 표면(MK1) 및 웨이퍼(W)의 트렌치의 내면)에 막(막(LA))을 형성하는 막형성 공정이다. 시퀀스 SQ1 및 공정 ST3h의 막형성 처리는, 트렌치(TR1), 트렌치(TR2)의 각각에서, 복수의 영역(ER)마다 웨이퍼(W)의 표면에서의 트렌치폭의 편차를 저감하도록 ALD법과 동일한 방법에 의해 실리콘 산화막(막(LA))을 웨이퍼(W)의 표면 상에 형성하는 공정이다. 시퀀스 SQ1의 공정 ST3d의 실행중에는, 공정 ST3c에서 복수의 영역(ER)마다 조절된 웨이퍼(W) 표면의 온도가 유지된다. 이 때문에, 막형성 처리에 의해 형성되는 막(막(LA))은 복수의 영역(ER)마다(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부마다) 상이한 막두께가 될 수 있지만, 트렌치(TR1), 트렌치(TR2)의 각각에서, 웨이퍼(W)의 표면에서의 트렌치폭의 편차는 저감될 수 있다.
막형성 처리(시퀀스 SQ1 및 공정 ST3h)의 상세를 설명한다. 공정 ST3d에서는, 처리 용기(192) 내에 제1 가스(G1)를 공급한다. 구체적으로는, 공정 ST3d에서는, 도 8의 (a)부에 나타낸 바와 같이, 처리 용기(192) 내에, 실리콘을 함유하는 제1 가스(G1)를 도입한다.
제1 가스(G1)는, 유기 함유된 아미노실란계 가스를 포함한다. 제1 가스(G1)는, 아미노실란계 가스로서, 아미노기의 수가 비교적 적은 분자 구조의 것이 이용될 수 있고, 일실시형태에서 예컨대 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있고 치환되어 있어도 좋은 아미노기))이 이용될 수 있다. 또한, 제1 가스(G1)로서 이용되는 상기 아미노실란계 가스는, 1∼3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있고, 또는, 1∼3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 1∼3개의 규소 원자를 갖는 아미노실란은, 1∼3개의 아미노기를 갖는 모노실란(모노아미노실란), 1∼3개의 아미노기를 갖는 디실란, 또는, 1∼3개의 아미노기를 갖는 트리실란일 수 있다. 또한, 상기 아미노실란은, 치환되어 있어도 좋은 아미노기를 가질 수 있다. 또한, 상기 아미노기는, 메틸기, 에틸기, 프로필기 및 부틸기의 어느 것에 의해 치환될 수 있다. 또한, 상기 메틸기, 에틸기, 프로필기 또는 부틸기는, 할로겐에 의해 치환될 수 있다. 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 유기 함유된 아미노실란계 가스의 제1 가스(G1)를 처리 용기(192) 내에 공급한다. 공정 ST3d에서의 처리 시간은, ALD법의 자기 제어 영역에 이르는 시간 이상의 시간이다.
제1 가스(G1)의 분자는, 도 8의 (b)부에 나타낸 바와 같이, 반응 전구체(층(Ly1))로서 웨이퍼(W)의 표면(마스크(MK)의 표면(MK1) 및 웨이퍼(W)의 트렌치의 내면)에 부착된다. 공정 ST3d에서는, 제1 가스(G1)의 플라즈마를 생성하지 않는다. 제1 가스(G1)의 분자는, 화학 결합에 기초하는 화학 흡착에 의해 웨이퍼(W)의 표면에 부착되는 것이며, 플라즈마는 이용되지 않는다. 또, 제1 가스(G1)로는, 공정 ST3c에서 복수의 영역(ER)마다 조절된 온도를 기초로 화학 결합에 의해 웨이퍼(W)의 표면에 부착 가능하고 또한 실리콘을 함유하는 것이면 이용될 수 있다.
한편, 예컨대 제1 가스(G1)에 모노아미노실란이 선택되는 경우, 모노아미노실란이 선택되는 이유로는, 모노아미노실란이 비교적 높은 전기 음성도를 가지며 또한 극성을 갖는 분자 구조를 갖는 것에 의해 화학 흡착이 비교적 용이하게 행해질 수 있다는 것에 더욱 기인한다. 제1 가스(G1)의 분자가 웨이퍼(W)의 표면에 부착됨으로써 형성되는 반응 전구체의 층(Ly1)은, 상기 부착이 화학 흡착이기 때문에 단분자층(단층)에 가까운 상태가 된다. 모노아미노실란의 아미노기(R)가 작을수록, 웨이퍼(W)의 표면에 흡착되는 분자의 분자 구조도 작아지기 때문에, 분자의 크기에 기인하는 입체 장해가 저감되고, 따라서, 제1 가스(G1)의 분자가 웨이퍼(W)의 표면에 복수의 영역(ER)마다 상기 영역(ER)의 온도에 따라서 균일하게 흡착할 수 있고, 층(Ly1)은 웨이퍼(W)의 표면에 대하여 복수의 영역(ER)마다 상기 영역(ER)의 온도에 따라서 균일한 막두께로 형성될 수 있다.
이상과 같이, 제1 가스(G1)가 유기를 포함한 아미노실란계 가스를 포함하기 때문에, 공정 ST3d에 의해, 실리콘의 반응 전구체(층(Ly1))가 웨이퍼(W) 표면의 원자층을 따라서 형성된다.
공정 ST3d에 이어지는 공정 ST3e는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정 ST3d에서 공급된 제1 가스(G1)가 배기된다. 공정 ST3e에서는, 퍼지 가스로서 질소 가스 또는 희가스(일실시형태에서 예컨대 Ar 등) 가스와 같은 불활성 가스를 처리 용기(192) 내에 공급해도 좋다. 즉, 공정 ST3e의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 상태에 의한 퍼지의 어느 것이어도 좋다. 공정 ST3e에서는, 웨이퍼(W)의 표면 상에 지나치게 부착된 분자도 제거될 수 있다. 이상에 의해, 반응 전구체의 층(Ly1)은, 웨이퍼(W) 표면의 영역(ER)의 온도에 따라서 형성된 매우 얇은 분자층이 된다.
공정 ST3e에 이어지는 공정 ST3f에서는, 도 8의 (b)부에 나타낸 바와 같이, 처리 용기(192)의 처리 공간(Sp) 내에서 제2 가스의 플라즈마(P1)를 생성한다. 제2 가스는, 산소 원자를 함유하는 가스를 포함하고, 일실시형태에서 예컨대 산소가스를 포함할 수 있다. 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 산소 원자를 함유하는 가스를 포함하는 제2 가스를 처리 용기(192) 내에 공급한다. 그리고, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 고주파 전력을 공급한다. 배기 장치(50)를 동작시킴으로써 처리 용기(192) 내의 처리 공간(Sp)의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 제2 가스의 플라즈마(P1)가 처리 공간(Sp) 내에서 생성된다.
도 8의 (b)부에 나타낸 바와 같이, 제2 가스의 플라즈마(P1)가 생성되면, 산소의 활성종, 일실시형태에서 예컨대 산소 라디칼이 생성되고, 도 8의 (c)부에 나타낸 바와 같이, 실리콘 산화막인 층(Ly2)(도 9 등의 막(LA)에 포함되는 층)이 매우 얇은 분자층으로서 형성된다.
이상과 같이, 제2 가스가 산소 원자를 포함하기 때문에, 공정 ST3f에서, 상기 산소 원자가 웨이퍼(W)의 표면에 마련되는 실리콘의 반응 전구체(층(Ly1))와 결합함으로써, 웨이퍼(W)의 표면에 실리콘 산화막의 층(Ly2)(도 9 등의 막(LA)에 포함되는 층)이 복수의 영역(ER)마다 영역(ER)의 온도에 따라서 상이한 막두께로 형성될 수 있다. 따라서, 시퀀스 SQ1에서는, ALD법과 동일한 방법에 의해, 실리콘 산화막의 층(Ly2)(도 9 등의 막(LA)에 포함되는 층)을, 복수의 영역(ER)의 각각의 온도에 따른 막두께로 웨이퍼(W)의 표면에 형성할 수 있다.
공정 ST3f에 이어지는 공정 ST3g에서는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정 ST3f에서 공급된 제2 가스가 배기된다. 공정 ST3g에서는, 퍼지 가스로서 질소 가스 또는 희가스(일실시형태에서 예컨대 Ar 등)와 같은 불활성 가스를 처리 용기(192) 내에 공급해도 좋다. 즉, 공정 ST3g의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 상태에 의한 퍼지의 어느 것이어도 좋다.
시퀀스 SQ1에 이어지는 공정 ST3h에서는, 시퀀스 SQ1의 반복 횟수가, 미리 설정된 횟수에 도달했는지 아닌지를 판정하여, 상기 횟수에 도달하지 않았다고 판정한 경우(공정 ST3h : NO), 시퀀스 SQ1을 다시 실행하고, 상기 횟수에 도달했다고 판정한 경우(공정 ST3h : YES), 공정 ST3i로 이행한다. 즉, 공정 ST3h에서는, 시퀀스 SQ1의 반복 횟수가 미리 설정된 횟수에 도달할 때까지 시퀀스 SQ1의 실행을 반복하여, 웨이퍼(W)의 표면에 대하여, 복수의 영역(ER)의 각각의 온도에 따른 막두께의 막을 복수의 영역(ER)마다 형성한다. 공정 ST3h에 의해 제어되는 시퀀스 SQ1의 반복 횟수는, 웨이퍼(W)의 표면에 마련된 복수의 트렌치 중 가장 트렌치폭이 작은 트렌치가, 시퀀스 SQ1 등의 막형성 처리에 의해 형성되는 막에 의해 폐색되지 않고(적어도 트렌치의 개구가 폐색되지 않고), 미리 설정된 기준폭보다 큰 트렌치폭을 갖도록 설정된다.
도 9에 나타낸 바와 같이, 시퀀스 SQ1 및 공정 ST3h의 막형성 처리에 의해, 웨이퍼(W)의 표면(마스크(MK)의 표면(MK1) 및 웨이퍼(W)의 트렌치의 내면)에 대하여 막(LA)이 형성된다. 막(LA)의 형성후에 있어서, 트렌치(TR1)는 트렌치폭(WW2a)을 가지며, 트렌치(TR2)는 트렌치폭(WW2b)을 갖는다. 트렌치(TR1)에서 막(LA)의 형성후의 트렌치폭(WW2a)의 값은 막(LA)의 형성전의 트렌치폭(WW1a)의 값보다 작고, 트렌치(TR2)에서 막(LA)의 형성후의 트렌치폭(WW2b)의 값은 막(LA)의 형성전의 트렌치폭(WW1b)의 값보다 작다. 도 9에 나타내는 트렌치(TR1), 트렌치(TR2)는, 도 2에 나타내는 트렌치(TR1), 트렌치(TR2)에 각각 대응하고 있고, 모두 동일한 영역(ER)(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부의 어느 것)에 있다. 트렌치(TR1)에서의 막(LA)의 막두께(WF1a)의 값과, 트렌치(TR2)에서의 막(LA)의 막두께(WF1b)의 값은, 트렌치폭의 크기에 상관없이 대략 동일하다.
공정 ST3h : YES에 이어지는 공정 ST3i에서는, 웨이퍼(W)는, 플라즈마 처리 장치(10)로부터 광학 관찰 장치(OC)에, 반송 로봇(Rb1) 및 반송 로봇(Rb2)에 의해 반출되고, 웨이퍼(W)가 광학 관찰 장치(OC) 내에 반입된다. 공정 ST3i의 후, 공정 ST3이 종료하고, 공정 ST1로 되돌아가 공정 ST1 이후의 처리를 다시 실행한다.
다음으로, 도 10을 참조하여, 공정 ST5에 관해 설명한다. 도 10은, 도 1에 나타내는 방법(MT)에 포함되는 공정이자 트렌치폭을 조절하는 공정 ST5의 일례를 나타내는 흐름도이다. 공정 ST5는, 공정 ST5a, 공정 ST5b, 시퀀스 SQ2(제2 시퀀스), 공정 ST5g, 공정 ST5h를 구비한다. 시퀀스 SQ2는, 공정 ST5c(제10 공정), 공정 ST5d(제11 공정), 공정 ST5e(제12 공정), 공정 ST5f(제13 공정)를 구비한다. 시퀀스 SQ2 및 공정 ST5g는, ALE(Atomic Layer Etching)법과 동일한 방법에 의해 질소 가스에 의한 표면 개질을 이용한 에칭을 행하여, 트렌치폭을 넓히도록 조절하는 에칭 처리이다.
보다 구체적으로, 공정 ST4 : YES(도 1)에 이어지는 공정 ST5a에서는, 웨이퍼(W)는, 광학 관찰 장치(OC)로부터 플라즈마 처리 장치(10)에, 반송 로봇(Rb1) 및 반송 로봇(Rb2)에 의해 이동되고, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(192) 내에 반입된다. 공정 ST5a에 이어지는 공정 ST5b에서는, 플라즈마 처리 장치(10)의 처리 용기(192) 내에 반입된 웨이퍼(W)는, 정전척(ESC) 상에 위치 맞춤되어 배치된다. 또, 공정 ST5는, 공정 ST3의 실행에 이용된 플라즈마 처리 장치(10)와는 상이한 플라즈마 처리 장치를 이용하여 실행되어도 좋다.
공정 ST5b에 이어지는 시퀀스 SQ2는, ALE법과 동일한 방법에 의해, 공정 ST3에서 웨이퍼(W)의 표면에 형성된 막(LA)을, 웨이퍼(W)의 표면에 걸친 모든 트렌치에서, 등방적으로 균일하게 에칭한다. 시퀀스 SQ2의 에칭에 의해 에칭되는 에칭량(막(LA) 중 시퀀스 SQ2에서 에칭되는 부분의 두께)은, 웨이퍼(W)의 표면에 걸쳐 모든 트렌치에서 균일(대략 동일)해진다. 시퀀스 SQ2 및 공정 ST5g의 일련의 공정은, 공정 ST3에서 웨이퍼(W)의 표면에 형성된 막(LA)을, 웨이퍼(W)의 표면에 걸친 모든 트렌치에서, 등방적으로 균일하게 에칭하여, 모든 트렌치의 트렌치폭을 미리 설정된 기준폭에 이를 때까지 넓히는 에칭 처리이다.
공정 ST5c는, 웨이퍼(W)가 수용되어 있는 플라즈마 처리 장치(10)의 처리 용기(192) 내에서 제3 가스의 플라즈마를 생성하고, 상기 제3 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층(MX)을, 막(LA)의 표면(특히 웨이퍼(W)의 트렌치의 내면에 형성된 막(LA)의 표면)의 원자층에, 등방적으로 균일하게 형성한다. 공정 ST5c에서는, 막(LA)의 표면의 원자층에 대하여, 제3 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층(MX)을, 등방적으로 균일하게 형성할 수 있다. 공정 ST5c에서, 웨이퍼(W)가 정전척(ESC) 상에 배치되어 있는 상태로, 처리 용기(192) 내에 제3 가스를 공급하고, 상기 제3 가스의 플라즈마를 생성한다. 제3 가스는, 질소를 포함하고, 일실시형태에서 예컨대 N2 가스를 포함할 수 있다. 구체적으로는, 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 N2 가스를 포함하는 제3 가스를 처리 용기(192) 내에 공급한다. 그리고, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 고주파 전력을 공급하고, 고주파 전원(64)으로부터 고주파 바이어스 전압을 공급하고, 배기 장치(50)를 동작시킴으로써 처리 용기(192) 내의 처리 공간(Sp)의 압력을 미리 설정된 값(설정치)으로 설정한다.
공정 ST5c에서의 처리 공간(Sp)의 압력의 설정치는 비교적 높고, 200[mTorr] 이상이며, 일실시형태에서 예컨대 400[mTorr]일 수 있다. 처리 공간(Sp)의 압력이 이와 같이 비교적 높은 경우, 제3 가스의 플라즈마에 포함되는 질소 원자의 이온(이하, 질소 이온이라고 함)이 등방적으로 막(LA)의 표면에 접촉하고, 막(LA)의 표면이 질소 이온에 의해 등방적으로 균일하게 개질되고, 따라서, 도 11에 나타낸 바와 같이, 균일한(대략 동일한) 두께의 혼합층(MX)이 웨이퍼(W)의 표면에 걸쳐 막(LA)의 표면에 일정하게 형성된다. 도 11에 나타내는 트렌치(TR1), 트렌치(TR2)는, 도 9에 나타내는 트렌치(TR1), 트렌치(TR2)에 각각 대응하고 있고, 모두 동일한 영역(ER)(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부의 어느 것)에 있다.
도 12는, 도 10에 나타내는 시퀀스 SQ2에서의 에칭의 등방성과 이방성이 압력에 의해 영향을 받을 수 있는 것을 나타내는 도면이다. 도 12의 좌측의 종축은 에칭량[nm](막(LA) 중 공정 ST5c에 의해 표면 개질된 개소의 두께이며, 공정 ST5c를 포함하는 시퀀스 SQ2에 의한 에칭에 의해 제거될 수 있는 부분의 두께에 대응함)을 나타내고 있고, 도 12의 우측의 종축은 종횡비(트렌치의 바닥면측(종)의 에칭량을 트렌치의 측면측(횡)의 에칭량으로 나눈 값)를 나타내고 있다. 도 12의 선(GRb1)은 트렌치의 바닥면측(종)의 에칭량의 변화를 나타내고 있고, 도 12의 선(GRb2)은 트렌치의 측면측(횡)의 에칭량의 변화를 나타내고 있고, 도 12의 선(GRb3)은 트렌치의 바닥면측(종)의 에칭량을 트렌치의 측면측(횡)의 에칭량으로 나눈 값(종횡비)의 변화를 나타내고 있다. 도 12의 영역(GDa)에 나타나 있는 결과는, 처리 공간(Sp)의 압력을 400[mTorr]로 하고, 고주파 전력의 값을 600[W]로 하고, 고주파 바이어스 전력의 값을 50[W]로 하고, 처리 시간을 30[s]로 하여, 공정 ST5c를 30회 실행함으로써 얻어진 결과이다. 도 12의 영역(GDb)에 나타나 있는 결과는, 처리 공간(Sp)의 압력을 20[mTorr]로 하고, 고주파 전력의 값을 0[W]로 하고, 고주파 바이어스 전력의 값을 50[W]로 하고, 처리 시간을 10[s]로 하여, 공정 ST5c를 20회 실행함으로써 얻어진 결과이다. 도 12에 나타낸 바와 같이, 처리 공간(Sp)의 압력이 400[mTorr] 정도의 비교적 높은 압력인 경우(영역(GDa)에 나타나 있는 결과)에는, ALE법을 이용한 표면 개질에 의한 시퀀스 SQ2에서, 등방적인 에칭이 실현될 수 있다.
도 12에 나타내는 결과를, 도 13을 참조하여 보다 상세히 확인한다. 도 13은, 도 10에 나타내는 시퀀스 SQ2에서의 에칭의 등방성과 압력의 관계를 나타내는 도면이다. 도 13의 종축은 에칭량[nm](막(LA) 중 공정 ST5c에 의해 표면 개질된 개소의 두께이며, 공정 ST5c를 포함하는 시퀀스 SQ2에 의한 에칭에 의해 제거될 수 있는 부분의 두께에 대응함)을 나타내고 있고, 도 13의 횡축은 처리 공간(Sp)의 압력[mTorr]을 나타내고 있다. 도 13의 선(GRc1)은 트렌치의 바닥면측(종)의 에칭량의 변화를 나타내고 있고, 도 13의 선(GRc2)은 트렌치의 측면측(횡)의 에칭량의 변화를 나타내고 있고, 도 13의 선(GRc3)은 트렌치의 바닥면측(종)의 에칭량을 트렌치의 측면측(횡)의 에칭량으로 나눈 값(종횡비)의 변화를 나타내고 있다. 도 13에 나타낸 바와 같이, 처리 공간(Sp)의 압력이 200[mTorr] 이상의 비교적 높은 압력(일실시형태에서 예컨대 400[mTorr] 정도)인 경우에, ALE법을 이용한 표면 개질에 의한 시퀀스 SQ2에서, 충분히 등방적인 에칭이 실현될 수 있다.
공정 ST5c에서는, 이상과 같이 하여, 제3 가스의 플라즈마가 처리 용기(192) 내에서 생성되고, 제3 가스의 플라즈마에 포함되는 질소 이온이, 고주파 바이어스 전력에 의한 수직 방향(처리 용기(192)의 천장측(구체적으로는 예컨대 판형 유전체(194)의 측)으로부터 정전척(ESC) 상에 배치된 웨이퍼(W)의 표면으로 향하는 방향)으로의 인입에 의해, 막(LA)의 표면에 접촉하고, 막(LA)의 표면이 등방적으로 개질된다. 이와 같이 공정 ST5c에서 막(LA)의 표면이, 웨이퍼(W)의 표면에 걸쳐 균일한 두께의(대략 동일한 두께의) 혼합층(MX)이 된다. 제3 가스가 질소를 포함하고 막(LA)이 실리콘의 산화물(일실시형태에서 예컨대 SiO2)을 포함하기 때문에, 혼합층(MX)의 조성은, 일실시형태에서 예컨대 SiN/SiO2(SiON)일 수 있다.
공정 ST5c에서의 처리 시간은, ALE법의 자기 제어 영역에 이르는 시간 이상의 시간이다. 도 14는, 도 10에 나타내는 시퀀스 SQ2(특히 공정 ST5c)에서의 표면 개질의 자기 제어성을 나타내는 도면이다. 도 14의 횡축은 표면 개질(보다 구체적으로는 공정 ST5c에서 행해지는 처리)의 처리 시간[s]을 나타내고, 도 14의 종축은 에칭량[nm](막(LA) 중 공정 ST5c에 의해 표면 개질된 개소의 두께)을 나타내고 있다. 도 14에 나타내는 결과는, 처리 공간(Sp)의 압력을 400[mTorr]로 하고, 고주파 전력의 값을 600[W]로 하고, 고주파 바이어스 전력의 값을 50[W]로 하여, 공정 ST5c를 실행함으로써 얻어진 결과이다. 도 14에 나타낸 바와 같이, 공정 ST5c에 의해 행해지는 표면 개질은 자기 제어성을 수반한다. 즉, ALE법의 자기 제어 영역에 이르는 시간 이상의 시간을 들여 표면 개질을 행하면, 웨이퍼(W) 표면의 장소(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부)에 상관없이, 또한, 트렌치폭의 크기에 상관없이, 등방적으로 균일하게 표면 개질이 이루어져, 등방적이고 균일한 혼합층(MX)이, 웨이퍼(W)의 표면(마스크(MK)의 표면(MK1) 및 웨이퍼(W)의 트렌치(트렌치(TR1), 트렌치(TR2)를 포함)의 내면)에서 일정하게 형성될 수 있다.
도 15는, (a)부, (b)부, (c)부를 구비하고, 도 10에 나타내는 공정에서의 에칭의 원리를 나타내는 도면이다. 도 15에서, 흰색의 원(백환)은, 막(LA)을 구성하는 원자(일실시형태에서 예컨대 SiO2를 구성하는 원자)를 나타내고 있고, 검은색의 원(흑환)은, 제3 가스의 플라즈마에 포함되는 질소 이온을 나타내고 있고, 원으로 둘러싸인 「×」는, 후술하는 제4 가스의 플라즈마에 포함되는 라디칼을 나타내고 있다. 도 15의 (a)부에 나타낸 바와 같이, 공정 ST5c에 의해, 제3 가스의 플라즈마에 포함되는 질소 이온(검은색의 원(흑환))이, 막(LA)의 표면의 원자층에 등방적으로 공급된다. 이와 같이, 공정 ST5c에 의해, 막(LA)을 구성하는 원자와 제3 가스의 질소 원자를 포함하는 혼합층(MX)이, 막(LA)의 표면의 원자층에 형성된다(도 15의 (a)부와 함께 도 11도 참조).
이상과 같이, 제3 가스가 질소를 포함하기 때문에, 공정 ST5c에서, 막(LA)의 표면의 원자층(실리콘의 산화물의 원자층)에 질소 원자가 공급되고, 실리콘의 질화물을 함유하는 혼합층(MX)(일실시형태에서 예컨대 SiN/SiO2)이 막(LA)의 표면의 원자층에 형성될 수 있다.
공정 ST5c에 이어지는 공정 ST5d에서는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정 ST5c에서 공급된 제3 가스가 배기된다. 공정 ST5d에서는, 퍼지 가스로서 희가스(일실시형태에서 예컨대 Ar 가스 등)와 같은 불활성 가스를 처리 용기(192)에 공급해도 좋다. 즉, 공정 ST5d의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 상태에 의한 퍼지의 어느 것이어도 좋다.
공정 ST5d에 이어지는 공정 ST5e에서는, 처리 용기(192) 내에서 제4 가스의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 이용한 케미컬 에칭에 의해 혼합층(MX)을 모두 제거한다. 이것에 의해, 막(LA)은, 웨이퍼(W)의 표면에 걸쳐(특히 모든 트렌치의 내면에 마련된 막(LA)에서), 등방적으로 균일하게 에칭될 수 있다. 공정 ST5e에서는, 공정 ST5c에서의 혼합층(MX)의 형성후의 웨이퍼(W)가 정전척(ESC) 상에 배치되어 있는 상태에서, 처리 용기(192) 내에 제4 가스를 공급하고, 제4 가스의 플라즈마를 생성한다. 공정 ST5e에서 생성되는 제4 가스의 플라즈마는, 실리콘의 질화물을 포함하는 혼합층(MX)을 제거하는 라디칼을 포함한다. 제4 가스는 불소를 포함하고, 일실시형태에서 예컨대, NF3 가스 및 O2 가스를 포함하는 혼합 가스일 수 있다. 또, 제4 가스는, NF3 가스, O2 가스, H2 가스 및 Ar 가스를 포함하는 혼합 가스, CH3F 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스 등일 수도 있다. 구체적으로는, 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 상기 제4 가스가 처리 용기(192) 내에 공급되고, 고주파 전원(150A) 및 고주파 전원(150B)로부터 고주파 전력이 공급되고, 배기 장치(50)를 동작시킴으로써 처리 용기(192) 내의 처리 공간(Sp)의 압력이 미리 설정된 값으로 설정된다. 이와 같이 하여, 제4 가스의 플라즈마가 처리 용기(192) 내에서 생성된다.
도 15의 (b)부에 나타낸 바와 같이, 공정 ST5e에서 생성된 제4 가스의 플라즈마 중의 라디칼(도 15의 (b)부에서 원으로 둘러싸인 「×」)은, 막(LA)의 표면의 혼합층(MX)에 접촉하고, 막(LA)의 표면에 형성된 혼합층(MX)에 제4 가스의 원자의 라디칼이 공급되어 혼합층(MX)이 케미컬 에칭에 의해 막(LA)으로부터 제거될 수 있다.
도 15의 (c)부 및 도 16에 나타낸 바와 같이, 공정 ST5c에서 막(LA)의 표면에 형성된 혼합층(MX)의 전부는, 제4 가스의 플라즈마에 포함되는 라디칼에 의해, 막(LA)의 표면으로부터 제거될 수 있다. 혼합층(MX)의 제거후의 트렌치(TR1)의 트렌치폭(WW3a)(도 16)의 값은 혼합층(MX)의 형성전의 트렌치(TR1)의 트렌치폭(WW2a)(도 9)의 값보다 크고, 혼합층(MX)의 제거후의 트렌치(TR2)의 트렌치폭(WW3b)(도 16)의 값은 혼합층(MX)의 형성전의 트렌치(TR2)의 트렌치폭(WW2b)(도 9)의 값보다 크다. 트렌치(TR1)에서의 혼합층(MX)의 제거후의 막(LA)의 막두께(WF2a)(도 16)의 값은 트렌치(TR1)에서의 혼합층(MX)의 형성전의 막(LA)의 막두께(WF1a)(도 9)의 값보다 작고, 트렌치(TR2)에서의 혼합층(MX)의 제거후의 막(LA)의 막두께(WF2b)(도 16)의 값은 트렌치(TR2)에서의 혼합층(MX)의 형성전의 막(LA)의 막두께(WF1b)(도 9)의 값보다 작다. 또한, 혼합층(MX)의 두께는, 웨이퍼(W)의 표면에 마련된 모든 트렌치에서 균일(대략 동일)하기 때문에, 트렌치(TR1)에서의 트렌치폭(WW3a)(도 16)의 값으로부터 트렌치폭(WW2a)(도 9)의 값을 뺀 값과, 트렌치(TR2)에서의 트렌치폭(WW3b)(도 16)의 값으로부터 트렌치폭(WW2b)(도 9)의 값을 뺀 값은 대략 동일하고, 혼합층(MX)의 두께의 2배의 값과 대략 동일하다. 이와 같이, 혼합층(MX)의 제거에 의해, 트렌치(TR1), 트렌치(TR2)는 방향(DR)으로 넓어지고, 트렌치폭이, 웨이퍼(W)의 표면에 걸쳐, 웨이퍼(W) 표면의 장소(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부)에 상관없이, 또한, 트렌치폭의 크기에 상관없이, 등방적으로 균일하게 커진다. 또, 도 16에 나타내는 트렌치(TR1), 트렌치(TR2)는, 도 9에 나타내는 트렌치(TR1), 트렌치(TR2)에 각각 대응하고 있고, 모두 동일한 영역(ER)(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부의 어느 것)에 있다.
공정 ST5e에 이어지는 공정 ST5f에서는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정 ST5e에서 공급된 제4 가스가 배기된다. 공정 ST5f에서는, 퍼지 가스로서 희가스(일실시형태에서 예컨대 Ar 가스 등)와 같은 불활성 가스를 처리 용기(192)에 공급해도 좋다. 즉, 공정 ST5f의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 상태에 의한 퍼지의 어느 것이어도 좋다.
시퀀스 SQ2에 이어지는 공정 ST5g에서는, 시퀀스 SQ2의 실행을 종료하는지 아닌지를 판정한다. 구체적으로는, 공정 ST5g에서는, 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달했는지 아닌지를 판정한다. 시퀀스 SQ2의 실행 횟수의 결정은, 막(LA)에 대한 에칭량을 결정하는 것이다. 시퀀스 SQ2는, 막(LA)에 대한 에칭량이 미리 설정된 값에 이를 때까지 막(LA)이 에칭되도록, 반복 실행될 수 있다. 시퀀스 SQ2의 실행 횟수의 증가에 따라, 막(LA)에 대한 에칭량도 증가(거의 선형적으로 증가)한다. 따라서, 1회(단위 사이클)의 시퀀스 SQ2의 실행에 의해 에칭되는 막(LA)의 두께(1회의 공정 ST5c에서 형성되는 혼합층(MX)의 두께)와 시퀀스 SQ2의 실행 횟수의 곱이 미리 설정된 값이 되도록, 시퀀스 SQ2의 실행 횟수가 결정될 수 있다.
도 17을 참조하여, 시퀀스 SQ2의 실행중에 생기는 막(LA)에 대한 에칭량의 변화와 막(LA)에 형성되는 혼합층(MX)의 두께의 변화에 관해 설명한다. 도 17의 선(GL1)은, 시퀀스 SQ2의 실행중에 생기는 막(LA)에 대한 에칭량(임의 단위)의 변화를 나타내고 있고, 도 17의 선(GL2)은, 시퀀스 SQ2의 실행중에 생기는 혼합층(MX)의 두께(임의 단위)의 변화를 나타내고 있다. 도 17의 횡축은, 시퀀스 SQ2의 실행중의 시간을 나타내고 있지만, 공정 ST5d의 실행 시간 및 공정 ST5f의 실행 시간은 도시를 간략하게 하기 위해 생략되어 있다. 도 17에 나타낸 바와 같이, 1회(단위 사이클)의 시퀀스 SQ2의 실행에서, 공정 ST5c의 실행은, 선(GL2)에 나타낸 바와 같이, 혼합층(MX)의 두께가 미리 설정된 값 TW가 될 때까지 행해진다. 공정 ST5c에서 형성되는 혼합층(MX)의 두께의 값 TW는, 고주파 전원(64)에 의해 인가되는 바이어스 전력의 값과, 제3 가스의 플라즈마에 포함되어 있는 질소 이온의 막(LA)에 대한 단위시간당 도우즈(dose)량과, 공정 ST5c의 실행 시간에 의해 결정될 수 있다.
도 17에 나타낸 바와 같이, 1회(단위 사이클)의 시퀀스 SQ2의 실행에서, 공정 ST5e의 실행은, 선(GL1) 및 선(GL2)에 나타낸 바와 같이, 공정 ST5c에서 형성된 혼합층(MX)이 모두 제거될 때까지 행해진다. 공정 ST5e의 실행중에 타이밍 TI에 이를 때까지, 혼합층(MX)이 케미컬 에칭에 의해 모두 제거된다. 타이밍 TI는, 공정 ST5e에서 행해지는 케미컬 에칭의 에칭율에 의해 결정될 수 있다. 타이밍 TI는, 공정 ST5e의 실행중에 생긴다. 타이밍 TI로부터 공정 ST5e의 종료까지의 사이에 있어서, 혼합층(MX)의 제거후의 실리콘의 산화물의 막(LA)은, 제4 가스의 플라즈마에 의해서는 에칭되지 않는다. 즉, 제4 가스의 플라즈마에 포함되는 라디칼을 이용한 경우, 막(LA)을 구성하는 실리콘의 산화물(일실시형태에서 예컨대 SiO2)에 대한 에칭의 에칭율은, 혼합층(MX)에 포함되는 실리콘의 질화물(일실시형태에서 예컨대 SiN)에 대한 에칭의 에칭율에 비교하여 매우 작다.
공정 ST5g에서 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달하지 않았다고 판정되는 경우에는(공정 ST5g : NO), 시퀀스 SQ2의 실행이 다시 반복된다. 한편, 공정 ST5g에서 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달했다고 판정되는 경우에는(공정 ST5g : YES), 공정 ST5h로 이행한다. 공정 ST5h에서, 웨이퍼(W)는, 플라즈마 처리 장치(10)로부터 광학 관찰 장치(OC)에, 반송 로봇(Rb1) 및 반송 로봇(Rb2)에 의해 반출되고, 웨이퍼(W)가 광학 관찰 장치(OC) 내에 반입된다. 공정 ST5h의 후, 공정 ST5가 종료하고, 공정 ST1로 되돌아가 공정 ST1 이후의 처리를 다시 실행한다.
이상과 같이, 시퀀스 SQ2 및 공정 ST5g의 일련의 등방적인 에칭 처리는, ALE법과 동일한 방법에 의해, 막(LA)의 표면을 원자층마다 제거할 수 있다. 따라서, 시퀀스 SQ2 및 공정 ST5g의 일련의 에칭 처리는, 시퀀스 SQ2를 반복 실행하여 막(LA)의 표면을 원자층마다 제거함으로써, 웨이퍼(W) 표면의 장소(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부)에 상관없이, 또한, 트렌치폭의 크기에 상관없이, 막(LA)을 정밀하게 에칭한다. 즉, 시퀀스 SQ2가 미리 설정된 횟수만큼 반복됨으로써, 막(LA)이, 웨이퍼(W) 표면의 장소(보다 구체적으로, 예컨대 웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부)에 상관없이, 또한, 트렌치폭의 크기에 상관없이, 웨이퍼(W)의 표면에 걸쳐, 등방적이고 균일한 두께로(대략 동일한 두께로) 매우 정밀하게 에칭된다.
다음으로, 일실시형태에 관한 방법(MT)에 의한 효과를, 방법(MT)의 실행에 따르는 트렌치폭의 변화를 고찰함으로써 설명한다. 도 18은, 도 1에 나타내는 방법에 의해 발휘되는 효과를 나타내는 막대그래프이다. 도 18에는, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전의 트렌치폭과, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치폭과, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치폭이 모식적으로 나타나 있다. 도 18의 막대그래프에 나타내는 모든 장방형(장방형(GC1) 등)은 트렌치(TR1)의 트렌치폭을 나타내고 있거나, 또는, 도 18의 막대그래프에 나타내는 모든 장방형(장방형(GC1) 등)은 트렌치(TR2)의 트렌치폭을 나타내고 있다.
장방형(GC1)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))의 값(TC)을 나타내고 있다. 장방형(GM1)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))의 값(TM)을 나타내고 있다. 장방형(GE1)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))의 값(TE)을 나타내고 있다.
도 18에 나타낸 바와 같이, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전의 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))에는 웨이퍼(W)의 표면에서(웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸쳐) 편차가 있지만, 공정 ST2 : YES의 후에는 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))의 편차가 기준 범위 내에 수속된다.
장방형(GC2)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))의 값(TH1)을 나타내고 있다. 장방형(GM2)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))의 값(TH1)을 나타내고 있다. 장방형(GE2)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW1b))의 값(TH1)을 나타내고 있다.
도 18에 나타낸 바와 같이, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치폭(트렌치폭(WW2a) 또는 트렌치폭(WW2b))은 균일한 트렌치폭(TH1)으로 맞춰져 있지만 기준폭(TH2)보다 좁다. 따라서, 공정 ST4 : NO의 후에는 트렌치폭(트렌치폭의 최소치)이 기준폭(TH2) 이상으로 넓혀져 있다.
장방형(GC3)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))의 값(TH2)을 나타내고 있다. 장방형(GM3)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))의 값(TH2)을 나타내고 있다. 장방형(GE3)은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(트렌치폭(WW3a) 또는 트렌치폭(WW3b))의 값(TH2)을 나타내고 있다.
도 18에 나타낸 바와 같이, 트렌치(TR1), 트렌치(TR2)의 각각에서, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)에, 공정 ST5를 반복 실행함으로써, 트렌치폭(트렌치폭의 최소치)을 기준폭(TH2)으로 할 수 있다. 따라서, 트렌치(TR1), 트렌치(TR2)의 각각에서, 방법(MT)의 실행전에 웨이퍼(W)의 표면에서 편차가 있는 트렌치폭(트렌치폭(WW1a) 또는 트렌치폭(WW1b))은, 방법(MT)의 실행에 의해, 웨이퍼(W) 표면의 장소에 상관없이(웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸쳐), 웨이퍼(W)의 표면에서의 편차가 충분히 해소될 수 있음과 함께 기준폭(TH2)으로 정밀하게 맞춰질 수 있다.
도 19를 참조하여 더욱 상세히 설명한다. 도 19는, (a)부, (b)부를 구비하고, 도 1에 나타내는 방법에 의해 발휘되는 효과의 일례를 나타내는 막대그래프이다. 도 19의 (a)부에는, 방법(MT)의 실행전의 트렌치(TR1) 및 트렌치(TR2)의 각각의 트렌치폭(트렌치폭(WW1a) 및 트렌치폭(WW1b))과, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR1) 및 트렌치(TR2)의 각각의 트렌치폭(트렌치폭(WW2a) 및 트렌치폭(WW2b))과, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR1) 및 트렌치(TR2)의 각각의 트렌치폭(트렌치폭(WW3a) 및 트렌치폭(WW3b))이 모식적으로 나타나 있다.
장방형(GCa1)은, 방법(MT)의 실행전의 트렌치(TR1)의 트렌치폭(WW1a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW1a)의 값(WW1aC)을 나타내고 있다.
장방형(GCb1)은, 방법(MT)의 실행전의 트렌치(TR2)의 트렌치폭(WW1b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW1b)의 값(WW1bC)을 나타내고 있다. WW1bC>WW1aC 및 WW1bC-WW1aC=Δ1의 관계가 만족된다.
장방형(GMa1)은, 방법(MT)의 실행전의 트렌치(TR1)의 트렌치폭(WW1a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW1a)의 값(WW1aM)을 나타내고 있다. 장방형(GMb1)은, 방법(MT)의 실행전의 트렌치(TR2)의 트렌치폭(WW1b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW1b)의 값(WW1bM)을 나타내고 있다. WW1bM>WW1aM 및 WW1bM-WW1aM=Δ1의 관계가 만족된다.
장방형(GEa1)은, 방법(MT)의 실행전의 트렌치(TR1)의 트렌치폭(WW1a)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW1a)의 값(WW1aE)을 나타내고 있다. 장방형(GEb1)은, 방법(MT)의 실행전의 트렌치(TR2)의 트렌치폭(WW1b)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW1b)의 값(WW1bE)을 나타내고 있다. WW1bE>WW1aE 및 WW1bE-WW1aE=Δ1의 관계가 만족된다.
장방형(GCa2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR1)의 트렌치폭(WW2a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW2a)의 값(WW2aC)을 나타내고 있다. 장방형(GCb2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR2)의 트렌치폭(WW2b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW2b)의 값(WW2bC)을 나타내고 있다. WW2bC>WW2aC 및 WW2bC-WW2aC=Δ1의 관계가 만족된다.
장방형(GMa2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR1)의 트렌치폭(WW2a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW2a)의 값(WW2aM)을 나타내고 있다. 장방형(GMb2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR2)의 트렌치폭(WW2b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW2b)의 값(WW2bM)을 나타내고 있다. WW2bM>WW2aM 및 WW2bM-WW2aM=Δ1의 관계가 만족된다.
장방형(GEa2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR1)의 트렌치폭(WW2a)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW2a)의 값(WW2aE)을 나타내고 있다. 장방형(GEb2)은, 공정 ST2 : YES의 후(트렌치폭의 편차를 기준 범위 내에 수속시킨 후)의 트렌치(TR2)의 트렌치폭(WW2b)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW2b)의 값(WW2bE)을 나타내고 있다. WW2bE>WW2aE 및 WW2bE-WW2aE=Δ1의 관계가 만족된다.
트렌치(TR1)에서 WW2aC=WW2aM=WW2aE가 만족되고, 트렌치(TR2)에서 WW2bC=WW2bM=WW2bE가 만족된다.
따라서, 공정 ST2 : YES 후에 있어서, 웨이퍼(W)의 표면에서의 트렌치(TR1)의 트렌치폭의 편차(웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸친 편차), 및, 웨이퍼(W)의 표면에서의 트렌치(TR2)의 트렌치폭의 편차(웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸친 편차)가, 트렌치(TR2)의 트렌치폭과 트렌치(TR1)의 트렌치폭의 차(Δ1)가 유지되면서 해소될 수 있다.
장방형(GCa3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW3a)의 값(WW3aC)을 나타내고 있다. 장방형(GCb3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW3b)의 값(WW3bC)을 나타내고 있다. WW3bC>WW3aC 및 WW3bC-WW3aC=Δ1의 관계가 만족된다.
장방형(GMa3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW3a)의 값(WW3aM)을 나타내고 있다. 장방형(GMb3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW3b)의 값(WW3bM)을 나타내고 있다. WW3bM>WW3aM 및 WW3bM-WW3aM=Δ1의 관계가 만족된다.
장방형(GEa3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW3a)의 값(WW3aE)을 나타내고 있다. 장방형(GEb3)은, 공정 ST4 : NO의 후(트렌치폭(트렌치폭의 최소치)을 기준폭 이상으로 넓힌 후)의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW3b)의 값(WW3bE)을 나타내고 있다. WW3bE>WW3aE 및 WW3bE-WW3aE=Δ1의 관계가 만족된다.
트렌치(TR1)에서 WW3aC=WW3aM=WW3aE가 만족되고, 트렌치(TR2)에서 WW3bC=WW3bM=WW3bE가 만족된다. 또한, 트렌치(TR1)에서 WW3aC(=WW3aM=WW3aE)는 WW2aC(=WW2aM=WW2aE)보다 크고, 트렌치(TR2)에서 WW3bC(=WW3bM=WW3bE)는 WW2bC(=WW2bM=WW2bE)보다 크다.
따라서, 트렌치(TR1) 및 트렌치(TR2)에서, 방법(MT)의 실행전에 웨이퍼(W)의 표면에서 편차가 있는 트렌치폭은, 방법(MT)의 실행에 의해, 트렌치(TR2)의 트렌치폭과 트렌치(TR1)의 트렌치폭의 차(Δ1)가 유지되면서 웨이퍼(W)의 표면에서의 편차(웨이퍼(W) 표면의 중심부, 웨이퍼(W) 표면의 중간부, 웨이퍼(W) 표면의 단부에 걸친 편차)가 충분히 해소될 수 있음과 함께, 기준폭으로 정밀하게 맞춰질 수 있다.
또, 트렌치폭을 기준폭으로 맞추기 위해 공정 ST5를 이용하지 않고 종래의 에칭 방법(방법(MT)과는 상이한 방법으로서, 이하, 에칭 방법(EM)이라고 함)에 의해 막(LA)을 에칭하는 경우에는, 도 19의 (b)부에 나타낸 바와 같이, 트렌치(TR2)의 트렌치폭과 트렌치(TR2)의 트렌치폭의 차(Δ2)가, 방법(MT)의 실행전의 트렌치(TR2)의 트렌치폭과 트렌치(TR2)의 트렌치폭의 차(Δ1)와 비교하여, 보다 커질 수 있다. 즉, Δ2>Δ1이 될 수 있다.
장방형(GCa4)은, 종래의 에칭 방법(EM)후의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW3a)의 값을 나타내고 있다. 장방형(GCb4)은, 종래의 에칭 방법(EM)후의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부의 트렌치폭(WW3b)의 값을 나타내고 있다. 장방형(GMa4)은, 종래의 에칭 방법(EM)후의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW3a)의 값을 나타내고 있다. 장방형(GMb4)은, 종래의 에칭 방법(EM)후의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 중심부보다 외측(단부 근처)에 있는 상기 표면의 중간부의 트렌치폭(WW3b)의 값을 나타내고 있다. 장방형(GEa4)은, 종래의 에칭 방법(EM)후의 트렌치(TR1)의 트렌치폭(WW3a)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW3a)의 값을 나타내고 있다. 장방형(GEb4)은, 종래의 에칭 방법(EM)후의 트렌치(TR2)의 트렌치폭(WW3b)이자 웨이퍼(W)의 표면 중 상기 표면의 단부의 트렌치폭(WW3b)의 값을 나타내고 있다.
도 19의 (b)부에 나타낸 바와 같이, 트렌치폭을 기준폭으로 맞추기 위해 종래의 에칭 방법(EM)에 의해 막(LA)을 에칭하는 경우에는, 상기 에칭 방법(EM)후의 트렌치(TR2)의 트렌치폭과 트렌치(TR2)의 트렌치폭의 차(Δ2)는, 방법(MT)의 실행전의 트렌치(TR2)의 트렌치폭과 트렌치(TR2)의 트렌치폭의 차(Δ1)와 비교하여, 보다 커질 수 있다.
또, 공정 ST1∼공정 ST3에 의해 형성되는 막(LA)의 막두께가 비교적 두꺼운 경우에는, 비교적 좁은 트렌치폭의 트렌치에서는, 상기 트렌치의 개구가 폐색되는 경우도 있을 수 있다. 이러한 경우에 대응하기 위해, 공정 ST1∼공정 ST3에 의해 형성되는 막(LA)의 막두께를 트렌치의 개구가 폐색되지 않도록 비교적 얇게 하여, 방법(MT)의 기본 공정을 반복 실행하는 것도 가능하다. 기본 공정은, 트렌치폭(보다 구체적으로, 웨이퍼(W)의 표면에 마련된 복수의 트렌치의 트렌치폭 중 최소의 트렌치폭)이 기준폭에 이를 때까지 반복된다. 이 경우, 방법(MT)을 반복할 때마다, 공정 ST2에서 이용되는 트렌치폭의 기준 범위를 단계적으로 좁힌다. 도 20은, 트렌치(TR1), 트렌치(TR2)의 각각에서, 도 1에 나타내는 방법을 반복 실행하는 경우의 트렌치폭의 변화의 모습을 모식적으로 나타내는 도면이다. 보다 상세하게, 도 20에는, 웨이퍼(W) 표면의 중심부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 변화의 모습과, 웨이퍼(W) 표면의 단부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 변화의 모습이 나타나 있다. 또, 도 20에 나타내는 내용(선(GRd1) 및 선(GRd2))은, 트렌치(TR1)에만 대응하고 있거나, 또는, 트렌치(TR2)에만 대응하고 있다.
도 20의 횡축은 처리 시간을 나타내고 있고, 도 20의 종축은 트렌치폭을 나타내고 있다. 선(GRd1)은, 웨이퍼(W) 표면의 중심부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 값(WC)의 변화의 모습을 나타내고 있다. 선(GRd2)은, 웨이퍼(W) 표면의 단부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 값(WE)의 변화의 모습을 나타내고 있다. 선(GRd1)이 트렌치(TR1)의 트렌치폭의 값의 변화를 나타내는 경우에는, 선(GRd2)도 트렌치(TR1)의 트렌치폭의 값의 변화의 모습을 나타낸다. 또한, 선(GRd1)이 트렌치(TR2)의 트렌치폭의 값의 변화를 나타내는 경우에는, 선(GRd2)도 트렌치(TR2)의 트렌치폭의 값의 변화의 모습을 나타낸다.
방법(MT)의 개시시에 있어서, 웨이퍼(W) 표면의 중심부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 값 WC은, 웨이퍼(W) 표면의 단부의 트렌치(TR1)(또는 트렌치(TR2))의 트렌치폭의 값 WE보다 좁다. 구간 V1에서는 공정 ST3이 1회 또는 복수회 실행되고, 타이밍 TM1에서는 공정 ST2 : YES의 판정이 이루어지고, 구간 V2에서는 공정 ST5가 1회 또는 복수회 실행되고, 타이밍 TM2에서는 공정 ST4 : NO의 판정이 이루어진다. 타이밍 TM1에서의 트렌치폭의 값 WC과 트렌치폭의 값 WE의 차(H1)는, 상기 타이밍 TM1에서의 판정에 이용된 기준 범위 내에 있다. 방법(MT)이 반복될 때마다, 타이밍 TM1에서의 판정에 이용되는(보다 구체적으로, 공정 ST2에서 이용되는) 기준 범위는, 도 20에 나타낸 바와 같이 단계적으로 좁아진다. 따라서, 기본 공정이 반복될 때마다, 트렌치폭의 값 WC과 트렌치폭의 값 WE의 차(H1)는, 단계적으로 작아질 수 있다. 또한, 구간 V2에서 행해지는 ALE법을 이용한 표면 개질에 의한 에칭(공정 ST5)에서는, 막(LA)이 웨이퍼(W) 표면의 전체에 걸쳐, 등방적으로 균일하게 에칭되기 때문에, 타이밍 TM1에서의 트렌치폭의 값 WC과 트렌치폭의 값 WE의 차(H1)는, 타이밍 TM1로부터 구간 V2를 거쳐 타이밍 TM2에 이를 때까지 유지된다.
상기 방법에서는, ALD법과 동일한 방법에 의해 시퀀스 SQ1을 반복 실행하는 막형성 처리에서 형성되는 막의 막두께가 상기 막의 형성면(웨이퍼(W)의 표면이며, 특히 트렌치의 내면)의 온도에 따라서 상이한 것에 기초하여, 웨이퍼(W)의 표면에 마련된 복수의 트렌치의 트렌치폭의 상기 표면에서의 편차를 저감하도록(웨이퍼(W)의 표면에서의 트렌치폭의 면내 균일성을 향상시키도록) 공정 ST3c에서 웨이퍼(W) 표면의 영역(ER)마다의 온도를 조절한 후에 막형성 처리를 행하기 때문에, 웨이퍼(W)의 표면에 마련된 복수의 트렌치의 트렌치폭의 상기 표면에서의 편차가 저감되면서 트렌치의 내면에 실리콘의 산화물을 포함하는 막(LA)이 원자층마다 매우 정밀하게 형성된다. 또한, 막(LA)이 형성된 트렌치의 트렌치폭이 기준폭보다 좁은 경우에는, 트렌치폭을 넓히기 위해, ALE법과 동일한 방법에 의해 시퀀스 SQ2를 반복 실행하는 에칭 처리를 행하기 때문에, 트렌치의 내면에 마련된 막(LA)의 표면이 등방적으로 균일하게 에칭되고, 트렌치폭이 원하는 기준폭으로 조절된다. 따라서, 웨이퍼(W)의 표면에서 트렌치폭에 편차가 있는 경우에, 상기 편차를 충분히 저감하면서, 트렌치폭을 원하는 기준폭으로 정밀하게 조절하는 것이 가능해진다.
또한, 방법(MT)의 기본 공정은, 공정 ST3의 실행후 및 공정 ST5의 실행후에, 공정 ST1로 되돌아간다. 이와 같이, 막(LA)을 형성함으로써 트렌치폭을 조절하는 공정 ST3의 실행후, 및, 막(LA)을 에칭함으로써 트렌치폭을 넓히는 공정 ST5의 실행후에, 트렌치폭을 측정하는 공정 ST1로 되돌아가기 때문에, 트렌치폭의 보다 정밀한 조절이 가능해진다.
또한, 방법(MT)의 기본 공정은 반복 실행되고, 기준 범위는 기본 공정의 실행이 반복될 때마다 단계적으로 좁아진다. 이와 같이, 트렌치폭의 편차의 기준 범위를 단계적으로 좁히면서 기본 공정을 반복 실행함으로써, 공정 ST3에서 형성되는 막의 두께를 단계적으로 비교적 서서히 증가시키면서 트렌치폭의 조절이 가능해지기 때문에, 트렌치폭이 비교적 좁은 트렌치가 포함되어 있더라도 막의 형성에 의해 트렌치의 개구가 폐색되는 사태를 회피할 수 있다.
또한, 제1 가스(G1)는 모노아미노실란을 포함한다. 이와 같이, 모노아미노실란을 포함하는 제1 가스(G1)를 이용하여 실리콘의 반응 전구체를 형성할 수 있다.
또한, 제1 가스(G1)의 아미노실란계 가스는, 1∼3개의 규소 원자를 갖는 아미노실란을 포함할 수 있다. 제1 가스(G1)의 아미노실란계 가스는, 1∼3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 이와 같이 제1 가스(G1)의 아미노실란계 가스에는, 1∼3개의 규소 원자를 포함하는 아미노실란을 이용할 수 있다. 또한, 제1 가스(G1)의 아미노실란계 가스에는, 1∼3개의 아미노기를 포함하는 아미노실란을 이용할 수 있다.
또한, 제4 가스는, NF3 가스 및 O2 가스를 포함하는 혼합 가스, NF3 가스, O2 가스, H2 가스 및 Ar 가스를 포함하는 혼합 가스, CH3F 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스일 수 있다. 이와 같이, 불소를 함유하는 제4 가스가 실현될 수 있다.
이상, 적합한 실시형태에서 본 발명의 원리를 도시하여 설명했지만, 본 발명은, 그와 같은 원리로부터 일탈하지 않고 배치 및 상세에서 변경될 수 있는 것은, 당업자에 의해 인식된다. 본 발명은, 본 실시형태에 개시된 특정한 구성에 한정되는 것이 아니다. 따라서, 특허청구범위 및 그 정신의 범위에서 오는 모든 수정 및 변경에 권리를 청구한다.
1 : 처리 시스템, 10 : 플라즈마 처리 장치, 111 : 트랜스퍼 챔버, 112a : 대, 112b : 대, 112c : 대, 112d : 대, 114a : 수용 용기, 114b : 수용 용기, 114c : 수용 용기, 114d : 수용 용기, 120 : 가스 공급부, 121 : 가스 도입구, 122 : 가스 공급원, 123 : 가스 공급 배관, 124 : 매스플로우 컨트롤러, 126 : 개폐 밸브, 12e : 배기구, 134 : 웨이퍼 반출 반입구, 136 : 게이트 밸브, 14 : 지지부, 140 : 고주파 안테나, 142A : 내측 안테나 소자, 142B : 외측 안테나 소자, 144 : 협지체, 150A : 고주파 전원, 150B : 고주파 전원, 160 : 실드 부재, 162A : 내측 실드벽, 162B : 외측 실드벽, 164A : 내측 실드판, 164B : 외측 실드판, 168A : 액츄에이터, 168B : 액츄에이터, 18a : 제1 플레이트, 18b : 제2 플레이트, 192 : 처리 용기, 194 : 판형 유전체, 22 : 직류 전원, 23 : 스위치, 24 : 냉매 유로, 26a : 배관, 26b : 배관, 28 : 가스 공급 라인, 46 : 증착 실드, 48 : 배기 플레이트, 50 : 배기 장치, 52 : 배기관, 64 : 고주파 전원, 68 : 정합기, Cnt : 제어부, DR : 방향, DT : 대응 데이터, EL : 피처리층, EL1 : 표면, ER : 영역, ESC : 정전척, FR : 포커스링, G1 : 제1 가스, HP : 히터 전원, HT : 온도 조절부, LA : 막, LE : 하부 전극, LL1 : 로드록 챔버, LL2 : 로드록 챔버, LM : 로더 모듈, Ly1 : 층, Ly2 : 층, MK : 마스크, MK1 : 표면, MT : 방법, MX : 혼합층, OC : 광학 관찰 장치, P1 : 플라즈마, PD : 배치대, Rb1 : 반송 로봇, Rb2 : 반송 로봇, Sp : 처리 공간, TM1 : 타이밍, TM2 : 타이밍, TR1 : 트렌치, TR2 : 트렌치, V1 : 구간, V2 : 구간, W : 웨이퍼, WF1a : 막두께, WF1b : 막두께, WF2a : 막두께, WF2b : 막두께, WW1a : 트렌치폭, WW1b : 트렌치폭, WW2a : 트렌치폭, WW2b : 트렌치폭, WW3a : 트렌치폭, WW3b : 트렌치폭.

Claims (14)

  1. 피처리체를 처리하는 방법으로서, 상기 피처리체에는 복수의 트렌치가 상기 피처리체의 표면에 마련되어 있고, 상기 방법은,
    복수의 상기 트렌치의 트렌치폭을 측정하는 제1 공정과,
    상기 제1 공정에서 측정한 상기 트렌치폭의 상기 표면에서의 편차가 미리 설정된 기준 범위 내에 없는 경우에 상기 트렌치폭을 조절하는 제2 공정과,
    상기 편차가 상기 기준 범위 내에 있고 상기 제1 공정에서 측정한 상기 트렌치폭이 미리 설정된 기준폭보다 좁은 경우에 상기 트렌치폭을 넓히는 에칭 처리를 행하는 제3 공정
    을 포함하는 기본 공정을 포함하고,
    상기 표면은, 상기 방법에 있어서 복수의 영역으로 구분되고,
    상기 제2 공정은,
    상기 표면의 온도를 복수의 상기 영역마다 조절하는 제4 공정과,
    상기 트렌치의 내면에 막을 형성하는 막형성 처리를 행하는 제5 공정
    을 포함하고,
    상기 제4 공정은, 상기 막형성 처리에서의 상기 표면의 온도와 상기 트렌치의 내면에 퇴적되는 막의 막두께의 대응을 나타내는 미리 취득된 대응 데이터를 이용하여, 상기 막의 형성에 의해 상기 편차를 저감하도록 상기 표면의 온도를 조절하고,
    상기 막형성 처리는,
    상기 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 제1 가스를 공급하는 제6 공정과,
    상기 제6 공정의 실행후에, 상기 처리 용기 내의 공간을 퍼지하는 제7 공정과,
    상기 제7 공정의 실행후에, 상기 처리 용기 내에서 제2 가스의 플라즈마를 생성하는 제8 공정과,
    상기 제8 공정의 실행후에, 상기 처리 용기 내의 공간을 퍼지하는 제9 공정
    을 포함하는 제1 시퀀스를 반복 실행하고,
    상기 에칭 처리는,
    상기 처리 용기 내에 제3 가스의 플라즈마를 생성하고 상기 제3 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층을 상기 트렌치의 내면의 원자층에 등방적으로 형성하는 제10 공정과,
    상기 제10 공정의 실행후에, 상기 처리 용기 내의 공간을 퍼지하는 제11 공정과,
    상기 제11 공정의 실행후에, 상기 처리 용기 내에서 제4 가스의 플라즈마를 생성하고 상기 제4 가스의 플라즈마에 포함되는 라디칼에 의해 상기 혼합층을 제거하는 제12 공정과,
    상기 제12 공정의 실행후에, 상기 처리 용기 내의 공간을 퍼지하는 제13 공정
    을 포함하는 제2 시퀀스를 반복 실행하여 상기 막을 원자층마다 제거함으로써 상기 막을 등방적으로 에칭하는 것인, 피처리체를 처리하는 방법.
  2. 제1항에 있어서, 상기 기본 공정은, 상기 제2 공정의 실행후 및 상기 제3 공정의 실행후에 상기 제1 공정으로 되돌아가는 것인, 피처리체를 처리하는 방법.
  3. 제1항 또는 제2항에 있어서, 상기 기본 공정은 반복 실행되고,
    상기 기준 범위는, 상기 기본 공정의 실행이 반복될 때마다 단계적으로 좁아지는 것인, 피처리체를 처리하는 방법.
  4. 제1항 또는 제2항에 있어서, 상기 제1 가스는 모노아미노실란을 포함하는 것인, 피처리체를 처리하는 방법.
  5. 제1항 또는 제2항에 있어서, 상기 제1 가스의 아미노실란계 가스는, 1∼3개의 규소 원자를 갖는 아미노실란을 포함하는 것인, 피처리체를 처리하는 방법.
  6. 제1항 또는 제2항에 있어서, 상기 제1 가스의 아미노실란계 가스는, 1∼3개의 아미노기를 갖는 아미노실란을 포함하는 것인, 피처리체를 처리하는 방법.
  7. 제1항 또는 제2항에 있어서, 상기 제4 가스는 NF3 가스 및 O2 가스를 포함하는 혼합 가스인 것인, 피처리체를 처리하는 방법.
  8. 제1항 또는 제2항에 있어서, 상기 제4 가스는, NF3 가스, O2 가스, H2 가스 및 Ar 가스를 포함하는 혼합 가스인 것인, 피처리체를 처리하는 방법.
  9. 제1항 또는 제2항에 있어서, 상기 제4 가스는, CH3F 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스인 것인, 피처리체를 처리하는 방법.
  10. 제1항에 있어서,
    상기 막은 실리콘을 포함하고,
    상기 제1 가스는 아미노실란계 가스를 포함하고,
    상기 제2 가스는 산소 원자를 함유하는 가스를 포함하고,
    상기 제3 가스는 질소를 포함하고,
    상기 제4 가스는 불소를 포함하고,
    상기 제12 공정에서 생성되는 상기 제4 가스의 플라즈마는, 실리콘의 질화물을 포함하는 상기 혼합층을 제거하는 상기 라디칼을 포함하고,
    상기 제6 공정은, 상기 제1 가스의 플라즈마를 생성하지 않는 것인, 피처리체를 처리하는 방법.
  11. 피처리체를 처리하는 방법으로서,
    표면 상에 분할된 복수의 영역을 가진 피처리체를 제공하는 단계;
    상기 피처리체의 표면 상의 상기 분할된 복수의 영역 각각에서 트렌치폭을 측정하는 단계;
    트렌치를 포함하는 상기 피처리체를 처리 용기 내에 배치하는 단계; 및
    상기 측정 단계에서 측정된 트렌치폭에 기초하여, 상기 처리 용기 내에서 ALD(atomic layer deposition) 또는 ALE(atomic layer etching)를 선택적으로 수행하여 상기 트렌치폭을 조정하는 단계
    를 포함하고,
    상기 ALD를 수행하는 것은 상기 피처리체 상의 2 이상의 영역의 온도를 조정하는 것을 포함하는 것인, 피처리체를 처리하는 방법.
  12. 제11항에 있어서,
    상기 피처리체의 표면의 온도와 상기 트렌치의 내면에 퇴적되는 막의 막두께 사이의 대응을 나타내는 데이터를 이용하여, 상기 막의 형성에 의해 야기된 편차를 저감하도록 상기 피처리체의 표면의 온도를 조절하는 것인, 피처리체를 처리하는 방법.
  13. 제11항에 있어서,
    상기 ALD 및 상기 ALE를 반복하는 단계를 더 포함하는, 피처리체를 처리하는 방법.
  14. 플라즈마 처리 시스템에 있어서,
    배치대를 포함하고, 표면 상에 분할된 복수의 영역을 갖는 피처리체를 수용하도록 구성된 처리 용기를 포함하는 플라즈마 처리 장치;
    상기 피처리체의 트렌치폭을 측정하도록 구성된 광학 관찰 장치; 및
    상기 플라즈마 처리 장치의 전체 동작을 제어하도록 구성된 제어부
    를 포함하고,
    상기 제어부는,
    상기 광학 관찰 장치로 하여금, 상기 피처리체의 표면의 복수의 영역 각각에서 트렌치폭을 측정하게 하고,
    상기 배치대로 하여금, 상기 처리 용기 내에 상기 피처리체를 배치하게 하고,
    상기 플라즈마 처리 장치로 하여금, ALD 또는 ALE를 선택적으로 수행하게 하고, 상기 측정된 트렌치폭에 기초하여 상기 트렌치폭을 조정하게 하도록
    구성되고,
    상기 ALD에 의한 막형성은 상기 피처리체의 표면의 상기 복수의 영역 각각에서의 상기 측정된 폭에 기초하여 상기 피처리체 상의 2 이상의 영역의 온도를 조정하는 것을 포함하는 것인, 플라즈마 처리 시스템.
KR1020180098685A 2017-08-25 2018-08-23 피처리체를 처리하는 방법 KR102632154B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017162602A JP6817168B2 (ja) 2017-08-25 2017-08-25 被処理体を処理する方法
JPJP-P-2017-162602 2017-08-25

Publications (2)

Publication Number Publication Date
KR20190022394A true KR20190022394A (ko) 2019-03-06
KR102632154B1 KR102632154B1 (ko) 2024-01-31

Family

ID=65437918

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180098685A KR102632154B1 (ko) 2017-08-25 2018-08-23 피처리체를 처리하는 방법

Country Status (5)

Country Link
US (3) US10748766B2 (ko)
JP (1) JP6817168B2 (ko)
KR (1) KR102632154B1 (ko)
CN (2) CN109427607B (ko)
TW (2) TW201921432A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10950428B1 (en) * 2019-08-30 2021-03-16 Mattson Technology, Inc. Method for processing a workpiece

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004080033A (ja) 2002-08-09 2004-03-11 Samsung Electronics Co Ltd シリコン酸化膜を利用した微細パターン形成方法
JP2007035777A (ja) * 2005-07-25 2007-02-08 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体製造装置
KR20080106984A (ko) * 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
US20170033178A1 (en) * 2015-07-30 2017-02-02 GlobalFoundries, Inc. Integrated circuits and methods for their fabrication

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3267199B2 (ja) * 1996-07-11 2002-03-18 株式会社デンソー 半導体装置の製造方法
US6620741B1 (en) * 2002-06-10 2003-09-16 Intel Corporation Method for controlling etch bias of carbon doped oxide films
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
JP2005064324A (ja) * 2003-08-18 2005-03-10 Konica Minolta Holdings Inc 微細形状の加工方法及び光学素子
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP4722725B2 (ja) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 処理方法およびプラズマエッチング方法
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4790649B2 (ja) * 2007-03-16 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20130187159A1 (en) * 2012-01-23 2013-07-25 Infineon Technologies Ag Integrated circuit and method of forming an integrated circuit
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9768220B2 (en) * 2014-04-15 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation structure for image sensors
JP2016031962A (ja) * 2014-07-28 2016-03-07 株式会社東芝 半導体装置および半導体装置の製造方法
JP2016058590A (ja) * 2014-09-11 2016-04-21 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR102442309B1 (ko) * 2015-07-09 2022-09-13 삼성전자주식회사 소자 분리 구조의 형성 방법
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004080033A (ja) 2002-08-09 2004-03-11 Samsung Electronics Co Ltd シリコン酸化膜を利用した微細パターン形成方法
JP2007035777A (ja) * 2005-07-25 2007-02-08 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体製造装置
KR20080106984A (ko) * 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
US20170033178A1 (en) * 2015-07-30 2017-02-02 GlobalFoundries, Inc. Integrated circuits and methods for their fabrication

Also Published As

Publication number Publication date
JP2019041021A (ja) 2019-03-14
TW202220030A (zh) 2022-05-16
CN109427607B (zh) 2022-12-23
KR102632154B1 (ko) 2024-01-31
CN109427607A (zh) 2019-03-05
US10748766B2 (en) 2020-08-18
US11735423B2 (en) 2023-08-22
JP6817168B2 (ja) 2021-01-20
US11322354B2 (en) 2022-05-03
US20190067009A1 (en) 2019-02-28
TW201921432A (zh) 2019-06-01
US20220254635A1 (en) 2022-08-11
TWI801113B (zh) 2023-05-01
CN115732351A (zh) 2023-03-03
US20200343091A1 (en) 2020-10-29

Similar Documents

Publication Publication Date Title
US20210140044A1 (en) Film forming method and film forming apparatus
KR102436174B1 (ko) 피처리체를 처리하는 방법
CN108878285B (zh) 蚀刻方法
CN109427561B (zh) 处理被处理体的方法
KR20180025202A (ko) 피처리체를 처리하는 방법
US11735423B2 (en) Workpiece processing method
US10676823B2 (en) Processing method and processing apparatus
US20210327719A1 (en) Method for processing workpiece
TWI757483B (zh) 蝕刻方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant