KR20090008799A - 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 - Google Patents

박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 Download PDF

Info

Publication number
KR20090008799A
KR20090008799A KR1020070072052A KR20070072052A KR20090008799A KR 20090008799 A KR20090008799 A KR 20090008799A KR 1020070072052 A KR1020070072052 A KR 1020070072052A KR 20070072052 A KR20070072052 A KR 20070072052A KR 20090008799 A KR20090008799 A KR 20090008799A
Authority
KR
South Korea
Prior art keywords
raw material
gas
gas injection
thin film
material gas
Prior art date
Application number
KR1020070072052A
Other languages
English (en)
Other versions
KR100905278B1 (ko
Inventor
박상준
한창희
이호영
정성회
Original Assignee
주식회사 아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아이피에스 filed Critical 주식회사 아이피에스
Priority to KR1020070072052A priority Critical patent/KR100905278B1/ko
Priority to PCT/KR2008/004131 priority patent/WO2009011532A2/en
Priority to CN2008800250457A priority patent/CN101809711B/zh
Priority to US12/669,498 priority patent/US20100190341A1/en
Priority to TW097127208A priority patent/TWI493654B/zh
Publication of KR20090008799A publication Critical patent/KR20090008799A/ko
Application granted granted Critical
Publication of KR100905278B1 publication Critical patent/KR100905278B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법이 개시된다. 본 발명에 따른 박막증착장치는 반응기 내부의 동일 공간에 배치된 복수의 기판을 회전시킴으로 인해 함께 공급된 복수의 원료가스 및 식각가스에 시간 간격을 두고 노출시키게 되어 박막이 증착되면서 증착된 박막 일부가 식각되도록 한다. 본 발명에 따른 박막증착방법은 복수의 기판 안착부를 구비하며 반응기 내부에 회전가능하게 설치된 기판 지지부 상에 복수의 기판을 안착시킨다. 그리고 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 복수의 기판이 순차적으로 노출되도록 기판 지지부를 회전시킨다. 그리고 제1원료가스, 제2원료가스, 퍼지가스 및 식각가스를 각 가스 분사블록을 통해 함께 기판 지지부 상으로 공급하여 박막을 증착한다. 본 발명에 따르면, 밸브의 빈번한 작동이 없어서 생산성이 우수하고, 기판에 대하여 박막 증착과 식각을 동시에 또는 교번적으로 진행할 수 있어서 갭-필 능력이 우수한 박막을 증착할 수 있다.

Description

박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법{Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same}
도 1은 종래의 기판 홀더가 회전하는 박막증착장치의 개략적인 구성을 나타내는 도면,
도 2는 본 발명에 따른 박막증착장치의 바람직한 일 실시예를 나타내는 도면,
도 3은 본 발명에 따른 박막증착장치의 기판 지지부의 바람직한 일 실시예를 나타내는 도면으로서, 도 2의 Ⅲ-Ⅲ선 단면도,
도 4a 및 도 4b는 본 발명에 따른 박막증착장치의 가스 분사부에 대한 바람직한 실시예를 각각 나타내는 도면들로서, 도 2의 Ⅳ-Ⅳ선 단면도,
도 5는 본 발명에 따른 박막증착장치에 구비된 가스 분사부에 배치되는 가스 분사유니트에 대한 개략적인 구성을 나타내는 도면으로서, 도 4a의 Ⅴ-Ⅴ선 단면도,
도 6는 본 발명에 따른 박막증착방법의 바람직한 일 실시예의 수행과정을 나타내는 흐름도,
도 7은 본 발명에 따른 박막증착방법의 바람직한 다른 실시예의 수행과정을 나타내는 흐름도,
도 8 내지 도 10은 본 발명에 따른 박막증착방법의 제1원료가스, 제2원료가스, 식각가스 및 퍼지가스의 시간에 대한 유량을 나타내는 도면들,
도 11은 본 발명에 따른 박막증착방법에 의해 박막의 증착과정과 식각과정이 교번적으로 이루어져 박막을 형성하는 개략적인 과정을 나타내는 도면,
도 12는 트렌치가 형성된 기판을 나타내는 도면,
도 13은 본 발명에 따른 박막증착방법을 이용하여 기판 상에 형성된 트렌치 내부에 산화막이 증착되는 과정을 개략적으로 나타내는 도면,
도 14는 본 발명에 따른 박막증착방법을 이용하여 트렌치 내부에 증착된 산화막 상에 추가 산화막이 증착되는 과정을 개략적으로 나타내는 도면,
도 15는 본 발명에 따른 박막증착방법을 이용한 반도체 소자의 갭-필 방법의 바람직한 일 실시예를 설명하기 위한 도면,
도 16은 본 발명에 따른 박막증착방법을 이용하여 트렌치를 갭-필함에 있어서, 식각가스 공급 전을 나타내는 도면,
도 17은 본 발명에 따른 박막증착방법을 이용하여 트렌치를 갭-필함에 있어서, 식각가스 공급 후를 나타내는 도면, 그리고
도 18은 본 발명에 따른 박막증착방법을 이용한 반도체 소자의 갭-필 방법의 바람직한 일 실시예의 수행과정을 나타내는 흐름도이다.
<도면의 주요 부분에 대한 부호의 설명>
100: 박막증착장치 110: 반응기 111: 바닥부
112: 외측부 113: 상측 플레이트 120: 기판 지지부
121: 서셉터 122: 기판 안착부 123: 샤프트
130: 가스 분사부 131: 상부 플레이트 140: 플라즈마 발생부
170: 플라즈마 발생기 150: 가스 분사유니트
150a: 제1원료가스 분사유니트 150b: 제2원료가스 분사유니트
150c: 식각가스 분사유니트 150d: 퍼지가스 분사유니트
155: 중앙퍼지가스 분사유니트 160: 박막증착공간
180a: 제1원료가스 분사블록 180b: 제2원료가스 분사블록
180c: 식각가스 분사블록 180d, 180e, 180f: 퍼지가스 분사블록
210: 본체 211: 리드 플레이트 212: 외곽부
220: 가스분사 플레이트 230: 가스확산공간 250: 분사공
240: 가스 공급구 700: 트렌치 710: 실리콘 기판
720: 패드 산화막 730: 질화막 740: 산화막
750: 추가 산화막
본 발명은 박막증착장치, 박막증착방법 및 갭-필(gap-fill) 방법에 관한 것으로서, 보다 상세하게는, 갭-필 공정을 위한 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법에 관한 것이다.
반도체 소자 제조공정은 통상 반도체 기판 상에 모스 트랜지스터를 형성하는 공정으로부터 시작된다. 이러한 모스 트랜지스터의 소자분리를 위해 트렌치 소자분리 공정(shallow trench isolation, STI)이 이용되고 있다. 종래의 STI 공정에서는 트렌치 매립 산화막으로 화학기상증착 (chemical vapor deposition, CVD) 산화막을 주로 사용하였다. 그러나 이러한 CVD 산화막으로는 큰 종횡비(aspect ratio)를 가지는 좁은 패턴의 갭-필을 이루는 데 한계가 있었다.
최근에는 이러한 갭-필 문제를 해결하기 위하여 사일렌(silane, SiH4) 등의 가스를 사용하는 HDP(high density plasma)-CVD와 테오스(tetra ethyl ortho silicate, TEOS) 같은 액체를 기화시켜 반응시키는 SA(sub-atmospheric)-CVD 방식이 널리 사용되고 있다.
HDP-CVD, 즉 증착과 에칭을 반복하는 방식의 화학기상증착은 높은 생산성으로 많은 소자 제조업체에서 사용하고 있으나, 높은 갭-필 능력을 위하여 낮은 증착속도와 높은 에칭 속도가 필요하여 하부막의 에칭이 문제가 된다. 이를 해결하기 위해 넓은 허용범위를 가진 공정 조건을 구성하더라도, 대량 생산시에 반응기 상태의 변화 등으로 하부막의 에칭이 발생할 수 있다. O3-TEOS 반응을 이용하는 SA-CVD는 열적 화학기상증착(thermal CVD) 방식으로 플라즈마에 의한 기판의 손상이 없고 널리 사용되는 O3와 TEOS를 사용하는 장점이 있지만, 낮은 증착속도가 문제가 된다. 그리고 O3-TEOS 산화막, HDP-CVD 산화막을 사용하더라도 트렌치의 깊이가 0.25㎛ 정도이고 폭이 0.1㎛ 이하인 기가 DRAM급 소자에서는 트렌치 내에 공극(void)이 형성 될 가능성이 매우 높은 것으로 보고되고 있다.
이러한 문제점을 해결하기 위하여, 원자층 박막증착(atomic layer deposition, ALD) 방법이 도입되고 있다. 일반적으로 원자층 박막증착방법은 기판에 각각의 원료가스들을 분리 공급하여 원료가스들의 표면 포화에 의해 박막이 형성되도록 하는 방법이다.
그러나 이러한 원자층 박막증착방법은 원료가스의 종류가 증가하게 될 경우 원료가스를 반응기 안으로 공급하기 위하여 복잡한 가스 공급 라인과 이를 조절하기 위한 다수의 밸브를 설치해야 하므로 비용의 증가와 설치공간 확보의 문제가 발생하게 된다. 그리고 원료가스의 공급을 조절하기 위한 하드웨어와 소프트웨어의 용량이 증가해야 한다. 또한 반응기 내로 공급되는 각각의 원료가스의 양과 퍼지(purge)가스의 양이 달라 반응기 내의 압력이 수시로 변화하여 공정의 안정성이 문제가 될 수 있다.
결국 밸브의 복잡성과 빈번한 밸브의 작동은 밸브의 수명을 단축시키는 것과 함께 장비의 유지보수 비용을 증가시키며, 장비보수에 따른 장비의 셧다운(shut down) 시간이 증가하게 되어 생산성이 떨어지게 된다.
이와 같은 기존의 문제점을 극복하기 위하여, 미국특허 제5,730,802호에서는 반응기를 격벽으로 분리하고, 격벽으로 구획된 공간에 제1원료가스, 제2원료가스 및 분리용가스를 각각 공급하는 가스 분사장치가 있고, 기판 홀더가 회전함으로써 원자층 형성과정이 이루어지는 증착장치와 증착방법을 개시하고 있다.
상기 특허발명에 따른 박막증착장치의 개략적인 구성을 나타내는 도면이 도 1에 도시되어 있다. 도 1을 참조하면, 박막증착장치(1)는 반응기(10), 반응기(10) 내부에 위치하며 회전 가능하도록 설계된 기판 홀더(20), 원료가스 공급구(30, 40), 분리용가스 공급구(50) 및 원료가스의 혼합을 막기 위한 격벽(60)으로 구성되어 있다. 기판 홀더(20)의 회전에 의하여 원료가스 공급구(30, 40)를 통해 공급되는 원료가스와 분리용가스 공급구(50)를 통해 공급되는 분리용가스가 기판(W)의 상방에 시간차를 두고 교대로 공급되어 원자층 증착이 이루어진다.
반도체 제조기술의 발달에 따른 소자의 고집적화에 의해, 회로상의 배선은 점차 미세한 선폭으로 형성되며, 그 배선의 간격 또한 미세화되는 추세에 따라 점점 더 큰 종횡비를 갖는 트렌치를 완전하게 채우는 갭-필 공정이 요구된다. 그러나 상술한 바와 같이 구성된 박막증착장치(1)가 기본적으로 원자층 박막증착을 가능하게 하지만 종횡비가 아주 큰 트렌치를 갭-필하는 경우에는 갭-필에 한계를 보이게 된다.
본 발명이 이루고자 하는 기술적 과제는, 단순한 공정으로 갭-필 능력이 우수한 박막을 증착할 수 있는 박막증착장치를 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는, 갭-필 능력이 우수한 박막증착방법을 제공하는 데 있다.
본 발명이 이루고자 하는 또 다른 기술적 과제는, 갭-필 능력이 우수한 반도체 소자의 갭-필 방법을 제공하는 데 있다.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 박막증착장치는 반응기 내부의 동일 공간에 배치된 복수의 기판을 회전시킴으로 인해 함께 공급된 복수의 원료가스 및 식각가스에 시간 간격을 두고 노출시키게 되어 박막이 증착되면서 증착된 박막 일부가 식각되도록 한다.
본 발명에 따른 박막증착장치에 있어서, 상기 박막증착장치는, 상기 기판들을 안착시키는 복수의 기판 안착부를 구비하며, 상기 반응기 내부에 회전 가능하게 설치되는 기판 지지부; 및 상기 반응기 내부의 상기 기판 지지부의 상부에 위치하여 상기 기판 지지부 상으로 가스를 분사하며, 방사형으로 배치된 복수의 가스 분사유니트를 구비하는 가스 분사부;를 포함하고, 상기 복수의 가스 분사유니트에는 제1원료가스를 상기 기판 지지부 상으로 공급하는 제1원료가스 분사유니트, 상기 제1원료가스와 다른 제2원료가스를 상기 기판 지지부 상으로 공급하는 제2원료가스 분사유니트, 상기 제1원료가스와 상기 제2원료가스를 퍼지하는 퍼지가스를 상기 기판 지지부 상으로 공급하는 퍼지가스 분사유니트 및 상기 제1원료가스와 상기 제2원료가스에 의해 증착된 박막을 식각하는 식각가스를 상기 기판 지지부 상으로 공급하는 식각가스 분사유니트 각각이 적어도 하나 포함될 수 있다.
상기의 다른 기술적 과제를 달성하기 위한, 본 발명에 따른 박막증착방법은 (a1) 복수의 기판 안착부를 구비하며 반응기 내부에 회전가능하게 설치된 기판 지지부 상에 복수의 기판을 안착시키는 단계; (a2) 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 상기 복수의 기판이 순차적으로 노출되도 록 상기 기판 지지부를 회전시키는 단계; 및 (a3) 제1원료가스, 제2원료가스, 퍼지가스 및 식각가스를 상기 각 가스 분사블록을 통해 함께 상기 기판 지지부 상으로 공급하여 박막을 증착하는 단계;를 갖는다.
상기의 다른 기술적 과제를 달성하기 위한, 본 발명에 따른 다른 박막증착방법은 (b1) 복수의 기판 안착부를 구비하며 반응기 내부에 회전가능하게 설치된 기판 지지부 상에 복수의 기판을 안착시키는 단계; (b2) 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 상기 복수의 기판이 순차적으로 노출되도록 상기 기판 지지부를 회전시키는 단계; (b3) 제1원료가스, 제2원료가스 및 퍼지가스를 상기 제1원료가스 분사블록, 상기 제2원료가스 분사블록 및 상기 퍼지가스 분사블록을 통해 함께 기판 지지부 상으로 공급하여 박막을 증착하는 단계; (b4) 소정 두께의 박막을 증착한 후에 상기 제1원료가스와 상기 제2원료가스의 공급을 중단하고, 식각가스를 상기 식각가스 분사블록을 통해 공급하여 상기 증착된 박막을 식각하는 단계; (b5) 소정의 시간이 경과한 후에 상기 식각가스의 공급을 중단하고 상기 제1원료가스와 상기 제2원료가스를 상기 제1원료가스 분사블록과 상기 제2원료가스 분사블록을 통해 함께 공급하여 박막을 증착하는 단계; 및 (b6) 상기 (b4)단계 및 상기 (b5)단계를 순차적으로 1회 이상 반복하는 단계;를 갖는다.
상기의 또 다른 기술적 과제를 달성하기 위한, 본 발명에 따른 반도체 소자의 갭-필(gap-fill) 방법은 기판 상에 형성된 트렌치(trench) 또는 갭(gap)의 내부를, 상기의 박막증착방법을 이용하여 박막 증착함으로써 갭-필하는 방법으로서, 상 기 제1원료가스로 산화막 또는 질화막 형성 소스, 상기 제2원료가스로 산소를 포함하는 가스 또는 질소를 포함하는 가스 및 상기 식각가스로 산화막 또는 질화막 식각가스를 공급하여 증착 또는 식각을 동시에 또는 교번적으로 진행하여 상기 기판 상에 형성된 트렌치 또는 갭의 내부에 산화막 또는 질화막을 형성하는 단계를 갖는다.
상기의 또 다른 기술적 과제를 달성하기 위한, 본 발명에 따른 다른 반도체 소자의 갭-필 방법은 기판 상에 형성된 콘택홀(contact hole) 또는 비아(via)의 내부를, 상기의 박막증착방법을 이용하여 박막 증착함으로써 갭-필하는 방법으로서, 상기 제1원료가스로 금속 원료가스, 상기 제2원료가스로 반응가스 및 상기 식각가스로 금속막 또는 금속 질화막 식각가스를 공급하여 증착 또는 식각을 동시에 또는 교번적으로 진행하여 상기 기판 상에 형성된 콘택홀 또는 비아의 내부에 금속막 또는 금속 질화막을 형성하는 단계를 갖는다.
본 발명에 의하면, 기판에 대하여 박막 증착과 식각을 동시에 또는 교번적으로 진행할 수 있게 되어 갭-필 능력이 우수한 박막을 증착할 수 있다. 그리고 상기 박막증착장치는 원자층 박막증착 진행시 밸브의 빈번한 작동이 필요 없고, 원료가스의 낭비를 줄일 수 있어 생산성이 우수하다.
이하에서 첨부된 도면들을 참조하여 본 발명에 따른 박막증착장치 및 박막증착방법, 그리고 반도체 소자의 갭-필 방법의 바람직한 실시예에 대해 상세하게 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예는 본 발명의 개시가 완전하도 록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.
도 2는 본 발명에 따른 박막증착장치의 바람직한 실시예들을 나타내는 도면, 도 3은 도 2의 Ⅲ-Ⅲ선 단면도, 도 4a 및 도 4b는 각각 도 2의 Ⅳ-Ⅳ선 단면도들, 그리고, 도 5는 도 4a의 Ⅴ-Ⅴ선 단면도이다.
도 2 내지 도 5를 참조하면, 본 발명에 따른 박막증착장치(100)는 반응기(110), 기판 지지부(120), 가스 분사부(130) 및 플라즈마 발생부(140)를 구비한다.
반응기(110)는 바닥부(111), 외측부(112) 및 상측 플레이트(113)를 구비한다. 바닥부(111)는 원판의 형상으로 이루어져 있고, 외측부(112)는 바닥부(111)의 가장자리로부터 상방으로 수직하게 연장되어 형성된 폐곡면 형상으로 이루어져 있다. 그리고 외측부(112)에는 기판(W)이 출입하는 기판(W) 이송통로(도면 미도시)가 형성되어 있다. 상측 플레이트(113)는 원판 형상으로 이루어져 있고, 외측부(112)의 상면에 분리 가능하게 결합되어 있다. 상측 플레이트(113)가 외측부(112)의 상면에 결합되면 반응기(110) 내부에 일정한 공간이 형성된다. 상측 플레이트(113)의 하면과 외측부(112)의 상면 사이에는 오링(O-ring) 등과 같은 밀폐부재가 개재되어 위 공간을 밀폐시킨다. 그리고 반응기(110) 내부에 잔존하는 불필요 가스 및 파티클을 배출하기 위한 배기구(도면 미도시)가 바닥부(111) 또는 외측부(112)에 마련되어 있다.
반응기(110)의 내부에는 기판 지지부(120)의 상방으로 기판 지지부(120)와 가스 분사부(130)의 사이에 박막증착공간(160)이 형성된다. 이 박막증착공간(160)에서 제1원료가스와 제2원료가스에 의해 박막이 증착되고 식각가스에 의해 박막이 식각되는 과정을 통해 기판(W) 상에 박막이 형성된다.
기판 지지부(120)는 반응기(110) 내부에 설치되며, 서셉터(121), 기판 안착부(122), 샤프트(123) 및 히터(도면 미도시)를 구비한다.
서셉터(121)는 원판의 형상으로 반응기(110) 내부에 회전 가능하게 배치되어 있다. 그리고 서셉터(121)에는 후술할 기판 안착부(122)가 6개 형성되어 있다. 도 3에 도시된 바와 같이 기판 안착부(122)들은 기판 지지부(120) 상면의 둘레방향을 따라 배치되며, 각 기판 안착부(122)에는 기판(W)이 안착된다. 각 기판 안착부(122)에는 상하방향으로 승강되는 리프트 핀(도면 미도시)이 설치되어 있다.
샤프트(123)는 양단부 중 일단부는 서셉터(121)의 하면과 결합되어 있고, 타단부는 반응기(110)를 관통하여 회전 구동수단과 연결되어 있다. 따라서 샤프트(123)가 회전함에 따라 서셉터(121)가 도 2에 가상선으로 도시된 회전 중심축(A)을 중심으로 회전하게 된다. 또한 샤프트(123)는 서셉터(121)가 승강이 가능하도록 하는 승강 구동수단과 연결되어 있다. 회전 및 승강 구동수단에는 모터, 기어 등이 있다. 히터(도면 미도시)는 서셉터(121) 아래에 매설되어 기판(W)의 온도를 조절한다.
가스 분사부(130)는 기판 지지부(120)의 상방에 설치된 반응기(110)의 상측 플레이트(113)에 결합되며, 가스 분사유니트(150)와 가스 분사유니트(150)가 결합되는 상부 플레이트(131)를 구비한다. 가스 분사유니트(150)는 공급되는 가스의 종 류에 따라, 제1원료가스 분사유니트(150a), 제2원료가스 분사유니트(150b), 식각가스 분사유니트(150c) 및 퍼지가스 분사유니트(150d)로 구분된다. 제1원료가스 분사유니트(150a)는 예컨대, 사일렌(SiH4)과 같은 제1원료가스를 기판 지지부(120) 상으로 공급하고, 제2원료가스 분사유니트(150b)는 예컨대, 산소(O2)와 같은 제2원료가스를 기판 지지부(120) 상으로 공급한다. 식각가스 분사유니트(150c)는 예컨대, CF4와 같은 식각가스를 기판 지지부(120) 상으로 공급하고, 퍼지가스 분사유니트(150d)는 제1원료가스, 제2원료가스 및 식각가스를 퍼지하는 퍼지가스를 기판 지지부(120) 상으로 공급한다.
여기서, 퍼지가스를 공급하는 목적은 가스 분사부(130)을 통해 공급된 제1원료가스, 제2원료가스 및 식각가스가 기판 상에서 혼합되는 것을 방지하기 위함인데 기판 지지부(120)의 중앙부분을 통해 제1원료가스, 제2원료가스 및 식각가스가 혼합될 가능성이 존재한다. 따라서 제1원료가스, 제2원료가스 및 식각가스가 기판 지지부(120)의 중앙부분에서 혼합되는 것을 방지하기 위한 수단이 필요하다.
이를 위한 바람직한 실시예로는 도 2, 도 4a 및 도 4b에 도시된 바와 같이, 가스 분사부(130)의 중앙부에는 제1원료가스, 제2원료가스 및 식각가스를 퍼지하는 퍼지가스를 기판 지지부(120) 상으로 공급하는 중앙퍼지가스 분사유니트(155)가 설치된다. 중앙퍼지가스 분사유니트(155)에서 공급되는 퍼지가스로 인해 제1원료가스, 제2원료가스 및 식각가스가 기판 지지부(120)의 중앙부분에서 혼합되는 것이 방지된다.
그리고 본 발명에 따른 박막증착장치(100)의 가스 분사부(130)의 바람직한 일 실시예로서 도 2의 Ⅳ-Ⅳ선 단면도를 도 4a에 나타내었다. 도 4a에 도시된 바와 같이 가스 분사부(130)의 중앙부에 중앙퍼지가스 분사유니트(155)가 배치되고, 제1원료가스 분사유니트(150a), 제2원료가스 분사유니트(150b), 식각가스 분사유니트(150c) 및 퍼지가스 분사유니트(150d)는 중앙퍼지가스 분사유니트(155)를 중심으로 방사형으로 배치된다.
도 4a에 도시된 10개의 가스 분사유니트(150)들은 제1원료가스 분사유니트(150a)가 1개, 제2원료가스 분사유니트(150b)가 4개, 식각가스 분사유니트(150c)가 1개 및 퍼지가스 분사유니트(150d)가 4개로 구성된다. 제1원료가스 분사유니트(150a)는 1개가 하나의 제1원료가스 분사블록(180a)을, 제2원료가스 분사유니트(150b)는 4개가 서로 인접하여 하나의 제2원료가스 분사블록(180b)을, 그리고, 식각가스 분사유니트(150c)는 1개가 하나의 식각가스 분사블록(180c)을 형성한다. 그리고 퍼지가스 분사유니트(150d) 4개 중 제1원료가스 분사블록(180a)과 제2원료가스 분사블록(180b) 사이에 존재하는 2개의 퍼지가스 분사유니트(150d)는 서로 인접하여 하나의 퍼지가스 분사블록(180d)을 형성한다. 그리고 제2원료가스 분사블록(180b)과 식각가스 분사블록(180c) 사이에 존재하는 1개의 퍼지가스 분사유니트(150d)가 하나의 퍼지가스 분사블록(180e)을 형성하고, 제1원료가스 분사블록(180a)과 식각가스 분사블록(180c) 사이에 존재하는 나머지 1개의 퍼지가스 분사유니트(150d)가 하나의 퍼지가스 분사블록(180f)을 형성한다. 결국 총 3개의 퍼지가스 분사블록(180d, 180e, 180f)이 형성된다. 즉 도 4a에 도시된 가스 분사 부(130)에는 제1원료가스 분사블록(180a), 제2원료가스 분사블록(180b) 및 식각가스 분사블록(180c)이 각 1개씩 형성되고, 퍼지가스 분사블록(180d, 180e, 180f)은 3개가 형성된다.
이와 같은 구성을 가진 가스 분사부(130) 아래로 기판(W)이 안착된 기판 지지부(120)가 회전하게 되면 한 사이클 당 1번의 증착과정과 1번의 식각과정이 일어나게 된다. 증착과정은 회전되는 기판(W)이 제1원료가스, 퍼지가스, 제2원료가스 및 퍼지가스에 순차적으로 노출되는, 즉 제1원료가스, 퍼지가스, 제2원료가스 및 퍼지가스가 소정의 시간간격을 두고 기판(W) 상에 공급되므로 원자층 증착이 가능하게 된다. 그리고 식각가스 분사유니트(150c)가 배치된 가스 분사부(130) 아래로 기판(W)이 지나갈 때는 증착된 박막의 일부가 식각되며, 특히 두드러지게 증착된 부분이 우선 식각되어, 수십 사이클을 반복하게 될 때 형성된 박막의 단차 도포성(step coverage)이 우수하게 된다.
도 4a에 도시된 예는 제1원료가스의 포화시간에 비해 제2원료가스의 포화시간이 길고, 제1원료가스의 배기가 용이치 않은 경우에 바람직하다. 포화시간이 긴 제2원료가스는 4개의 제2원료가스 분사유니트(150b)가 그룹지어 형성된 제2원료가스 분사블록(180b)를 통해 공급된다. 즉, 포화시간이 긴 제2원료가스가 분사되는 영역의 면적을 넓게 하게 되면, 효율이 우수하게 된다. 그리고 제1원료가스의 배기가 용이치 않으므로, 기판 지지부(120)의 회전에 의해 제1원료가스의 분사 이후 기판 지지부(120) 상으로 공급될 퍼지가스를 분사하는 퍼지가스 분사유니트(150d) 2개를 그룹지어 하나의 퍼지가스 분사블록(180d)이 형성되도록 한다. 이와 같이 하 면 넓은 퍼지가스 분사면적을 확보할 수 있어서, 제1원료가스의 배기가 원활해지고 효율이 제고된다. 이와 같이 각 원료가스들의 포화시간 및 배기속도 등을 고려하여 가스 분사유니트(150)를 적절히 그룹지어 하나의 가스 분사블록을 형성한다면 기판 지지부(120)의 회전 속도를 변화시키거나 소정의 시간 동안 특정 가스의 공급을 중단하지 않더라도 원료가스의 낭비 없이 박막을 증착할 수 있다.
원자층 박막증착을 위해서는 제1원료가스, 제2원료가스 및 식각가스들이 기상에서 반응하지 않도록 가스의 혼합을 막아야 한다. 따라서 도 4a에 도시된 바와 같이 제1원료가스 분사블록(180a)과 제2원료가스 분사블록(180b) 사이에 퍼지가스 분사블록(180d)이, 제2원료가스 분사블록(180b)과 식각가스 분사블록(180c) 사이에 퍼지가스 분사블록(180e)이, 식각가스 분사블록(180c)과 제1원료가스 분사블록(180a) 사이에 퍼지가스 분사블록(180f)이 배치된다. 그러나 사이클릭 화학기상증착(cyclic CVD)방법으로 박막을 증착하는 경우에는 제1원료가스 분사블록(180a)과 제2원료가스 분사블록(180b) 사이에 위치하는 퍼지가스 분사블록(180d)에서는 퍼지가스가 공급되지 않을 수 있다.
한편 본 발명에 따른 박막증착장치(100)의 가스 분사부(130)는 도 4a의 경우와 같이 모든 가스 분사유니트(150)에서 가스가 분사되는 영역의 면적이 같게 구성될 수도 있으나 서로 다르게 구성될 수도 있다. 이와 같은 구성을 가진 가스 분사부(130)의 바람직한 다른 실시예를 도 4b에 나타내었다. 도 4b는 도 2의 Ⅳ-Ⅳ선 단면도에 대응된다.
도 4b에 도시된 8개의 가스 분사유니트(150)들은 1개의 제1원료가스 분사유 니트(150a), 1개의 제2원료가스 분사유니트(150b), 1개의 식각가스 분사유니트(150c) 및 5개의 퍼지가스 분사유니트(150d)로 구성된다. 1개의 제1원료가스 분사유니트(150a), 1개의 제2원료가스 분사유니트(150b) 및 1개의 식각가스 분사유니트(150c)는 각각 1개의 제1원료가스 분사블록(180a), 1개의 제2원료가스 분사블록(180b) 및 1개의 식각가스 분사블록(180c)을 각각 형성한다. 퍼지가스 분사유니트(150d) 5개 중 제1원료가스 분사블록(180a)과 제2원료가스 분사블록(180b) 사이에 존재하는 2개의 퍼지가스 분사유니트(150d)는 서로 인접하여 그룹지어 하나의 퍼지가스 분사블록(180d)을 형성한다. 그리고 제2원료가스 분사블록(180b)과 식각가스 분사블록(180c) 사이에 존재하는 1개의 퍼지가스 분사유니트(150d)가 하나의 퍼지가스 분사블록(180e)을 형성하고, 제1원료가스 분사블록(180a)과 식각가스 분사블록(180c) 사이에 존재하는 나머지 2개의 퍼지가스 분사유니트(150d)가 서로 인접하여 하나의 퍼지가스 분사블록(180f)을 형성한다. 결국 총 3개의 퍼지가스 분사블록(180d, 180e, 180f)이 형성된다.
이러한 예는 제2원료가스의 포화시간이 짧은 경우에 유용하다.
상기의 설명에서 원료가스가 제1원료가스 및 제2원료가스, 2개를 사용하여 박막을 증착하는 경우에 대해서 설명하였으나 원료가스의 종류가 3개 이상의 경우에도 제1원료가스 분사유니트, 제2원료가스 분사유니트, 제3원료가스 분사유니트와 같은 방식으로 가스 분사부(130)를 구성하는 것은 본 발명의 구성을 보고 당업자가 용이하게 창작할 수 있다.
그리고 가스 분사유니트(150)는 도 5에 도시된 바와 같이 샤워헤드 형태로 구성될 수 있다. 제1원료가스 분사유니트(150a), 제2원료가스 분사유니트(150b), 식각가스 분사유니트(150c) 및 퍼지가스 분사유니트(150d)는 공급되는 가스의 종류만 다를 뿐이며 그 중요한 기계적 구성은 동일하다. 도 5를 참조하면, 가스 분사유니트(150)는 본체(210)와 가스분사 플레이트(220)를 구비한다. 본체(210)는 부채꼴의 판형상으로 이루어진 리드 플레이트(211)와 이 리드 플레이트(211)의 주변부로부터 하방으로 연장형성된 외곽부(212)를 구비한다. 리드 플레이트(211)에는 가스가 내부로 유입될 수 있도록 상면과 하면 사이를 관통하는 가스 공급구(240)가 형성되어 있다.
가스분사 플레이트(220)는 부채꼴의 형상으로 외곽부(212)의 하면과 결합되며, 가스분사 플레이트(220)에는 가스가 하방으로 분사될 수 있도록 상면과 하면 사이를 관통하는 복수의 분사공(250)이 형성되어 있다. 가스 분사유니트(150) 내부에는 본체(210)의 리드 플레이트(211), 본체의 외곽부(212) 및 가스분사 플레이트(220)에 의해 둘러싸여 공급된 가스가 확산하는 가스 확산공간(230)이 형성되어 있다.
중앙퍼지가스 공급장치(155)의 구성은 가스분사 플레이트와 본체의 상부 플레이트가 원판의 형상으로 이루어진 점만 다를 뿐이고 그 외의 중요한 구성은 상술한 가스 분사유니트(150)와 동일하다.
가스 분사부(130)는 도 5에 도시된 구성으로 이루어진 복수의 가스 분사유니트(150)가 가스 분사부(130)의 상부 플레이트(131)에 결합된 형태의 실시예에 대해서 도시하고 설명하였으나, 이에 한정되는 것은 아니다. 예를 들어, 가스 분사 부(130)는 복수의 가스 공급구(240)가 형성되어 있고, 상술한 리드 플레이트(211)에 대응되는 원판 형상의 상부 플레이트(131)와 부채꼴 형상의 복수의 가스분사 플레이트(220)로 구성될 수 있다. 상부 플레이트(131)와 하나의 가스분사 플레이트(220)의 사이에는 상술한 바와 같은 하나의 가스 확산공간(230)이 형성되고, 복수의 가스분사 플레이트(220)에 대응되는 복수의 가스 확산공간(230)은 가스분사 플레이트(220) 및/또는 상부 플레이트(131)에 의해 격리된다. 그리고 부채꼴 형상의 가스분사 플레이트(220)에 대응되는 부분이 가스 분사유니트(150)에 해당된다.
플라즈마 발생부(140)는 식각가스를 플라즈마화하여 반응기(110) 내부에 공급한다. 식각가스 외에도 제1원료가스, 제2원료가스 또는 퍼지가스를 플라즈마화 하는 것이 필요한 경우에, 플라즈마 발생부(140)는 이를 위한 수단을 더 구비할 수 있다. 본 실시예에서는 플라즈마 발생수단으로 플라즈마 발생기(170)가 구비되어 있으며 이 플라즈마 발생기(170)는 반응기(110)의 외부에 설치되어 있는 리모트 플라즈마 발생기이다. 플라즈마 발생기(170)는 가스 분사부(130)와 접속되어 있으며, 공정시 고주파 전력(RF power)을 인가함으로써 가스를 플라즈마화시킨 후에 반응기(110) 내부에 공급한다.
도 2에 도시된 바와 같은 플라즈마 발생기(170)에 의해 가스를 플라즈마화하여 반응기(110) 내부에 공급하는 것 외에 가스 분사부(130)의 내부에서 플라즈마를 발생시켜 기판 지지부(120) 상에 공급할 수도 있다. 이 경우 가스 분사부(130) 내부 모두에서 플라즈마를 발생시켜 기판 지지부(120) 상에 공급하는 것도 가능하고, 가스 분사부(130) 내부의 일부분에만 플라즈마를 발생시켜 기판 지지부(120) 상에 공급하는 것도 가능하다. 또한 가스 분사부(130)나 기판 지지부(120)에 파워를 인가하여 가스 분사부(130)와 기판 지지부(120) 사이의 공간(본 실시예에서는 박막증착공간(160))에 플라즈마를 발생시킬 수도 있다. 이 경우 역시 가스 분사부(130)와 기판 지지부(120) 사이의 공간 전부에 플라즈마를 발생시킬 수도 있고, 가스 분사부(130)와 기판 지지부(120) 사이의 공간의 일부분에만 플라즈마를 발생시킬 수도 있다.
도 6은 본 발명에 따른 박막증착방법의 바람직한 일 실시예의 수행과정을 나타내는 흐름도이다. 참고적으로, 후술하는 박막증착방법들은 본 발명에 따른 박막증착장치(100)를 이용해서 구현하는 것으로 설명하지만, 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 복수의 기판이 순차적으로 노출되도록 기판 지지부를 회전하는 단계를 구현할 수만 있다면 다른 장치를 이용해도 된다. 예컨대, 도 2에 도시된 본 발명에 따른 박막증착장치(100)는 가스 분사블록(180)이 샤워헤드 타입으로 구성된 것이지만, 본 발명에 따른 박막증착방법들은 가스 인젝터를 여러 개 방사형으로 배치한 장치에 의해서도 구현될 수 있다.
도 2 및 도 6을 참조하면, 복수의 기판(W)을 반응기(110) 내부에 설치된 기판 지지부(120)의 기판 안착부(122)에 안착시킨다(S810). 다음으로 히터를 이용하여 기판(W)의 온도를 공정 온도로 조절한 후, 순차 방사형으로 배치된 제1원료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b), 퍼지가스 분사블록(180e), 식각가스 분사블록(180c) 및 퍼지가스 분사블록(180f)에 복수의 기판(W)이 순차적으로 노출되도록 기판 지지부(120)를 회전시킨다(S820). 플라즈마화시킨 식각가스만을 먼저 공급하여 기판(W) 상에 형성된 자연 산화막을 제거할 수 있다.
그리고 제1원료가스, 제2원료가스, 퍼지가스 및 식각가스를 각 가스 분사블록(180a 내지 180f)을 통해 함께 공급하여 박막을 형성한다(S830). 이와 같이 기판 지지부(120)를 회전시키면서 기판 지지부(120)의 기판 안착부(122)에 존재하는 기판(W)을 제1원료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b) 및 퍼지가스 분사블록(180e) 아래를 소정의 시간 차이를 두고 지나가게 하면 원자층 박막증착이 된다. 그리고 기판(W)이 식각가스 분사블록(180c) 아래를 지나갈 때에는 증착된 박막 중에서 일부가 식각된다. 이와 같은 방식으로 증착과 식각을 동시에 하여 박막을 형성하면 갭-필 능력이 우수한 박막을 증착할 수 있다.
다만, 한 사이클마다 식각이 필요하지 않을 수도 있으므로 소정의 시간 동안은 식각가스의 공급을 중단할 수 있다. 그리고 박막의 증착이 완료된 후에는 반응기(110) 내부에 박막이 증착되었을 수 있으므로, 일정 공정 후에는 반응기(110) 내부에 클리닝가스를 공급하여 인시튜(in-situ) 클리닝할 수 있다. 클리닝가스는 플라즈마화시킨 식각가스나 퍼지가스가 될 수 있다. 각 가스 분사블록(180a 내지 180f)을 샤워헤드 타입으로 구성하는 경우에는 유량의 제어가 쉽고 증착된 박막의 균일도를 우수하게 할 수 있다.
공급되는 원료가스의 종류 및 공정조건에 따라 원료가스의 포화시간이 각기 다를 수 있다. 이 경우 가장 포화시간이 긴 원료가스에 맞추어 공정조건을 정하다 보면 가스의 낭비가 발생하고 생산성이 떨어지는 문제가 있다. 따라서 기판 지지부(120)의 회전속도를 조절하거나 밸브의 작동으로 포화시간이 짧은 원료가스의 공급을 소정의 시간 동안 중단시키는 방식으로 이를 해결할 수도 있으나 이는 공정을 복잡하게 만들게 되므로 바람직하지 않다. 따라서 상기의 문제를 해결하기 위해 제1원료가스와 제2원료가스 중에 포화시간이 긴 것의 가스 분사면적을 넓게 하거나 공급유량을 많이 하여 반응기(110) 내부로 공급할 수 있다.
제1원료가스, 제2원료가스 또는 퍼지가스를 플라즈마화시켜 박막의 증착에 이용할 수 있다. 제1원료가스, 제2원료가스, 식각가스 또는 퍼지가스를 플라즈마화시키기 위하여 이용되는 플라즈마는 리모트 플라즈마이거나 각 가스 분사블록(180a 내지 180f)에서 발생하는 플라즈마일 수 있다. 그리고 제1원료가스, 제2원료가스, 식각가스 또는 퍼지가스를 플라즈마화시키기 위하여 이용되는 플라즈마는 가스 분사블록(180a 내지 180f) 또는 기판 지지부(120)에 전원을 공급하여 발생하는 다이렉트 플라즈마일 수 있다. 이 경우 사용되는 플라즈마도 각 가스 분사블록(180a 내지 180f)과 기판 지지부(120) 사이의 전 공간에서 발생되는 플라즈마이거나 일부 공간에서 발생되는 플라즈마이다.
도 7은 본 발명에 따른 박막증착방법의 바람직한 다른 실시예의 수행과정을 나타내는 흐름도이다.
도 2 및 도 10을 참조하면, 복수의 기판(W)을 반응기(110) 내부에 설치되어 있는 기판 지지부(120)의 기판 안착부(122)에 안착시킨다(S910). 다음으로 히터를 이용하여 기판(W)의 온도를 공정 온도로 조절한 후, 순차 방사형으로 배치된 제1원 료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b), 퍼지가스 분사블록(180e), 식각가스 분사블록(180c) 및 퍼지가스 분사블록(180f)에 복수의 기판(W)이 순차적으로 노출되도록 기판 지지부(120)를 회전시킨다(S920). 우선 플라즈마화시킨 식각가스만을 먼저 공급하여 기판(W) 상에 형성된 자연 산화막을 제거할 수 있다.
다음으로 식각가스의 공급을 중단하고 제1원료가스, 제2원료가스 및 퍼지가스를 제1원료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b) 및 퍼지가스 분사블록(180e)을 통해 함께 기판 지지부(120) 상으로 공급하여 박막을 증착한다(S930). 상술한 바와 같이 기판 지지부(120)를 회전시키면서 기판 지지부(120)의 기판 안착부(124)에 안착되어 있는 기판(W)을 제1원료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b) 및 퍼지가스 분사블록(180e) 아래로 소정의 시간 차이를 두고 지나가게 하면 원자층 박막증착이 된다.
다음으로 소정 두께의 박막을 증착한 후에 제1원료가스와 제2원료가스의 공급을 중단하고 플라즈마화시킨 식각가스를 식각가스 분사블록(180c)을 통해 공급한다(S940). 이때 퍼지가스는 계속해서 공급한다. 그리고 소정의 시간 동안 박막을 식각한 후에 식각가스의 공급을 중단하고 제1원료가스와 제2원료가스를 제1원료가스 분사블록(180a)와 제2원료가스 분사블록(180b)을 통해 함께 공급하여 박막을 증착한다(S950). 이때에도 퍼지가스는 계속해서 공급한다. 그리고 원하고자 하는 박막의 두께 증착이 이루어졌는지 여부를 확인한다(S970). 원하는 박막의 두께에 도 달하지 않았다면 도달할 때까지 S940 단계와 S950 단계를 반복한다. 이와 같이 교번적으로 식각가스의 공급 없이 원료가스들만 공급하여 증착하는 과정과 원료가스의 공급 없이 식각가스만을 공급하여 식각하는 과정을 반복하여 박막을 형성하면 갭-필 능력이 우수한 박막을 증착할 수 있다.
이 경우에도 상술한 바와 같이 일정 수의 공정 후에는 반응기(110) 내부를 클리닝가스를 이용해 인시튜 클리닝할 수 있다. 그리고 제1원료가스, 제2원료가스 또는 퍼지가스를 플라즈마화하여 박막의 증착에 이용할 수 있다. 그리고 이용되는 플라즈마는 리모트 플라즈마이거나 각 가스 분사블록(180a 내지 180f) 내부에서 발생하는 플라즈마 또는 가스 분사블록(180a 내지 180f) 또는 기판 지지부(120)에 전원을 공급하여 발생하는 다이렉트 플라즈마일 수 있다. 또한, 원료가스의 낭비를 막고 생산성을 높이기 위해 제1원료가스와 제2원료가스의 포화시간이 긴 것의 가스 분사면적을 넓게 하거나 공급유량을 많게 하는 것이 바람직하다.
도 8 내지 도 10은 본 발명에 따른 박막증착방법에 대한 제1원료가스, 제2원료가스, 식각가스 및 퍼지가스의 시간에 대한 유량을 나타내는 도면들이다.
도 8은 모든 시간에 대해 제1원료가스, 제2원료가스, 식각가스 및 퍼지가스를 함께 공급하여 박막의 증착과 식각을 동시에 이루어지게 하는 박막증착방법의 시간에 대한 공급가스의 유량을 나타낸 것이다. 이와 같이 증착과 식각을 하여 박막을 형성하는 것은 갭-필 능력이 우수한 박막을 증착하기 위함이다.
도 9는 제1원료가스와 제2원료가스는 계속하여 공급하면서 식각가스와 식각가스를 퍼지하는 퍼지가스를 주기적으로 공급하는 박막증착방법의 시간에 대한 공 급가스의 유량을 나타낸 것이다. 즉, 수 또는 수십 사이클 동안은 식각가스의 공급 없이 증착만을 하고 수 사이클은 제1원료가스, 제2원료가스 및 식각가스를 모두 공급하여 증착과 식각을 동시에 이루어지게 하는 방법이다. 이와 같이 소정의 사이클 동안 식각가스의 공급 없이 증착한 후, 수 사이클만 식각가스를 공급하여 식각을 하는 것은 증착속도에 비해 식각속도가 큰 경우이거나 사이클마다 식각을 하지 않아도 갭-필 능력이 우수한 경우에 해당한다. 본 실시예는 식각가스의 공급을 중단하여도 식각가스를 퍼지하기 위한 퍼지가스는 소정의 시간 동안 더 공급하는 것이 바람직하다. 이는 식각가스와 원료가스들의 혼합을 방지하기 위함이다.
도 10은 수 또는 수십 사이클 동안은 증착하는 과정과 수 사이클은 식각하는 과정이 교번적으로 이루어지는 박막증착방법의 시간에 대한 공급가스의 유량을 나타낸 것이다. 박막 증착과정은 식각가스 공급 없이 제1원료가스와 제2원료가스를 공급함으로써 이루어지고, 박막 식각과정은 제1원료가스와 제2원료가스의 공급 없이 식각가스를 공급함으로써 이루어진다. 이와 같이 원료가스들과 식각가스를 교번적으로 공급하여 소정의 사이클 동안은 식각가스의 공급을 중단하여 증착만을 수행하고, 그 후 소정의 사이클 동안은 원료가스의 공급을 중단하여 식각만을 수행하는 과정을 반복하는 것은 공정제어에 유리하다. 이 경우에도 갭-필 능력이 우수한 박막을 형성할 수 있음은 물론이다. 그리고 본 실시예 역시 식각가스의 공급을 중단한 후에 식각가스를 퍼지하기 위한 퍼지가스는 소정의 시간 동안 더 공급하는 것이 바람직하다.
이와 같이 제1원료가스, 제2원료가스, 식각가스 및 퍼지가스의 유량을 원료 가스와 식각가스의 종류 및 공정조건에 따라 적절히 조절하여 증착과정과 식각과정이 동시에 또는 교번적으로 이루어지게 하여 갭-필 능력이 우수한 박막을 형성할 수 있다.
도 11은 증착과 식각이 교번적으로 이루어져서 박막을 형성하는 대략적인 과정을 나타내는 도면으로 일정 시간은 증착만이 이루어지고 일정 시간은 식각만이 이루어지는 방식으로 박막이 형성됨을 알 수 있다.
상술한 박막증착방법은 SiO2 박막을 증착할 때 이용될 수 있다. 이 경우, 제1원료가스는 실리콘을 함유하는 소스로서 사일렌(SiH4), TEOS(tetra ethyl ortho silicate), TEMASi(tetra ethyl methyl amino silicon), TMDSO(tetra methyl disiloxane) 및 HMDSO(hexa methyl disiloxane) 중 하나를 이용할 수 있다. 제2원료가스는 산소를 포함하는 반응가스로서 N2O, H2O, O2 및 O3 중에서 선택된 1종 이상의 가스를 이용할 수 있다. 그리고 식각가스는 Ar, CF4, CHF3, CH2F2, CH3F, C2F6, C3F8, C4F8, SF6, NF3 및 C4F6 중에서 선택된 1종 이상의 가스를 이용할 수 있다.
또한 상술한 박막증착방법은 실리콘 산화막 외에 실리콘 산화막보다 유전율이 높은 고유전율 산화막, 실리콘 질화막(Si3N4), 폴리 실리콘 박막(poly Si)을 증착할 때 이용할 수 있다. 그리고 구리(Cu), 텅스텐(W)과 같은 금속막이나 TiN과 같은 금속 질화막을 증착할 때도 이용할 수 있다.
상술한 본 발명에 따른 박막증착방법은 반도체 소자의 제작에 있어서, 아주 큰 종횡비를 가지는 트렌치나 갭이 형성된 기판에 산화막 또는 질화막을 증착하고자 할 때 특히 유용하다.
도 12 내지 도 15는 기판에 트렌치(trench)가 형성되고, 형성된 트렌치를 갭-필하는 과정을 나타내는 도면들이다
실리콘 기판(710) 위에 패드 산화막(720)과 질화막(730)을 형성하고, 이를 선택 식각하여 트렌치 마스크를 형성한 다음, 패터닝된 질화막(730)을 식각 마스크로 사용하여 실리콘 기판(710)을 건식 식각함으로써 도 12에 도시된 바와 같은 트렌치(700)를 형성한다.
이어서 상술한 본 발명에 따른 박막증착방법으로 트렌치(700) 내부에 산화막(740)을 형성하여 도 13에 도시된 바와 같이 트렌치(700)를 갭-필하게 된다. 트렌치(700) 내부에 산화막(740)을 형성할 때에는 상술한 박막증착방법을 이용할 수 있다. 즉 제1원료가스로 산화막 형성 소스를, 제2원료가스로 산소를 포함하는 반응가스를, 식각가스로 산화막 식각가스를 공급하여 증착과 식각을 동시에 또는 교번적으로 진행한다. 이때 모서리 부분의 증착을 확실하게 제어하여 오버행(overhang)이 형성되지 않도록 하면서 트렌치(700)에 대한 갭-필을 진행할 수 있게 된다. 갭-필 진행 정도에 따라 식각가스의 공급을 조절하여 갭-필의 진행속도를 향상시킬 수 있다.
도 14에 도시한 바와 같이 기판 상에 형성된 트렌치 또는 갭의 내부에 산화막(740)의 증착이 완료되면 상기의 산화막 위에 추가 산화막(750)을 증착한다. 이 때에는 더 이상 식각가스의 공급 없이 원료가스들만 공급하여 추가 산화막(750)의 증착속도를 향상시킬 수 있다.
도 15에 도시한 바와 같이 추가 산화막(750)의 증착이 완료되면, 화학적 기계적 연마(chemical mechanical polishing, CMP) 공정으로 평탄화한다.
본 실시예는 트렌치(700)를 산화막으로 갭-필하는 방법에 대해서 나타내고 있으나, 산화막 외에 질화막의 경우에도 적용할 수 있다. 질화막의 경우에는 제1원료가스로 질화막 형성소스를, 제2원료가스로 질소를 포함하는 반응가스를, 식각가스로 질화막 식각가스를 공급하여 본 발명에 따른 박막증착방법을 수행한다. 또한 트렌치(700)외에 기판 위에 금속 배선을 형성하고 식각과정을 거쳐 금속 배선 사이에 갭(gap)을 형성한 경우에도 상술한 방법과 같은 방법으로 갭을 갭-필할 수 있다.
그리고 기판 상에 형성된 콘택홀(contact hole)이나 비아(via) 내부를 금속 또는 금속 질화막으로 갭-필하는 경우에도 동일한 방법을 적용할 수 있다. 이때에는 제1원료가스로 금속원료가스를, 제2원료가스로 반응가스를, 식각가스로 금속막 또는 금속 질화막 식각가스를 공급하여 본 발명에 따른 박막증착방법을 수행하여 갭-필할 수 있다.
도 16 및 도 17은 본 발명에 따른 박막증착방법을 이용하여 트렌치를 갭-필함에 있어서, 식각을 통해 트렌치의 모서리 부분을 제어하여 갭-필 능력이 우수한 박막을 형성하는 과정을 나타내는 도면들이다.
상술한 본 발명에 따른 박막증착방법을 이용하여 트렌치(700)에 갭-필 산화막을 형성한다. 이 때 식각가스의 공급없이 제1원료가스와 제2원료가스만을 공급하 여 증착하는 경우에는 도 16에 도시된 바와 같이 트렌치(700)의 모서리 부분(B)에 오버행이 나타날 수 있다. 상술한 박막증착방법이 비록 원자층 박막증착이 가능하지만 트렌치(700)의 경우에는 약간의 오버행은 발생할 수 있다. 그런데 아주 큰 종횡비를 갖는 트렌치(700)의 경우에는 약간의 오버행만으로도 공극(void) 또는 심(seam)이 발생하게 되어 갭-필 공정이 원활하지 않게 될 수 있다. 상술한 박막증착방법에서 원료가스들 사이에 퍼지가스를 공급하지 않게 되면 사이클릭 화학기상증착방법에 의해 박막이 증착되게 되는데 이 경우 오버행이 문제될 수 있다.
이에 식각가스를 공급하게 되면 도 17에 도시된 바와 같이 모서리 부분(C)이 식각 선택도가 높게 되므로 많이 식각되어 오버행이 발생하지 않게 된다. 따라서 본 발명의 박막증착방법을 이용하여 증착과 식각을 동시에 또는 교번적으로 수행하게 되면 오버행을 제어할 수 있게 되어 갭-필 능력이 우수한 박막을 증착할 수 있게 된다.
도 18은 본 발명에 따른 박막증착방법을 이용한 반도체 소자의 갭-필 방법에 대한 바람직한 일 실시예를 나타내는 흐름도이다.
도 18을 참조하면, 트렌치(700) 또는 갭이 형성된 복수의 기판(W)을 반응기(110) 내부에 설치된 기판 지지부(120)의 기판 안착부(124)에 안착시킨다(S310). 다음으로 히터를 이용하여 기판(W)의 온도를 공정하고자 하는 온도로 조절한 후, 순차 방상형으로 배치된 제1원료가스 분사블록(180a), 퍼지가스 분사블록(180d), 제2원료가스 분사블록(180b), 퍼지가스 분사블록(180e), 식각가스 분사블록(180c) 및 퍼지가스 분사블록(180f)에 복수의 기판(W)이 노출되도록 기판 지지부(120)를 회전시킨다(S320). 그리고 플라즈마화한 식각가스만을 먼저 공급하여 기판(W) 상에 형성된 자연 산화막을 제거할 수 있다.
다음으로 제1원료가스, 제2원료가스, 퍼지가스 및 식각가스를 각 가스 분사블록(180a 내지 180f)을 통해 동시에 또는 교번적으로 공급하여 기판(W) 상에 형성된 트렌치 또는 갭의 내부에 산화막(740)을 증착한다(S330). 상기의 산화막(740)을 형성할 때에는 상술한 박막증착방법을 이용하여 트렌치(700) 또는 갭의 모서리 부분(B, C)의 오버행이 형성되지 않도록 하면서 갭-필을 진행한다. 다음으로 상기 산화막(740) 상에 추가 산화막(750)을 증착한다(S340). 이때에는 식각가스의 공급 없이 원료가스들만 공급한다.
다음으로 화학적 기계적 연마 공정으로 평탄화한다(S350).
이상에서 본 발명의 바람직한 실시예에 대해 도시하고 설명하였으나, 본 발명은 상술한 특정의 바람직한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형 실시가 가능한 것은 물론이고, 그와 같은 변경은 청구범위 기재의 범위 내에 있게 된다.
예를 들어, 실시예에서는 원료가스에 이어 퍼지가스가 공급되게 구성함으로써 원자층 박막증착을 구현하기 적합한 구성 위주로 설명하였으나, 퍼지가스 분사블록은 구비하되 퍼지가스는 공급되지 않게 변형 실시함으로써, 예컨대 제1원료가스 공급, 제2 원료가스 공급 (및 식각 가스 공급)의 순으로 가스 공급 사이클을 실현하여 사이클릭 화학기상증착(cyclic CVD)을 구현할 수도 있다.
본 발명에 따른 박막증착장치는 식각할 수 있는 수단이 박막 증착 반응기 내부에 설치됨에 따라, 기판에 대해 박막 증착과 식각을 동시에 또는 교번적으로 진행할 수 있게 되어 갭-필 능력이 우수한 박막을 증착하기에 적합하다. 그리고 밸브의 빈번한 작동이 필요 없고, 원료가스의 특성에 따라 원료가스 분사면적을 조절이 가능하여 생산성이 우수하다.
본 발명에 따른 박막증착방법은 증착과 식각을 동시에 또는 교번적으로 진행할 수 있어서 갭-필 능력이 우수한 박막을 증착할 수 있다. 그리고 이와 같은 박막증착방법을 이용하여 트렌치, 콘택홀 등을 보이드 없이 갭-필할 수 있다.

Claims (24)

  1. 반응기 내부의 동일 공간에 배치된 복수의 기판을 회전시킴으로 인해 함께 공급된 복수의 원료가스 및 식각가스에 시간 간격을 두고 노출시키게 되어 박막이 증착되면서 증착된 박막 일부가 식각되도록 하는 박막증착장치.
  2. 제1항에 있어서,
    상기 박막증착장치는,
    상기 기판들을 안착시키는 복수의 기판 안착부를 구비하며, 상기 반응기 내부에 회전 가능하게 설치되는 기판 지지부; 및
    상기 반응기 내부의 상기 기판 지지부의 상부에 위치하여 상기 기판 지지부 상으로 가스를 분사하며, 방사형으로 배치된 복수의 가스 분사유니트를 구비하는 가스 분사부;를 포함하고,
    상기 복수의 가스 분사유니트에는 제1원료가스를 상기 기판 지지부 상으로 공급하는 제1원료가스 분사유니트, 상기 제1원료가스와 다른 제2원료가스를 상기 기판 지지부 상으로 공급하는 제2원료가스 분사유니트, 상기 제1원료가스와 상기 제2원료가스를 퍼지하는 퍼지가스를 상기 기판 지지부 상으로 공급하는 퍼지가스 분사유니트 및 상기 제1원료가스와 상기 제2원료가스에 의해 증착된 박막을 식각하는 식각가스를 상기 기판 지지부 상으로 공급하는 식각가스 분사유니트 각각이 적어도 하나 포함되는 것을 특징으로 하는 박막증착장치.
  3. 제2항에 있어서,
    상기 가스 분사유니트는,
    가스가 공급되는 가스 공급구가 형성되어 있는 본체와,
    상기 가스 공급구를 통해 공급된 가스가 확산되는 가스 확산공간을 상기 본체와 함께 형성하도록, 상기 본체의 상면에 대하여 하방으로 일정 거리 이격되게 상기 본체에 설치되며, 상기 가스가 하방으로 분사될 수 있도록 상면과 하면 사이를 관통하는 복수의 분사공이 형성되어 있는 가스분사 플레이트를 포함하여 이루어진 것을 특징으로 하는 박막증착장치.
  4. 제2항에 있어서,
    상기 가스 분사부는 하나의 상기 제1원료가스 분사유니트 또는 서로 인접하여 그룹을 이룬 둘 이상의 상기 제1원료가스 분사유니트들이 제1원료가스 분사블록을, 하나의 상기 제2원료가스 분사유니트 또는 서로 인접하여 그룹을 이룬 둘 이상의 상기 제2원료가스 분사유니트들이 제2원료가스 분사블록을, 하나의 상기 식각가스 분사유니트 또는 서로 인접하여 그룹을 이룬 둘 이상의 상기 식각가스 분사유니트들이 식각가스 분사블록을, 그리고 하나의 상기 퍼지가스 분사유니트 또는 서로 인접하여 그룹을 이룬 둘 이상의 상기 퍼지가스 분사유니트들이 퍼지가스 분사블록을 형성하는 것을 특징으로 하는 박막증착장치.
  5. 제4항에 있어서,
    상기 제1원료가스 분사블록과 상기 제2원료가스 분사블록 사이, 상기 제2원료가스 분사블록과 상기 식각가스 분사블록 사이 및 상기 식각가스 분사블록과 상기 제1원료가스 분사블록 사이에 상기 퍼지가스 분사블록을 각각 구비하는 것을 특징으로 하는 박막증착장치.
  6. 제4항에 있어서,
    상기 가스 분사부는 상기 가스 분사부의 중앙부에 상기 제1원료가스, 상기 제2원료가스 및 상기 식각가스를 퍼지하는 퍼지가스를 상기 기판 지지부 상으로 공급하는 중앙퍼지가스 분사유니트를 더 구비하고,
    상기 각 가스 분사블록은 상기 중앙퍼지가스 분사유니트 중심으로 방사형으로 배치된 것을 특징으로 하는 박막증착장치.
  7. 제4항에 있어서,
    상기 제1원료가스, 상기 제2원료가스, 상기 식각가스 및 상기 퍼지가스 중 적어도 하나를 플라즈마화시킬 수 있는 플라즈마 발생부를 더 포함하는 것을 특징으로 하는 박막증착장치.
  8. 제7항에 있어서,
    상기 플라즈마 발생부는 상기 가스 분사유니트의 내부에서 플라즈마를 발생 시킬 수 있는 장치인 것을 특징으로 하는 박막증착장치.
  9. 제7항에 있어서,
    상기 플라즈마 발생부는 상기 가스 분사부의 적어도 일부 내부에 플라즈마를 발생시킬 수 있는 장치인 것을 특징으로 하는 박막증착장치.
  10. 제7항에 있어서,
    상기 플라즈마 발생부는 리모트 플라즈마 발생기인 것을 특징으로 하는 박막증착장치.
  11. (a1) 복수의 기판 안착부를 구비하며 반응기 내부에 회전가능하게 설치된 기판 지지부 상에 복수의 기판을 안착시키는 단계;
    (a2) 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 상기 복수의 기판이 순차적으로 노출되도록 상기 기판 지지부를 회전시키는 단계; 및
    (a3) 제1원료가스, 제2원료가스, 퍼지가스 및 식각가스를 상기 각 가스 분사블록을 통해 함께 상기 기판 지지부 상으로 공급하여 박막을 증착하는 단계;를 포함하는 것을 특징으로 하는 박막증착방법.
  12. 제11항에 있어서,
    상기 식각가스는 소정의 시간 동안 분사가 중단되는 것을 특징으로 하는 박막증착방법.
  13. (b1) 복수의 기판 안착부를 구비하며 반응기 내부에 회전가능하게 설치된 기판 지지부 상에 복수의 기판을 안착시키는 단계;
    (b2) 순차 방사형으로 배치된 제1원료가스 분사블록, 퍼지가스 분사블록, 제2원료가스 분사블록, 퍼지가스 분사블록, 식각가스 분사블록 및 퍼지가스 분사블록에 상기 복수의 기판이 순차적으로 노출되도록 상기 기판 지지부를 회전시키는 단계;
    (b3) 제1원료가스, 제2원료가스 및 퍼지가스를 상기 제1원료가스 분사블록, 상기 제2원료가스 분사블록 및 상기 퍼지가스 분사블록을 통해 함께 기판 지지부 상으로 공급하여 박막을 증착하는 단계;
    (b4) 소정 두께의 박막을 증착한 후에 상기 제1원료가스와 상기 제2원료가스의 공급을 중단하고, 식각가스를 상기 식각가스 분사블록을 통해 공급하여 상기 증착된 박막을 식각하는 단계;
    (b5) 소정의 시간이 경과한 후에 상기 식각가스의 공급을 중단하고 상기 제1원료가스와 상기 제2원료가스를 상기 제1원료가스 분사블록과 상기 제2원료가스 분사블록을 통해 함께 공급하여 박막을 증착하는 단계; 및
    (b6) 상기 (b4)단계 및 상기 (b5)단계를 순차적으로 1회 이상 반복하는 단 계;를 포함하는 것을 특징으로 하는 박막증착방법.
  14. 제11항 또는 제12항에 있어서,
    상기 (a2)단계 와 상기 (a3)단계 사이에 상기 제1원료가스와 상기 제2원료가스의 공급 없이 상기 식각가스를 상기 식각가스 분사블록을 통해 공급하여 상기 기판상의 자연 산화막을 제거하는 것을 특징으로 하는 박막증착방법.
  15. 제13항에 있어서,
    상기 (b2)단계와 (b3)단계 사이에 상기 제1원료가스와 상기 제2원료가스의 공급 없이 상기 식각가스를 상기 식각가스 분사블록을 통해 공급하여 상기 기판상의 자연 산화막을 제거하는 것을 특징으로 하는 박막증착방법.
  16. 제11항 또는 제12항에 있어서,
    상기 (a3)단계에서 상기 제1원료가스, 상기 제2원료가스, 상기 식각가스 및 상기 퍼지가스 중 적어도 하나는 플라즈마화시켜 상기 기판 지지부 상으로 공급하는 것을 특징으로 하는 박막증착방법.
  17. 제13항에 있어서,
    상기 (b4)단계에서 상기 식각가스를 플라즈마화시켜 상기 기판 지지부 상으로 공급하는 것을 특징으로 하는 박막증착방법.
  18. 제13항에 있어서,
    상기 (b3)단계 또는 상기 (b5)단계에서 상기 제1원료가스, 상기 제2원료가스 및 상기 퍼지가스 중 적어도 하나는 플라즈마화시켜 상기 기판 지지부 상으로 공급하는 것을 특징으로 하는 박막증착방법.
  19. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 제1원료가스와 상기 제2원료가스 중에서 기판 표면이 포화되는 포화시간(saturation time)이 긴 것의 공급유량을 더 많게 하는 것을 특징으로 하는 박막증착방법.
  20. 제11항 내지 제13항 중 어느 한 항에 있어서,
    박막 증착 후에 상기 반응기 내부를 인시튜(in-situ) 클리닝하는 것을 특징으로 하는 박막증착방법.
  21. 제11항 내지 제13항 중 어느 한 항의 방법으로 산화막, 질화막, 폴리 실리콘 박막(poly Si) 및 금속막을 증착하는 박막증착방법.
  22. 기판 상에 형성된 트렌치(trench) 또는 갭(gap)의 내부를, 제11항 내지 제13항 중 어느 한 항의 방법을 이용하여 박막 증착함으로써 갭-필(gap-fill)하는 방법 으로서,
    상기 제1원료가스로 산화막 또는 질화막 형성 소스, 상기 제2원료가스로 산소를 포함하는 가스 또는 질소를 포함하는 가스 및 상기 식각가스로 산화막 또는 질화막 식각가스를 공급하여 증착 또는 식각을 동시에 또는 교번적으로 진행하여 상기 기판 상에 형성된 트렌치 또는 갭의 내부에 산화막 또는 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 갭-필 방법.
  23. 제22항에 있어서,
    상기 기판 상에 형성된 트렌치 또는 갭의 내부에 산화막 또는 질화막을 형성하는 단계 이후에 상기 산화막 또는 질화막 위에 상기 식각가스를 공급하지 않은 상태에서 산화막 또는 질화막을 추가 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 갭-필 방법.
  24. 기판 상에 형성된 콘택홀(contact hole) 또는 비아(via)의 내부를, 제11항 내지 제13항 중 어느 한 항의 방법을 이용하여 박막 증착함으로써 갭-필하는 방법으로서,
    상기 제1원료가스로 금속 원료가스, 상기 제2원료가스로 반응가스 및 상기 식각가스로 금속막 또는 금속 질화막 식각가스를 공급하여 증착 또는 식각을 동시에 또는 교번적으로 진행하여 상기 기판 상에 형성된 콘택홀 또는 비아의 내부에 금속막 또는 금속 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 갭-필 방법.
KR1020070072052A 2007-07-19 2007-07-19 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 KR100905278B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020070072052A KR100905278B1 (ko) 2007-07-19 2007-07-19 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
PCT/KR2008/004131 WO2009011532A2 (en) 2007-07-19 2008-07-14 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same
CN2008800250457A CN101809711B (zh) 2007-07-19 2008-07-14 在晶圆上沉积薄膜的装置与方法以及进行填隙沟渠的方法
US12/669,498 US20100190341A1 (en) 2007-07-19 2008-07-14 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same
TW097127208A TWI493654B (zh) 2007-07-19 2008-07-17 在晶圓上沈積薄膜的裝置與方法以及使用該方法與裝置進行填隙溝渠的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070072052A KR100905278B1 (ko) 2007-07-19 2007-07-19 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법

Publications (2)

Publication Number Publication Date
KR20090008799A true KR20090008799A (ko) 2009-01-22
KR100905278B1 KR100905278B1 (ko) 2009-06-29

Family

ID=40260212

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070072052A KR100905278B1 (ko) 2007-07-19 2007-07-19 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법

Country Status (5)

Country Link
US (1) US20100190341A1 (ko)
KR (1) KR100905278B1 (ko)
CN (1) CN101809711B (ko)
TW (1) TWI493654B (ko)
WO (1) WO2009011532A2 (ko)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010135702A2 (en) * 2009-05-22 2010-11-25 Advanced Technology Materials, Inc. Low temperature gst process
CN102239543A (zh) * 2009-03-03 2011-11-09 周星工程股份有限公司 气体分配装置及具有其的基板处理装置
WO2012093806A2 (ko) * 2011-01-04 2012-07-12 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
US8288198B2 (en) 2006-05-12 2012-10-16 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
KR101234706B1 (ko) * 2012-04-02 2013-02-19 참엔지니어링(주) 기판 처리 장치 및 이를 이용한 기판 처리 방법
US8410468B2 (en) 2009-07-02 2013-04-02 Advanced Technology Materials, Inc. Hollow GST structure with dielectric fill
KR20130085842A (ko) * 2012-01-20 2013-07-30 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2013180453A1 (ko) * 2012-05-29 2013-12-05 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130133622A (ko) * 2012-05-29 2013-12-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130134291A (ko) * 2012-05-30 2013-12-10 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130133923A (ko) * 2012-05-30 2013-12-10 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130137328A (ko) * 2012-06-07 2013-12-17 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2014003434A1 (ko) * 2012-06-29 2014-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20140004570A (ko) * 2012-06-29 2014-01-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8674127B2 (en) 2008-05-02 2014-03-18 Advanced Technology Materials, Inc. Antimony compounds useful for deposition of antimony-containing materials
US8796068B2 (en) 2008-02-24 2014-08-05 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US8852686B2 (en) 2007-10-11 2014-10-07 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US9012876B2 (en) 2010-03-26 2015-04-21 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9219232B2 (en) 2006-11-02 2015-12-22 Entegris, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160107103A (ko) * 2015-03-03 2016-09-13 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US9506146B2 (en) 2011-01-04 2016-11-29 Wonik Ips Co., Ltd. Thin film vapor deposition method and thin film vapor deposition apparatus
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US9732424B2 (en) 2009-08-31 2017-08-15 Wonik Ips Co., Ltd. Gas injection apparatus and substrate processing apparatus using same
KR20180061113A (ko) * 2018-05-28 2018-06-07 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20190025591A (ko) * 2019-02-27 2019-03-11 주성엔지니어링(주) 기판 처리 방법
KR20190070311A (ko) * 2019-06-03 2019-06-20 주성엔지니어링(주) 기판 처리 장치
KR20200034878A (ko) * 2018-09-21 2020-04-01 주식회사 원익아이피에스 SiCN막의 형성 방법
KR20220042489A (ko) * 2013-03-15 2022-04-05 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR101209899B1 (ko) * 2010-03-19 2012-12-10 주식회사 소로나 플라즈마 가스 디스트리뷰터 및 이를 적용한 플라즈마 장치
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
LU91934B1 (en) * 2012-01-25 2013-07-26 Ct De Rech Public Gabriel Lippmann Controlled radical assisted polymerization
KR101397162B1 (ko) * 2012-08-23 2014-05-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
CN103820770A (zh) * 2012-11-19 2014-05-28 刘祥林 具有多个子反应器结构的金属有机化学气相沉积设备
US9464353B2 (en) * 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
KR102589972B1 (ko) * 2015-09-11 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11515144B2 (en) * 2015-12-10 2022-11-29 Applied Materials, Inc. In-situ film annealing with spatial atomic layer deposition
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10519545B2 (en) * 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
KR20180053491A (ko) * 2016-11-11 2018-05-23 삼성전자주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US11639954B2 (en) 2019-05-29 2023-05-02 Rosemount Aerospace Inc. Differential leakage current measurement for heater health monitoring
US11472562B2 (en) 2019-06-14 2022-10-18 Rosemount Aerospace Inc. Health monitoring of an electrical heater of an air data probe
US11930563B2 (en) 2019-09-16 2024-03-12 Rosemount Aerospace Inc. Monitoring and extending heater life through power supply polarity switching
US11293995B2 (en) 2020-03-23 2022-04-05 Rosemount Aerospace Inc. Differential leakage current measurement for heater health monitoring

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63276221A (ja) * 1987-05-07 1988-11-14 Mitsubishi Electric Corp 半導体製造方法および半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
KR100277858B1 (ko) * 1998-10-20 2001-02-01 김영환 반도체소자의 갭필링(gap filling)방법
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100531555B1 (ko) * 2002-02-14 2005-11-28 주성엔지니어링(주) 회전가능한 1개 이상의 가스분사기가 구비된 박막증착장치 및 이를 이용한 박막 증착방법
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
KR100587085B1 (ko) * 2004-07-23 2006-06-08 주식회사 하이닉스반도체 반도체소자의 제조방법
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7358194B2 (en) * 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8288198B2 (en) 2006-05-12 2012-10-16 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
US9219232B2 (en) 2006-11-02 2015-12-22 Entegris, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US8852686B2 (en) 2007-10-11 2014-10-07 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US8796068B2 (en) 2008-02-24 2014-08-05 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US9537095B2 (en) 2008-02-24 2017-01-03 Entegris, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US9034688B2 (en) 2008-05-02 2015-05-19 Entegris, Inc. Antimony compounds useful for deposition of antimony-containing materials
US8674127B2 (en) 2008-05-02 2014-03-18 Advanced Technology Materials, Inc. Antimony compounds useful for deposition of antimony-containing materials
CN102239543A (zh) * 2009-03-03 2011-11-09 周星工程股份有限公司 气体分配装置及具有其的基板处理装置
US9070875B2 (en) 2009-05-22 2015-06-30 Entegris, Inc. Low temperature GST process
WO2010135702A2 (en) * 2009-05-22 2010-11-25 Advanced Technology Materials, Inc. Low temperature gst process
WO2010135702A3 (en) * 2009-05-22 2011-02-24 Advanced Technology Materials, Inc. Low temperature gst process
US8617972B2 (en) 2009-05-22 2013-12-31 Advanced Technology Materials, Inc. Low temperature GST process
US8410468B2 (en) 2009-07-02 2013-04-02 Advanced Technology Materials, Inc. Hollow GST structure with dielectric fill
US9732424B2 (en) 2009-08-31 2017-08-15 Wonik Ips Co., Ltd. Gas injection apparatus and substrate processing apparatus using same
US9012876B2 (en) 2010-03-26 2015-04-21 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
WO2012093806A2 (ko) * 2011-01-04 2012-07-12 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
WO2012093806A3 (ko) * 2011-01-04 2012-09-07 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
US9506146B2 (en) 2011-01-04 2016-11-29 Wonik Ips Co., Ltd. Thin film vapor deposition method and thin film vapor deposition apparatus
KR20130085842A (ko) * 2012-01-20 2013-07-30 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101234706B1 (ko) * 2012-04-02 2013-02-19 참엔지니어링(주) 기판 처리 장치 및 이를 이용한 기판 처리 방법
WO2013180453A1 (ko) * 2012-05-29 2013-12-05 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130133622A (ko) * 2012-05-29 2013-12-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10504701B2 (en) 2012-05-29 2019-12-10 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
TWI623648B (zh) * 2012-05-29 2018-05-11 周星工程股份有限公司 基板處理方法
US9748077B2 (en) 2012-05-29 2017-08-29 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
KR20130133923A (ko) * 2012-05-30 2013-12-10 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130134291A (ko) * 2012-05-30 2013-12-10 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20130137328A (ko) * 2012-06-07 2013-12-17 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10233542B2 (en) 2012-06-29 2019-03-19 Jusung Engineering Co., Ltd. Apparatus for treating substrate and method for treating substrate
KR20140004570A (ko) * 2012-06-29 2014-01-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2014003434A1 (ko) * 2012-06-29 2014-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
CN104395987A (zh) * 2012-06-29 2015-03-04 周星工程股份有限公司 基板加工装置及方法
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US11430680B2 (en) 2013-03-15 2022-08-30 Applied Materials, Inc. Position and temperature monitoring of ALD platen susceptor
KR20220042489A (ko) * 2013-03-15 2022-04-05 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160087348A (ko) * 2015-01-12 2016-07-21 램 리써치 코포레이션 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
KR20160107103A (ko) * 2015-03-03 2016-09-13 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR20180061113A (ko) * 2018-05-28 2018-06-07 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20200034878A (ko) * 2018-09-21 2020-04-01 주식회사 원익아이피에스 SiCN막의 형성 방법
KR20190025591A (ko) * 2019-02-27 2019-03-11 주성엔지니어링(주) 기판 처리 방법
KR20190070311A (ko) * 2019-06-03 2019-06-20 주성엔지니어링(주) 기판 처리 장치

Also Published As

Publication number Publication date
WO2009011532A3 (en) 2009-03-12
US20100190341A1 (en) 2010-07-29
CN101809711B (zh) 2012-01-11
KR100905278B1 (ko) 2009-06-29
WO2009011532A2 (en) 2009-01-22
CN101809711A (zh) 2010-08-18
TW200913129A (en) 2009-03-16
TWI493654B (zh) 2015-07-21

Similar Documents

Publication Publication Date Title
KR100905278B1 (ko) 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US6404054B1 (en) Tungsten layer formation method for semiconductor device and semiconductor device using the same
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US20240063015A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR20160002391A (ko) 반도체 디바이스들의 금속 상호접속부들을 위한 유전체 배리어들의 선택적 형성
TW201323649A (zh) 遠端電漿燒入處理
JP6583081B2 (ja) 半導体装置の製造方法
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
KR20000062493A (ko) 층간 절연막 형성의 방법과 장치, 및 반도체 장치
CN111719137B (zh) 成膜装置的清洗方法
KR101635085B1 (ko) 박막증착장치
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
KR20100056258A (ko) 박막 증착방법
KR100937945B1 (ko) 반도체 소자의 제조 방법
KR101030997B1 (ko) 증착 장치 및 이를 이용한 갭필 방법
US20130237056A1 (en) Semiconductor Processing Methods
US11515153B2 (en) Film forming apparatus and film forming method
KR102294204B1 (ko) 성막 장치
JP7486387B2 (ja) 成膜方法
TWI839544B (zh) 形成形貌受控的非晶碳聚合物膜之方法
JP7247813B2 (ja) 成膜方法及び成膜装置
KR101316745B1 (ko) 트리메틸사일렌과 오존을 이용한 실리콘다이옥사이드 박막제조 방법
KR100587085B1 (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130625

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140402

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160324

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170324

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190311

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200309

Year of fee payment: 12