TW200405011A - Process endpoint detection method using broadband reflectometry - Google Patents

Process endpoint detection method using broadband reflectometry Download PDF

Info

Publication number
TW200405011A
TW200405011A TW092122019A TW92122019A TW200405011A TW 200405011 A TW200405011 A TW 200405011A TW 092122019 A TW092122019 A TW 092122019A TW 92122019 A TW92122019 A TW 92122019A TW 200405011 A TW200405011 A TW 200405011A
Authority
TW
Taiwan
Prior art keywords
patterned substrate
reflectance
spectrum
reflectance spectrum
patent application
Prior art date
Application number
TW092122019A
Other languages
Chinese (zh)
Other versions
TWI276802B (en
Inventor
Vijayakumar C Venugopal
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/286,409 external-priority patent/US7399711B2/en
Priority claimed from US10/286,410 external-priority patent/US7019844B2/en
Priority claimed from US10/401,118 external-priority patent/US6979578B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200405011A publication Critical patent/TW200405011A/en
Application granted granted Critical
Publication of TWI276802B publication Critical patent/TWI276802B/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Abstract

A method of determining a parameter of interest during processing of a patterned substrate includes obtaining a measured net reflectance spectrum resulting from illuminating at least a portion of the patterned substrate with a light beam having a broadband spectrum, calculating a modeled net reflectance spectrum as a weighted incoherent sum of reflectances from different regions constituting the portion of the patterned substrate, and determining a set of parameters that provides a close match between the measured net reflectance spectrum and the modeled net reflectance spectrum. For wavelengths below a selected transition wavelength, a first optical model is used to calculate the reflectance from each region as a weighted coherent sum of reflected fields from thin film stacks corresponding to laterally distinct areas constituting the region. For wavelengths above the transition wavelength, a second optical model based on effective medium approximation is used to calculate the reflectance from each region.

Description

200405011 (1) 玖、發明說明 【發明所屬之技術領域】 本發明一般係有關用以監視及控制用於形成半導體基 底上之特徵的製程之方法。更明確地,本發明係有關一種 用以檢測半導體基底製程之一終點的方法。 【先前技術】 於半導體製造中,諸如蝕刻、薄膜沈積、及化學-機 械硏磨等製程之各種組合被使用以形成一半導體基底上之 特徵。特徵之形成係藉由選擇性地從半導體基底之表面上 移除材料及選擇性地於半導體基底之表面上沈積材料。於 形成特徵時,半導體基底被監視以決定何時到達製程之終 點。終點可以是其製程條件應被改變之某一點或者其製程 應被停止之某一點。 深溝槽(trench )及凹槽(recess )蝕刻製程被使用 於諸如動態隨機存取記憶體(DRAM )及嵌入DRAM ( eDR AM )等半導體裝置之製造。一 DRAM (或 eDRAM) 胞含有電晶體及電容以儲存資訊。通常,儲存電容被安裝 於一半導體基底之溝槽中。一種用以形成溝槽電容之典型 製程涉及於一半導體基底中蝕刻一深的溝槽、以多晶矽塡 充溝槽、及向下蝕刻多晶矽以形成一凹槽於溝槽中。其他 材料(諸如介電材料)亦可被沈積於溝槽或凹槽中且依所 需而被蝕刻以形成一所欲的儲存結構。通常’溝槽具有高 的縱橫尺寸(aspect )比(亦即,大於1 .〇,其中''縱橫 -4- (2) (2)200405011 尺寸比〃被定義爲高度/寬度)。於當前科技中,例如, 溝槽之深度通常爲數微米深,而溝槽之寬度通常爲3 〇 〇 nm之等級。隨著整合技術之進步,溝槽之,寬度預期會變 得更小,例如,縮小至9 0至1 〇 〇 n m。 圖1A顯示一典型的半導體基底100,其具有一基底 層1〇2(通常由矽所製)、一墊層ι〇4(通常由二氧化矽 所製)、及一遮罩層106 (通常由氮化矽所製)。光阻遮 罩108之一薄膜亦可被沈積於遮罩層106之上。在形成深 溝槽於基底1 0 0中以前,移除其中將形成溝槽的光阻遮罩 1 0 8之一區域1 1 0,以致使暴露底下層(亦即,遮罩層 106)。基底100被接著置於一製程室(未顯示)中,諸 如一電漿室,並接著蝕刻溝槽通過遮罩層1 〇 6及墊層1 0 4 而進入基底層1 0 2。圖1顯示基底1 〇 〇中所蝕刻之一溝槽 1 1 2。在鈾刻溝槽1 1 2於基底1 0 〇中之後,移除剩餘的光 阻遮罩(圖1A中之108)。 圖1 C顯示回塡以多晶砂1 1 4之基底1 〇 〇中的溝槽 1 1 2。於回塡製程期間,一多晶矽覆蓋層1 1 6被形成於遮 罩層106之上。通常,一小型凹處(凹陷(depression)) 118出現在溝槽112之開口上方,由於回塡製程。在形成 一凹槽於溝槽1 1 2中的多晶矽1 1 4之前多晶矽覆蓋層u 6 之所有或部分被移除以一平坦化製程,諸如平坦層蝕刻;^ 化學機械硏磨。圖1D顯示平坦化製程後之基底100。〜 凹陷1 2 0可能出現於溝槽1 1 2之開p上方,由於平坦化製 程。在平坦化步驟之後,溝槽1 ] 2中之多晶矽柱〗1 4被向 (3) (3)200405011 下蝕刻至一預定深度以形成一凹槽。圖1 E顯示形成於多 晶矽柱1 1 4上方之凹槽1 2 2。 層1 22相對於處理工具1 1 〇中之一參考點(例如,犧 牲遮罩層1 06之底部)的深度通常爲一關鍵尺寸。然而, 各種因素使得欲準確地形成一具有理想深度之凹槽變得艱 難。有一因素爲其凹槽所被蝕刻通過的溝槽開口極小,例 如,3 00 nm或更小。因此,需謹慎地控制鈾刻製程以確 保其蝕刻係局限於溝槽。另一因素爲其多晶矽柱上方之凹 陷可能常會相當於待蝕刻之凹槽的準確度或甚至絕對深度 。因此,尺寸控制限制極嚴謹。另一因素在於其隨著基底 不同而有進入材料的變化,例如,遮罩層之厚度(例如, 由於平坦化製程)及多晶矽柱上方之凹陷深度的變化。若 不瞭解這些變化,則將難以決定應向下蝕刻多少以達成所 需的凹槽深度。 爲了準確地形成一理想深度之凹槽,重要的是具有一 種檢測蝕刻製程之終點的準確及可靠的方法。光學診斷方 法通常被使用以檢測圖案化基底製程之終點,因爲其爲非 侵入性的。光學放射光譜法是用以檢測終點之最廣泛使用 的光學診斷方法。此方法涉及監視電漿放射中之電漿種類 的改變,其中一改變係發生於從基底之一層移動至另一層 時。此方法之反應通常會延遲,因爲其監視電漿狀態而非 基底狀態。光學放射光譜法通常不適於深溝槽及凹槽鈾刻 以及其他並無有效蝕刻停止層的蝕刻應用。 單一波長干涉儀係其被用以檢測終點之光學診斷方法 -6 - (4) (4)200405011 的另一範例。干涉儀法涉及將一光束導引至基底表面上。 來自基底之反射信號建設性或破壞性地結合以產生一週期 性的干涉邊緣,當蝕刻一膜、溝槽或凹槽時。干涉邊緣之 相位係取決於通過所蝕刻之層的厚度之光束的路徑長度。 於蝕刻期間,一受測干涉邊緣之所觀察的週期數被相互關 聯(correlated )與層厚度之計算所得的減少或者被蝕刻 溝槽或凹槽之深度的改變,以預估製程之一終點。干涉儀 終點檢測方法涉及計算鈾刻期間所形成之邊緣的數目。當 已計算到一相應於待移除材料之厚度的邊緣之預定數目時 ,則蝕刻製程便停止。 單一波長干涉儀法之能力限制於監視諸如凹槽蝕刻等 蝕刻應用。此原因之一在於其監視基底上之結構的垂直尺 寸之相對改變而非結構之絕對垂直尺寸。因此,其無法補 償從一基底至另一基底之進入材料的變化,諸如遮罩層之 厚度的變化、溝槽之開始深度的變化、圖案密度之變化、 及晶圓定向之變化。如前所述,若不知這些進入材料的變 化,則將難以準確地決定應經由鈾刻以移除多少材料。另 一原因在於當結構變小時(例如,小於入射光之波長)及 更深時,則從基底所形成之邊緣的對比會下降且任何小雜 訊均可能洗掉邊緣,而使之無法決定製程中之終點已於何 時到達。 光譜橢圓法、極性法、及反射法爲光學診斷方法之範 例,其可被使用配合精密的光學模擬技術以決定特殊測試 結構(諸如一圖案化基底上之一維栅)之特徵的絕對垂直 -7- (5) (5)200405011 及橫向尺寸。然而,這些技術被限制於線內度量衡應用( 亦即,預及後.處理度量衡)而非現場診斷,因爲其涉及僅 於特殊測試結構上之量測以及大量的計算負荷。已經致力 於結合光譜橢圓測定之使用與簡單的、相對較不準確的、 模擬技術以供現場的診斷。 從上述說明可知,期待一種供現場診斷之健全、易於 使用、及準確的方法,其將協助檢測基底製程之終點,即 使當相關結構甚小於入射光之波長時。 【發明內容】 於一型態中,本發明係有關一種於一圖案化基底之處 理期間決定一相關參數之方法,其包含:獲得以一具有寬 頻光譜之光束照射圖案化基底之至少一部分所得之量測的 淨反射比(reflectance )光譜、及計算一模擬的淨反射比 光譜以成爲來自構成圖案化基底之部分的不同區之反射比 的加權非相干總和。針對低於寬頻光譜中之一選定過渡( transition )波長的波長,一第一光學模型被使用以計算 來自各區之反射比以成爲來自相應於其構成區(region ) 之橫向個別區域(areas)之薄膜堆疊的反射場(fields) 的加權相干總和。針對高於寬頻光譜中之選定過渡波長的 波長,一第二光學模型被使用以計算來自各區之反射比以 成爲來自藉由以有效均勻介質取代區中之層而獲得之薄膜 堆疊的反射場。此方法進一步包含決定一組參數,其提供 介於量測淨反射比光譜與模擬淨反射比光譜之間的緊密匹 -8- (6) (6)200405011 配。 於另一型態中,本發明係有關一種用以控制一圖案化 基底之處理的方法,其包含:獲得以一具有寬頻光譜之光 束照射圖案化基底之至少一部分所得之量測的淨反射比( reflectance )光譜、及計算一模擬的淨反射比光譜以成爲 來自構成圖案化基底之部分的不同區之反射比的加權非相 干總和。針對低於寬頻光譜中之選定過渡波長的波長,一 第一光學模型被使用以計算來自各區之反射比以成爲來自 相應於其構成區(r e g i ο η )之橫向個別區域(a r e a s )之薄 膜堆疊的反射場(fields )的加權相干總和。針對高於寬 頻光譜中之選定過渡波長的波長,一第二光學模型被使用 以計算來自各區之反射比以成爲來自藉由以省效均勻介質 取代區中之層而獲得之薄膜堆疊的反射場。此方法進一步 包含:決定一組參數,其提供介於量測淨反射比光譜與模 擬淨反射比光譜之間的緊密匹配、從該組參數取得一相關 參數、及假如該相關參數之値滿足一預定的終點條件時以 信號通知圖案化基底之處理的終點。 本發明之這些及其他特徵及優點將配合下列圖形而被 更詳細地討論於以下本發明之詳細敘述中。 【實施方式】 現在將參考一些實施例(如後附圖形中所示)以詳細 地描述本發明。於下列敘述中,提出數個特定細節以提供 本發明之透徹瞭解。然而,熟悉此項技術人士將瞭解本發200405011 (1) 发明. Description of the invention [Technical field to which the invention belongs] The present invention generally relates to a method for monitoring and controlling a process for forming features on a semiconductor substrate. More specifically, the present invention relates to a method for detecting an end point of a semiconductor substrate process. [Prior Art] In semiconductor manufacturing, various combinations of processes such as etching, thin film deposition, and chemical-mechanical honing are used to form features on a semiconductor substrate. Features are formed by selectively removing material from the surface of the semiconductor substrate and selectively depositing material on the surface of the semiconductor substrate. As features are formed, the semiconductor substrate is monitored to determine when the end of the process is reached. The end point can be a point at which the process conditions should be changed or a point at which the process should be stopped. Deep trench (trench) and recess (recess) etching processes are used in the manufacture of semiconductor devices such as dynamic random access memory (DRAM) and embedded DRAM (eDR AM). A DRAM (or eDRAM) cell contains transistors and capacitors to store information. Generally, a storage capacitor is mounted in a trench of a semiconductor substrate. A typical process for forming a trench capacitor involves etching a deep trench in a semiconductor substrate, filling the trench with polycrystalline silicon, and etching the polycrystalline silicon downward to form a groove in the trench. Other materials, such as dielectric materials, can also be deposited in the trenches or grooves and etched as needed to form a desired storage structure. Generally, the 'groove has a high aspect ratio (i.e., greater than 1.0, where the `` aspect -4- (2) (2) 200405011 size ratio 〃 is defined as height / width). In the current technology, for example, the depth of the trench is usually several micrometers deep, and the width of the trench is usually on the order of 300 nm. With the advancement of integration technology, the width of the trench is expected to become smaller, for example, reduced to 90 to 100 nm. FIG. 1A shows a typical semiconductor substrate 100 having a base layer 102 (usually made of silicon), a pad layer 104 (usually made of silicon dioxide), and a mask layer 106 (usually Made of silicon nitride). A thin film of the photoresist mask 108 may also be deposited on the mask layer 106. Prior to forming the deep trenches in the substrate 100, one of the areas 1 10, which is a photoresist mask 108, where the trenches will be formed is removed, so that the underlying layer (ie, the mask layer 106) is exposed. The substrate 100 is then placed in a process chamber (not shown), such as a plasma chamber, and then the trench is etched through the mask layer 106 and the pad layer 104 to enter the substrate layer 102. FIG. 1 shows one of the trenches 1 12 etched in the substrate 100. After the uranium-etched trench 1 12 is in the substrate 100, the remaining photoresist mask is removed (108 in FIG. 1A). FIG. 1C shows the trenches 1 12 in the substrate 100, which were reintroduced with polycrystalline sand 1 4. During the recovery process, a polycrystalline silicon capping layer 1 16 is formed on the masking layer 106. Generally, a small depression (depression) 118 appears above the opening of the trench 112 due to the rewinding process. All or part of the polycrystalline silicon capping layer u 6 is removed before forming a groove in the polycrystalline silicon 1 1 4 in the trench 1 1 2 by a planarization process, such as planarized layer etching; ^ chemical mechanical honing. FIG. 1D shows the substrate 100 after the planarization process. ~ The depression 1 2 0 may appear above the opening p of the trench 1 12 due to the planarization process. After the planarization step, the polycrystalline silicon pillars in the trenches 1 and 2 are etched down to (3) (3) 200405011 to a predetermined depth to form a groove. FIG. 1E shows the grooves 1 2 2 formed on the polysilicon pillars 1 4. The depth of layer 1 22 relative to one of the reference points in processing tool 110 (e.g., the bottom of sacrifice mask layer 106) is typically a critical dimension. However, various factors make it difficult to accurately form a groove having a desired depth. One factor is that the trench opening through which the groove is etched is extremely small, for example, 300 nm or less. Therefore, the uranium etching process needs to be carefully controlled to ensure that its etching system is limited to the trench. Another factor is that the depression above the polysilicon pillar may often be equivalent to the accuracy or even the absolute depth of the groove to be etched. Therefore, the size control is extremely strict. Another factor is that it varies with different substrates, such as the thickness of the mask layer (for example, due to the planarization process) and the depth of the depression above the polycrystalline silicon pillar. Without understanding these changes, it will be difficult to decide how much to etch down to achieve the required groove depth. In order to accurately form a groove of a desired depth, it is important to have an accurate and reliable method for detecting the end of the etching process. Optical diagnostic methods are often used to detect the end of the patterned substrate process because they are non-invasive. Optical emission spectroscopy is the most widely used optical diagnostic method to detect endpoints. This method involves monitoring changes in the type of plasma in plasma emission, one of which occurs when moving from one layer of the substrate to another. The response of this method is usually delayed because it monitors the state of the plasma rather than the state of the substrate. Optical emission spectroscopy is generally not suitable for deep trench and groove uranium engraving and other etching applications that do not have an effective etch stop layer. The single-wavelength interferometer is another example of the optical diagnostic method used to detect the end point-(4) (4) 200405011. The interferometer method involves directing a light beam onto a substrate surface. The reflected signals from the substrate are combined constructively or destructively to produce a periodic interference edge when etching a film, trench or groove. The phase of the interference edge depends on the path length of the beam through the thickness of the etched layer. During etching, the observed number of cycles of a measured interference edge is correlated with the calculated reduction in layer thickness or the change in the depth of the etched trench or groove to estimate one of the end points of the process. The interferometer endpoint detection method involves counting the number of edges formed during the uranium engraving. When a predetermined number of edges corresponding to the thickness of the material to be removed has been calculated, the etching process is stopped. The capabilities of the single-wavelength interferometer method are limited to monitoring etching applications such as groove etching. One reason for this is that it monitors the relative change in the vertical size of the structure on the substrate rather than the absolute vertical size of the structure. Therefore, it cannot compensate for changes in the material entering from one substrate to another, such as changes in the thickness of the mask layer, changes in the starting depth of the trenches, changes in pattern density, and changes in wafer orientation. As mentioned earlier, without knowing the changes in these incoming materials, it will be difficult to accurately determine how much material should be etched through uranium. Another reason is that when the structure becomes smaller (for example, less than the wavelength of incident light) and deeper, the contrast of the edges formed from the substrate will decrease and any small noise may wash off the edges, making it impossible to determine the process. When has the end been reached. Spectral ellipse method, polar method, and reflection method are examples of optical diagnostic methods, which can be used with sophisticated optical simulation techniques to determine the absolute verticality of the characteristics of special test structures (such as a one-dimensional grid on a patterned substrate)- 7- (5) (5) 200405011 and horizontal dimensions. However, these techniques are limited to in-line metrology applications (ie, pre- and post-processing metrology) rather than on-site diagnostics, as they involve measurements on specific test structures and a large computational load. Efforts have been made to combine the use of spectral ellipsometry with simple, relatively inaccurate, simulation techniques for on-site diagnosis. From the above description, it is known that a robust, easy-to-use, and accurate method for on-site diagnosis is expected to assist in detecting the end of the substrate process, even when the relevant structure is much smaller than the wavelength of incident light. [Summary of the Invention] In one aspect, the present invention relates to a method for determining a relevant parameter during the processing of a patterned substrate, which includes: obtaining at least a portion of a patterned substrate illuminated by a light beam with a wide-band spectrum The measured net reflectance spectrum and a simulated net reflectance spectrum are calculated to become a weighted non-coherent sum of the reflectances from the different regions that make up the patterned substrate. For wavelengths lower than one of the selected transition wavelengths in the broadband spectrum, a first optical model is used to calculate the reflectance from each region to become a lateral individual area corresponding to its constituent region. The weighted coherent sum of the reflected fields of a thin film stack. For wavelengths above the selected transition wavelength in the broadband spectrum, a second optical model was used to calculate the reflectance from each region to become the reflection field from a thin-film stack obtained by replacing layers in the region with an effective uniform medium . This method further includes determining a set of parameters that provides a close match between the measured net reflectance spectrum and the simulated net reflectance spectrum. (8) (6) (6) 200405011. In another aspect, the present invention relates to a method for controlling a patterned substrate, comprising: obtaining a measured net reflectance obtained by irradiating at least a portion of a patterned substrate with a light beam having a broad frequency spectrum The reflectance spectrum, and a simulated net reflectance spectrum is calculated to be a weighted non-coherent sum of the reflectances from the different regions that make up the patterned substrate. For wavelengths below the selected transition wavelength in the broadband spectrum, a first optical model is used to calculate the reflectance from each region to become a thin film from the lateral individual areas (areas) corresponding to its constituent region (regi ο η) The weighted coherent sum of stacked reflected fields. For wavelengths higher than the selected transition wavelength in the broadband spectrum, a second optical model was used to calculate the reflectance from each region to become the reflection from a thin-film stack obtained by replacing layers in the region with an energy-efficient uniform medium field. This method further includes: determining a set of parameters that provides a close match between the measured net reflectance spectrum and the simulated net reflectance spectrum, obtaining a relevant parameter from the set of parameters, and if one of the relevant parameters satisfies a The end of the processing of the patterned substrate is signaled at a predetermined end condition. These and other features and advantages of the present invention will be discussed in more detail in the following detailed description of the present invention in conjunction with the following figures. [Embodiment] The present invention will now be described in detail with reference to some embodiments (shown in the drawings below). In the following description, several specific details are set forth to provide a thorough understanding of the present invention. However, those skilled in the art will understand this

(7) (7)200405011 明可被實施而無須某些或所有這些特定細節。於其他範例 中’熟知的製程步驟及/或特徵未被詳細描述以免非必要 地混淆本發明。本發明之特徵及優點可參考下列圖形及討 論而更能被瞭解。 於本發明之一實施例中,寬頻反射法被使用以量測來 自一圖案化基底之反射比,於處理圖案化基底時。反射法 涉及以覓頻光線照射圖案化基底及從圖案化基底收集反射 比資料。所收集的反射比資料被用以產生圖案化基底之一 量測的淨反射比光譜。接著藉由匹配量測的淨反射比光譜 至一獲得自圖案化基底之光學反射比模擬的淨反射比光譜 以獲得一組相關的參數化量。一終點條件被應用至一或更 多參數化量以決定是否已於圖案化基底處理中達到一終點 。假如已達到一終點,則產生一終點信號,其中一終點信 號可指示其製程條件被改變或其圖案化基底之處理被停止 〇 雖然不希望被理論所限制,但本案發明人於此相信當 使用具有寬頻光譜(亦即,大範圍波長)之入射光以執行 反射法量測時’將有一過渡波長於寬頻光譜中,入射光可 於此過渡波長之下解析圖案化基底上之特徵且入射光於此 過渡波長:之±已減低了解析圖案化基底上之個別特徵的能 力°本案發明人相信其過渡波長係函數地取決於圖案化基 底i:之主特徵的橫向尺寸及垂直尺寸。在低於過渡波長之 波長下’入射光之自由空間波長可比得上或小於圖案化基 底上之主特徵的特徵尺寸。爲說明之目的,、、比得上〃可 -10- (8) (8)200405011 被視爲圖案化基底上之主特徵的特徵尺寸之最高2.0倍。 圖案化基底上之主特徵的特徵尺寸可爲,例如,凹槽或溝 槽開口之尺寸。似乎爲比得上者通常可憑經驗地或現場地 決定。在高於過渡波長之波長下,入射光之自由空間波長 係甚大於圖案化基底上之主特徵的特徵尺寸。爲說明之目 的, ''甚大於〃可被視爲大於特徵尺寸之2.0倍。似乎爲 ''甚大於〃者通常可憑經驗地或現場地決定。 因此,爲了最佳地匹配量測淨反射比光譜至模擬淨反 射比光譜,本案發明人於此相信需要兩個光學反射比模型 ,一個用於計算低於過渡波長之波長下的淨反射比而另一 用於計算高於過渡波長之波長下的淨反射比。在低於過渡 波長之波長下有效的光學反射比模型於此被稱爲1部分相 干反射比〃模型。在高於過渡波長之波長下有效的光學反 射比模型於此被稱爲 ''有效介質近似〃模型。 部分相干反射比模型及有效介質近似模型均涉及計算 來自圖案化基底之淨反射比光譜而成爲來自其構成圖案之 不同區的反射比之加權非相干總和。於部分相千反射比模 型之情況下,來自各區之反射比可爲來自其構成區之橫向 個別區域的反射場之加權相干總和,其中各橫向個別區域 爲一等向性、均勻、薄膜堆疊。於有效介質近似模型之情 況下,各區中之垂直個別層被取代以光學同等均勻介質, 使用均勻化公式。區之反射比被接著設定至反射場,從均 勻介質之堆疊。 部分相干反射比模型及有效介質近似模型之共同目標 -11 - (9) (9)200405011 係模擬圖案化基底以成爲薄膜堆疊之集合。此係因爲由已 知強度及極化之平面波所照射之薄膜堆疊的反射場可被輕 易地計算,藉由使用Maxwell方程式(或同等地,藉由應 用Fresnel方程式)以設定及解答一邊界値問題。 爲了說明之目的,圖2顯示一具有層202、204、206 、及2 0 8之薄膜堆疊2 0 0。舉例而言,層202可爲一光阻 遮罩層、層204可爲一硬遮罩層、層206可爲一墊氧化物 層、而層 208可爲一基底層。每一層202、204、206、 2 0 8具有一厚度(t )、一折射指數(η )、及一消光係數 (k )。反射比量測係藉由以一光束2 1 0垂直入射地照射 薄膜堆疊2 0 0並收集垂直地從薄膜堆疊2 0 0反射之光束 212而執行。薄膜堆疊200被假設.爲具有無限橫向範圍, 而反射光束2 1 2係取決於其形成薄膜堆疊200之所有層的 光學性質。 對於部分相干反射比模型,圖案化基底被分割爲m-1個橫向個別區域,而各橫向個別區域被模擬爲一等向的 、均勻的、薄膜堆疊。對於垂直的入射反射法,一等向的 、均勻的、薄膜堆疊爲額定地無關極化的。給定其構成一 半導體基底上之典型圖案的特徵尺寸及定向之隨機陣列, 則本案發明人於此相信其圖案化基底亦可被假設爲具有一 額定地無關極化的反射比,其大大地簡化模型之計算型態 。然而’需注意其技術亦可被輕易地採用以模擬一極化取 決的回應。例如,此可爲確實的情況當其構成圖案之特徵 的分佈係已知爲顯著地定向於圖案化基底之平面中的一方 -12- (10) 200405011 向時。 對於部分相干反射比模型,其界定區別之主要因素爲 其構成薄膜堆疊之層的成分及厚度之差異。例如,圖3 A 顯示一具有遮罩層3 02、氧化物層3 04、及基底層3 0 6之 圖案化基底3 0 0的橫斷面圖。一溝槽3 0 8被形成於基底 3 0 0中並塡入以多晶矽3 1 0。一小凹陷3 1 4被形成於溝槽 3 0 8中之多晶矽柱3 1 0的頂部上,由於塡入製程及/或平坦 化製程。圖3 B顯示其分割爲兩橫向個別區域3 1 6、3 1 8之 圖案化基底3 0 0。各個橫向個別區域亦爲一等向的、均勻 的、薄膜堆疊。薄膜堆疊3 1 6包含遮罩層3 02、氧化物層 304、及基底層部分 306a。薄膜堆疊318包含多晶5夕柱 3 10及基底層部分3 06b。 圖案化基底 3 0 0之反射比係來自薄膜堆疊3 1 6、3 1 8 之反射場的組合。由已知強度及極化之平面波所照射的一 既定薄膜堆疊之反射場可被計算’藉由使用Maxwell方程 式或藉由使用Fresnel方程式以設定及解答一邊界問題。 例如,使用Fresnel方程式,則層介面(圖3C中之3 2 0 ) 的反射比被提供以: ⑴ -n2 ;12 ηλ + η2 單一層(圖3D中之3 22 )之反射場被提供以: ;123 = r12 — r23e ⑺ -13- (11) 200405011 回到0 3 B ’爲了 g十算圖案化基底3 〇 〇之淨反射比的 目的’薄膜堆疊3 1 6、3 1 8之高度應相同。一空氣或真空 層3 24被加至多晶矽柱3 1 〇之·頂部以補償薄膜堆疊3〗6、 318之局度的差異。 對於部分相干反射比模型,本案發明人於此相信其若 給定了構成一典型圖案化基底之特徵橫向範圍的分佈,則 來自圖案化基底之反射場很可能相干地加至圖案的某些區 之上及非相干地加至圖案的某些其他區之上。本案發明人 於此相信其相干及非相千地結合之場的相對分佈可隨自由 空間波長(λ 0 )之函數而改變,而不一定相應於圖案化 基底上之實際區域分數。因此,來自一圖案化基底之淨反 射比可被計算爲來自其構成圖案之η個不同區的反射比之 加權非相千總和。 R = w}(X0) | E} |2 +w2(A0) IE2 \2 +**· +ν^Λ(Λ0) I En |2 (3) 其中R係量測的淨反射比,Ei爲個別非相干相加場 項’而W i ( λ 〇)爲非相干相加項之加權因數。| E i |2之使用 代表電磁場理論之頻率領域表示中的複場E i的量。 方程式(3)中之每一個別非相干相加項可爲來自其構 成基底上之第i區之k個橫向個別區域的場之加權的、相 干的總和: (4)(7) (7) 200405011 may be implemented without some or all of these specific details. Among other examples' well-known process steps and / or features have not been described in detail so as not to unnecessarily obscure the present invention. The features and advantages of the present invention can be better understood with reference to the following drawings and discussion. In one embodiment of the present invention, a broadband reflection method is used to measure the reflectance from a patterned substrate when processing the patterned substrate. The reflection method involves illuminating the patterned substrate with frequency finding light and collecting reflectance data from the patterned substrate. The collected reflectance data is used to generate a measured net reflectance spectrum for one of the patterned substrates. Then, match the measured net reflectance spectrum to a net reflectance spectrum obtained from the optical reflectance simulation of the patterned substrate to obtain a set of related parameterized quantities. An endpoint condition is applied to one or more parameterized quantities to determine whether an endpoint has been reached in the patterned substrate process. If an end point has been reached, an end point signal is generated, one of which can indicate that its process conditions have been changed or its processing of the patterned substrate has been stopped. Although not wishing to be limited by theory, the inventor of this case believes that it should be used when When an incident light having a wide-band spectrum (ie, a wide range of wavelengths) is measured by reflection method, there will be a transition wavelength in the wide-band spectrum. The incident light can resolve features on the patterned substrate and incident light below this transition wavelength. At this transition wavelength: ± has reduced the ability to resolve individual features on the patterned substrate. The inventor believes that its transition wavelength depends functionally on the lateral and vertical dimensions of the main feature of the patterned substrate i :. At a wavelength below the transition wavelength, the free-space wavelength of the incident light may be comparable to or smaller than the feature size of the main feature on the patterned substrate. For the purpose of illustration, 、, 比, 〃 can be compared to -10- (8) (8) 200405011, which is considered to be up to 2.0 times the feature size of the main feature on the patterned substrate. The feature size of the main feature on the patterned substrate may be, for example, the size of a groove or groove opening. The seemingly comparable ones can usually be determined empirically or on the spot. At wavelengths above the transition wavelength, the free-space wavelength of the incident light is much larger than the feature size of the main feature on the patterned substrate. For the purpose of illustration, '', which is much larger than 〃, can be considered as being larger than 2.0 times the feature size. Those who seem to be '' very much '' can usually be determined empirically or on the spot. Therefore, in order to optimally match the measured net reflectance spectrum to the simulated net reflectance spectrum, the inventors herein believe that two optical reflectance models are needed, one for calculating the net reflectance at wavelengths below the transition wavelength. The other is used to calculate the net reflectance at wavelengths above the transition wavelength. The optical reflectance model effective at wavelengths below the transition wavelength is referred to herein as the one-part coherent reflectance chirp model. The optical reflectance model effective at wavelengths above the transition wavelength is referred to herein as the `` effective medium approximation '' model. Both the partially coherent reflectance model and the effective medium approximation model involve calculating the net reflectance spectrum from the patterned substrate to become a weighted, non-coherent sum of the reflectance from the different regions of its pattern. In the case of the partial phase reflection ratio model, the reflection ratio from each region can be the weighted coherent sum of the reflection fields from the lateral individual regions of its constituent region, where each lateral individual region is an isotropic, uniform, thin film stack . In the case of an effective medium approximation model, the vertical individual layers in each zone are replaced with an optically homogeneous medium, using the homogenization formula. The area's reflectance is then set to the reflection field, from a stack of homogeneous media. The common goal of the partial coherence reflectance model and the effective medium approximation model -11-(9) (9) 200405011 is a collection of thin film stacks that simulates a patterned substrate. This is because the reflection field of a thin film stack illuminated by plane waves of known intensity and polarization can be easily calculated by using the Maxwell equation (or equivalently, by applying the Fresnel equation) to set and solve a boundary problem. . For illustrative purposes, FIG. 2 shows a thin film stack 2000 having layers 202, 204, 206, and 208. For example, layer 202 may be a photoresist mask layer, layer 204 may be a hard mask layer, layer 206 may be a pad oxide layer, and layer 208 may be a base layer. Each of the layers 202, 204, 206, and 2008 has a thickness (t), a refractive index (η), and an extinction coefficient (k). The reflectance measurement is performed by irradiating the thin film stack 2000 with a light beam 2 0 perpendicularly incident and collecting the light beam 212 reflected vertically from the thin film stack 200. The thin film stack 200 is assumed to have an infinite lateral range, and the reflected light beam 2 1 2 depends on the optical properties of all the layers that form the thin film stack 200. For the partially coherent reflectance model, the patterned substrate is divided into m-1 lateral individual regions, and each lateral individual region is modeled as an isotropic, uniform, thin film stack. For the perpendicular incident reflection method, an isotropic, uniform, thin film stack is rated independently of polarization. Given a random array of feature sizes and orientations that make up a typical pattern on a semiconductor substrate, the inventors herein believe that their patterned substrate can also be assumed to have a reflection ratio with a nominally independent polarization, which greatly Simplify the calculation type of the model. However, it should be noted that its technology can also be easily adopted to simulate a polarization-dependent response. For example, this may be the case when the distribution of features that make up the pattern is known to be oriented significantly on one side of the plane of the patterned substrate. -12- (10) 200405011. For the partially coherent reflectance model, the main factor defining the difference is the difference in the composition and thickness of the layers that make up the thin film stack. For example, FIG. 3A shows a cross-sectional view of a patterned substrate 300 having a mask layer 302, an oxide layer 304, and a substrate layer 306. A trench 3 0 8 is formed in the substrate 3 0 and is implanted with polycrystalline silicon 3 1 0. A small depression 3 1 4 is formed on the top of the polycrystalline silicon pillar 3 1 0 in the trench 3 0 8 due to the intrusion process and / or the planarization process. FIG. 3B shows the patterned substrate 3 0 0 divided into two lateral individual regions 3 1 6 and 3 1 8. Each lateral individual area is also an isotropic, uniform, film stack. The thin film stack 3 1 6 includes a mask layer 302, an oxide layer 304, and a base layer portion 306a. The thin film stack 318 includes polycrystalline silicon pillars 3 10 and a base layer portion 3 06b. The reflection ratio of the patterned substrate 3 0 0 is a combination of the reflection fields from the thin film stacks 3 1 6 and 3 1 8. The reflection field of a given film stack illuminated by plane waves of known intensity and polarization can be calculated 'to set and solve a boundary problem by using the Maxwell equation or by using the Fresnel equation. For example, using the Fresnel equation, the reflection ratio of the layer interface (3 2 0 in Figure 3C) is provided as: ⑴ -n2; 12 ηλ + η2 The reflection field of a single layer (3 22 in Figure 3D) is provided as: 123 = r12 — r23e ⑺ -13- (11) 200405011 Back to 0 3 B 'For the purpose of the net reflection ratio of the patterned substrate 3 〇〇' The film stacks 3 1 6 and 3 1 8 should have the same height . An air or vacuum layer 3 24 is added to the top of the polycrystalline silicon pillar 3 1 0 to compensate for the difference in locality of the thin film stack 3 6 and 318. For the partially coherent reflection ratio model, the inventor hereby believes that given the distribution of the characteristic lateral range of a typical patterned substrate, the reflection field from the patterned substrate is likely to be added coherently to certain areas of the pattern And incoherently add to some other areas of the pattern. The inventor herein believes that the relative distribution of the coherent and non-coherently combined fields can change as a function of the free-space wavelength (λ 0), and does not necessarily correspond to the actual area fraction on the patterned substrate. Therefore, the net reflection ratio from a patterned substrate can be calculated as the weighted non-phase sum of the reflection ratios from the n different regions constituting the pattern. R = w} (X0) | E} | 2 + w2 (A0) IE2 \ 2 + ** · + ν ^ Λ (Λ0) I En | 2 (3) where R is the measured net reflectance, Ei is The individual non-coherent addition field term 'and Wi (λ0) is the weighting factor of the non-coherent addition term. The use of | E i | 2 represents the amount of complex field E i in the frequency domain representation of electromagnetic field theory. Each individual non-coherent addition term in equation (3) may be a weighted, coherent sum of the fields from the k horizontal individual regions that form the i-th region on the basis: (4)

Ei =^ι(Λ)Ε〇ι +^2(Λ)ε〇2 ^·** + ^(Λ)Ε (12) (12)200405011 其中a i( λ 〇)爲相干相加場項Eei之加權因數。應注意 其方程式(3)及(4)中, ''區(region ) 〃並不相同與 '' 橫向個別區域(area) 〃 。 爲了進一步說明部分相千反射比模型如何作用,考量 圖3B中所示之圖案化基底300。圖案化基底300已被分 割爲兩橫向個別區域或薄膜堆疊3 1 6、3 1 8。於操作時, 一入射光束3 2 6照在圖案化基底3 0 0上且被反射,如3 2 8 所示。溝槽308之橫向範圍(其係圖案化基底300上之一 主特徵)可比得上或大於入射光束3 26之波長。圖3E顯 示圖案化基底3 0 0之一頂視圖。另ri代表由於薄膜堆疊 3 1 6之反射場而r2代表由於薄膜堆疊3 1 8之反射場。本案 發明人於此提議有一覆蓋邊界3 3 2之區3 3 0介於薄膜堆疊 3 1 6、3 1 8之間(由虛線3 3 4所區分),其中反射場r}及 ι·2將相干地相加,由於橫向干擾效應。來自虛線3 3 4以外 之區3 3 6的反射比被預期係由於僅來自薄膜堆疊3 1 6之反 射場。 從方程式(3),來自圖案化基底3 00之淨反射比爲: 及30。—从336 (又0 ) I 五336 I +W33。(Λ)) I 五330 丨 其中R3〇o係來自圖案化基底3 00之淨反射比,E 3 3 0、 E 3 3 6爲個別來自區3 3 0、3 3 6之各自非相干相加場項,而 w33()( λ 〇)、w 3 3 6 ( λ 〇)爲非相干相加項之加權因數。從方程 -15- (13) (13)200405011 式(4),Ewe)爲: 五330 =α(Λ))五 336 +(1 — α(Λ)))五 318 i ⑹ 應注意其E336爲r】,E318爲r2,而w33()可被重寫爲 (1 - w336)。因此,方程式可被重寫爲: 及30。= %36(义0) I 厂】I2 +(1 一 M’336 (义))I aU〇)ri + (1 -a(A)))r2 I2 ⑺ 方程式(3)及(4)提供一簡化的模型,其中來自一圖案 化基底之反射比可被參數化相關於有關的數個量,諸如遮 罩層厚度及開始蝕刻深度。於一賨施例中,本發明使用垂 直入射反射法當作一種用以量測反射比之技術,其表示圖 案化基底係由一垂直於基底之入射光束所照射且僅有垂直 於基底之反射光被收集,亦即,僅有鏡面反射的光被收集 。然而,因爲可觀察到任何圖案之定向的範圍,所以並非 照在圖案上之所有光將會反射於垂直入射。由於,例如, 凹陷(圖3 A中之3 1 4 )而將有非鏡面反射。由於此非鏡 面反射之反射損失不應被忽略。於本發明之一實施例中, 一散射損失因數被應用於方程式(3 )中之相加項的部分或 者於方程式(3)中之整個反射比。散射損失因數可爲自由 空間波長(λ 〇 )之函數。 對於有效介質近似模型,圖案化基底被分割爲ρ個橫 向個別區。於部分相干反射比模型之條件下的 ''橫向個 -16- (14) (14)200405011 別區〃爲一等向的、均勻的、薄膜堆疊。於有效介質近似 模型中’一橫向個別區被定義爲:(1 ) 一敷層(blanket )膜堆疊之相當大範圍的區,或(2 ) —由具有甚小於入 射光之自由空間波長之橫向尺寸的特徵、或由具有高縱橫 尺寸比的特徵(例如,大於1.0)、或者上述兩者,所相 當緊密地佔據的區,諸如溝槽電容所常見者。一般而言, 爲了模擬區之後面組爲均勻的薄膜堆疊,則區被首先分割 爲垂直個別層。接著,垂直個別層被取代以有效的均勻介 質’其中結構可被模擬爲一主介質中之內含物。 爲了說明之目的,圖4 A顯示一分割爲兩橫向個別區 402、404之圖案化基底400。每一區402、404具有一橫 向範圍(L )其係甚大於入射光406之自由空間波長。區 4 〇 2被緊密地佔據以溝槽4 0 8而區4 0 4包括一敷層薄膜堆 疊。對於溝槽4 0 8之橫向範圍可多麼小於入射光4 0 6之自 由空間波長並無嚴謹的限制。例如,溝槽之橫向範圍可爲 1 〇至1 〇 〇倍地小於入射光4 0 6之自由空間波長。溝槽4 0 8 亦可具有高的縱橫尺寸比。 使用有效介質近似模型,則一橫向個別區可被有效地 模擬爲一具有多重均勻介質層而無開口之薄膜堆疊。假如 存在的話,高的縱橫尺寸比結構可被模擬爲主介質中之針 狀內含物、或圓柱形內含物。通常,回應性質可爲單軸或 雙軸的各向異性。例如,假如內含物具有圓形橫斷面,則 回應性質爲單軸各向異性;而假如內含物具有橢圓形橫斷 面,則回應性質爲雙軸各向異性。單軸回應指的是其薄膜 -17- (15) (15)200405011 堆疊之各層具有某一於膜之厚度方向上的折射指數,其係 不同於膜之平面中的有效折射指數。因此,光學上地,薄 膜堆疊於膜之厚度內的不同方向上起不同作用。於雙軸回 應之情況下,可能有膜之厚度及平面內的差異。雙軸各向 異性回應之結果係其有一極化依存性,其需被列入來自薄 膜堆疊之反射比計算的因素。於單軸回應中,對於藉由垂 直入射光之激發的回應可被假設爲額定地無關極化的。 現在將描述一種將橫向個別區402模擬爲均勻薄膜堆 疊之方法。爲了說明之目的,圖4B顯示橫向個別區402 之一區段(圖4A中之4〇4a)的放大圖。如圖4B中所示 ,區段404a包含一遮罩層412、一氧化物層414、及一基 底層4 1 6。一溝槽4 0 8被蝕刻通過遮罩層4 1 2及氧化物層 414而進入基底層416。一介電環(collar ) 41 8及一多晶 矽柱420被安裝於溝槽4 08中,且一凹槽422被形成於溝 槽4 0 8中,於多晶矽柱4 2 0之上。爲了光學模擬之目的, 一空氣(或真空)柱424被假設存在於多晶矽柱42 0之上 〇 區段4(Ma可被分割爲q個垂直個別層。例如,圖4C 顯示其被分割爲垂直個別層4 2 6、4 2 8、4 3 0、4 3 2、及4 3 4 的區段404a。其各層爲一複合物層。層426包含遮罩層 4]2及空氣柱424之一部分且具有等於遮罩層412之厚度 的厚度("h)。層428包含氧化物層414及空氣柱424之 一部分且具有等於氧化物層4 1 4之厚度的厚度(t2 )。層 430包含介電環418之一部分、基底層416之一部分、及 (16) (16)200405011 空氣柱424之一部分且具有等於從氧化物層4 ] 4底部至多 晶砂柱420頂部之垂直距離的厚度(t3 )。層4 3 2包含介 電環418之一部分、多晶矽柱420之一部分、及基底層 4 1 6之一部分且具有等於從多晶矽柱420頂部至介電環 418底部之垂直距離的厚度(t4 )。層4 3 4包含基底層 4 1 6之一部分及多晶矽柱4 2 〇之一部分且具有等於從介電 環41 8底部至溝槽40 8底部之垂直距離的厚度(t5 )。 圖4D顯示一薄膜堆疊43 6,其包含個別相應於複合 物層 426、428、430、432、及 434 之均勻層 438、440、 442、444、及446,於區段4(Ma中。均勻層之有效光學 性質係根據相應複合物層中之組成介質的光學性質及體積 分數而決定。 層426中之組成介質爲遮罩材料及空氣。均勻層438 (相應於複合物層42 6 )之有效折射指數可被表示爲·· 77 ] = f 1 ( ;7遮單,η空氣 5 V遮罩) (8 ) 其中\代表均勻層43 8之複折射指數,m代表遮 罩介質之複折射指數,η $ 代表空氣之折射指數並具有1 之値,而V ε m代表遮罩介質之體積比例。 層428中之組成介質爲氧化物及空氣。均勻層440 ( 相應於複合物層42 8 )之有效折射指數可被表示爲: (17) (17)200405011 其中77 2代表均勻層4 4 0之複折射指數,F氣化物代表氧 化物介質之複折射指數,η⑨g代表空氣之折射指數並具有 ]之値,而V㊆㉗代表氧化物介質之體積比例。 層430中之組成介質爲基底材料、介電材料、及空氣 。均勻層4 4 2 (相應於複合物層4 3 0 )之有效折射指數可 被表示爲: 77 3 = f 3 ( ” s底,77介策質,η空氣5 V趣底3 , V介®質3) (10) 其中773代表均勻層442之複折射指數,5s底代表基 底介質之複折射指數,G介電質代表介電質介質之複折射指 數,η代表空氣之折射指數並具有1之値,而V g底3 及V _介m質3個別代表層43 0中之基底及介電質介質的體櫝 分數。 層4 3 2中之組成介質爲基底材料、多晶矽、及介電質 材料。均勻層444 (相應於複合物層4 3 2 )之有效折射指 數可被表示爲·· 7 4 = f 4 ( 77蓮底,77多晶砂,77介m質,V雄底4 5 V介m質4) (11) 其中h代表均勻層444之複折射指數,底代表基 底介質之複折射指數㉟⑽代表多晶砂介質之複折射指 數,&介mm代表介電質介質之複折射指數,而V®底4及 -20- (18) (18)200405011 V介4個別代表層432中之基底及介電質介質的體積分 數。 層4 3 4中之組成介質爲基底材料及多晶矽。均勻層 4 4 6 (其相應於複合物層4 3 4 )之有效折射指數可被表達 如下: 77 5 = f5 ( 77 ® 底,”多晶敗,V 避底 5 ) (12) 其中77 5代表均勻層4 4 6之複折射指數,?代表基 底介質之複折射指數,77代表多晶矽介質之複折射指 數,而V ®底5代表層4 3 4中之基底介質的體積比例。 方程式(8 )至(1 2 )中之函數f】、f2、f3、f4及f 5可使用 數種不同均勻化公式之一適當者而被決定。均勻化公式之 箪13例包含(但不限定於)B i 〇 t-A r a g 〇 t法則、M aX we ]】-Garnett 公式、及 Brug gem an 公式。Biot-A ragot 法則可能 是太簡單了而無法用於此處之大部分相關應用,而 M a X w e 1 b G a r n e 11公式一般可應用以稀釋主介質中之內含 物的混合物。於本發明之較佳實施例中,Bru ggem an公式 是被選用的方法,因爲其不受其他公式所受之限制。適用 於本發明之Bruggeman公式的一特定範例可被發現於: 、、Low-Perrmittivity Nanocomposite Materials Using Sculptured Thin Film Technology/' V. C. VenugopaK A. Lakhtakia, R. Messier, and J.-P. Kucera, J. Vac. Sci. T e c h η o :l. B 1 8,2 0 0 0,p p . 3 2 - 3 6。均勻化公式之更一般化及 (19) 200405011 詳細的討論可見於,例如,'' E1 e c 11· 〇 m a g n e t i c F i e 1 d s i η Unconventional Materials and Structures,〃 John Wiley & Sons, Inc., p p. 39-81; '、Selected Papers on LinearEi = ^ ι (Λ) Ε〇ι + ^ 2 (Λ) ε〇2 ^ · ** + ^ (Λ) E (12) (12) 200405011 where ai (λ 〇) is the coherent addition field term Eei Weighting factor. It should be noted that in equations (3) and (4), '' region (is not the same as '' area 〃 horizontally. To further illustrate how the partial phase reflectance model works, consider the patterned substrate 300 shown in FIG. 3B. The patterned substrate 300 has been divided into two lateral individual areas or thin film stacks 3 1 6 and 3 1 8. During operation, an incident light beam 3 2 6 strikes the patterned substrate 3 0 0 and is reflected, as shown in 3 2 8. The lateral extent of the trench 308 (which is one of the main features on the patterned substrate 300) can be comparable to or greater than the wavelength of the incident light beam 326. Fig. 3E shows a top view of one of the patterned substrates 300. In addition, ri represents the reflection field due to the thin film stack 3 1 6 and r2 represents the reflection field due to the thin film stack 3 1 8. The inventor of the present case proposes a region 3 3 0 covering the boundary 3 3 2 between the thin film stacks 3 1 6 and 3 1 8 (distinguished by the dotted line 3 3 4), in which the reflection field r} and ι · 2 will be Add coherently due to lateral interference effects. The reflectance from areas 3 3 6 other than the dotted line 3 3 4 is expected to be due to the reflection field from the thin film stack 3 1 6 only. From equation (3), the net reflectance of 3 00 from the patterned substrate is: and 30. —From 336 (again 0) I five 336 I + W33. (Λ)) I 5 330 丨 Where R3o is the net reflectance from the patterned substrate 3 00, and E 3 3 0 and E 3 3 6 are the respective non-coherent additions from the regions 3 3 0 and 3 3 6 Field term, and w33 () (λ 〇), w 3 3 6 (λ 〇) are the weighting factors of the non-coherent addition term. From the equation -15- (13) (13) 200405011 formula (4), Ewe) is: five 330 = α (Λ)) five 336 + (1 — α (Λ))) five 318 i ⑹ It should be noted that its E336 is r], E318 is r2, and w33 () can be rewritten as (1-w336). Therefore, the equation can be rewritten as: and 30. =% 36 (义 0) I factory】 I2 + (1-M'336 (meaning)) I aU〇) ri + (1 -a (A))) r2 I2 ⑺ Equations (3) and (4) provide one A simplified model in which the reflectance from a patterned substrate can be parameterized in relation to a number of related quantities, such as the thickness of the mask layer and the depth of the initial etch. In one embodiment, the present invention uses the normal incidence reflection method as a technique for measuring reflectance, which means that the patterned substrate is illuminated by an incident light beam perpendicular to the substrate and only has reflection perpendicular to the substrate. Light is collected, that is, only specularly reflected light is collected. However, because the range of orientation of any pattern can be observed, not all light shining on the pattern will be reflected by normal incidence. There will be non-specular reflection due to, for example, depressions (3 1 4 in FIG. 3A). The reflection loss due to this non-specular reflection should not be ignored. In one embodiment of the present invention, a scattering loss factor is applied to a part of the addition term in equation (3) or the entire reflectance in equation (3). The scattering loss factor can be a function of the free-space wavelength (λ 0). For the effective medium approximation model, the patterned base is divided into p horizontally individual regions. Under the condition of the partially coherent reflectance model, the "transverse" -16- (14) (14) 200405011 is an isotropic, uniform, thin film stack. In the effective medium approximation model, 'a lateral individual area is defined as: (1) a relatively large area of a blanket film stack, or (2) — a lateral direction having a free-space wavelength that is even smaller than the incident light Features of size, or areas that are fairly closely occupied by features with a high aspect ratio (eg, greater than 1.0), or both, such as those common to trench capacitors. In general, in order to simulate a uniform film stack behind the area, the area is first divided into vertical individual layers. Next, the vertical individual layers are replaced with effective homogeneous media 'where the structure can be modeled as inclusions in a host medium. For illustrative purposes, FIG. 4A shows a patterned substrate 400 divided into two lateral individual regions 402, 404. Each zone 402, 404 has a transverse range (L) which is much larger than the free-space wavelength of the incident light 406. Region 4 02 is tightly occupied with trenches 408 and region 4 0 4 includes a lay-up film stack. There is no strict limit on how much the lateral extent of the groove 408 can be smaller than the free spatial wavelength of the incident light 406. For example, the lateral range of the trench may be 10 to 100 times smaller than the free-space wavelength of incident light 406. The trench 4 0 8 may also have a high aspect ratio. Using the effective medium approximation model, a lateral individual area can be effectively modeled as a thin film stack with multiple uniform dielectric layers without openings. If present, high aspect ratio structures can be modeled as needle-like inclusions or cylindrical inclusions in the host medium. Generally, the response can be uniaxial or biaxial anisotropy. For example, if the inclusion has a circular cross section, the response property is uniaxial anisotropy; if the inclusion has an elliptical cross section, the response property is biaxial anisotropy. The uniaxial response refers to the thin film -17- (15) (15) 200405011 The stacked layers have a refractive index in the thickness direction of the film, which is different from the effective refractive index in the plane of the film. Therefore, optically, thin films stacked in different directions within the thickness of the film play different roles. In the case of biaxial response, there may be differences in film thickness and in-plane. The result of the biaxial anisotropic response is that it has a polarization dependency, which needs to be factored into the reflectance calculation from the thin film stack. In a uniaxial response, a response to excitation by perpendicularly incident light can be assumed to be nominally ground-independent. A method of simulating the lateral individual regions 402 as a uniform thin film stack will now be described. For the purpose of illustration, FIG. 4B shows an enlarged view of a section (404a in FIG. 4A) of one of the lateral individual areas 402. As shown in FIG. 4B, the segment 404a includes a masking layer 412, an oxide layer 414, and a base layer 4 1 6. A trench 408 is etched through the mask layer 4 12 and the oxide layer 414 into the base layer 416. A dielectric ring 418 and a polycrystalline silicon pillar 420 are installed in the trench 408, and a groove 422 is formed in the groove 408 above the polycrystalline silicon pillar 420. For the purpose of optical simulation, an air (or vacuum) column 424 is assumed to exist above the polycrystalline silicon column 420. Section 4 (Ma can be divided into q vertical individual layers. For example, FIG. 4C shows that it is divided into vertical Sections 404a of individual layers 4 2 6, 4 2 8, 4 3 0, 4 3 2, and 4 3 4. Each layer is a composite layer. Layer 426 includes a mask layer 4] 2 and a portion of the air column 424 And has a thickness (" h) equal to the thickness of the mask layer 412. The layer 428 includes a portion of the oxide layer 414 and the air column 424 and has a thickness (t2) equal to the thickness of the oxide layer 4 1 4. The layer 430 includes A portion of the dielectric ring 418, a portion of the base layer 416, and (16) (16) 200405011 a portion of the air column 424 and having a thickness equal to the vertical distance from the bottom of the oxide layer 4] 4 to the top of the polycrystalline sand column 420 (t3 The layer 4 3 2 includes a portion of the dielectric ring 418, a portion of the polycrystalline silicon pillar 420, and a portion of the base layer 4 1 6 and has a thickness (t4) equal to the vertical distance from the top of the polycrystalline silicon pillar 420 to the bottom of the dielectric ring 418. The layer 4 3 4 includes a portion of the base layer 4 1 6 and one of the polycrystalline silicon pillars 4 2 0. And has a thickness (t5) that is equal to the vertical distance from the bottom of the dielectric ring 41 8 to the bottom of the trench 40 8. Figure 4D shows a thin film stack 43 6 that includes individual layers corresponding to the composite layers 426, 428, 430, 432. And 434 uniform layers 438, 440, 442, 444, and 446 in section 4 (Ma. The effective optical properties of the uniform layer are determined according to the optical properties and volume fraction of the constituent medium in the corresponding composite layer. The constituent medium in layer 426 is masking material and air. The effective refractive index of the uniform layer 438 (corresponding to the composite layer 42 6) can be expressed as ·· 77] = f 1 (; 7 mask, η air 5 V (Mask) (8) where \ represents the birefringence index of the homogeneous layer 43 8, m represents the birefringence index of the masking medium, η $ represents the refractive index of air and has a magnitude of 1, and V ε m represents the masking medium. Volume ratio. The constituent medium in layer 428 is oxide and air. The effective refractive index of uniform layer 440 (corresponding to composite layer 42 8) can be expressed as: (17) (17) 200405011 where 77 2 represents uniform layer 4 The birefringence index of 40, F gas represents the birefringence index of the oxide medium Η⑨g represents the refractive index of air and has 値, and V㊆㉗ represents the volume ratio of the oxide medium. The constituent medium in layer 430 is the base material, the dielectric material, and air. The uniform layer 4 4 2 (corresponding to the composite The effective refractive index of the physical layer 4 3 0) can be expressed as: 77 3 = f 3 (”s-base, 77 mediators, η air 5 V fun base 3, V-media® 3) (10) where 773 represents The birefringence index of the homogeneous layer 442, the 5s base represents the birefringence index of the base medium, the G dielectric represents the birefringence index of the dielectric medium, η represents the refractive index of air and has a value of 1, and V_dielectric mass 3 individually represents the base and dielectric volume fractions of the layer 43 0. The constituent dielectrics in layer 4 3 2 are a base material, polycrystalline silicon, and a dielectric material. The effective refractive index of the homogeneous layer 444 (corresponding to the composite layer 4 3 2) can be expressed as 7 4 = f 4 (77 lotus bottom, 77 polycrystalline sand, 77 m-mass, V male bottom 4 5 V media m mass 4) (11) where h represents the birefringence index of the homogeneous layer 444, the base represents the birefringence index of the base medium, ㉟⑽ represents the birefringence index of the polycrystalline sand medium, & dielectric mm represents the birefringence index of the dielectric medium And V® bottom 4 and -20- (18) (18) 200405011 V medium 4 individually represents the volume fraction of the substrate and dielectric medium in layer 432. The constituent media in layers 4 3 4 are the base material and polycrystalline silicon. The effective refractive index of the uniform layer 4 4 6 (which corresponds to the composite layer 4 3 4) can be expressed as follows: 77 5 = f5 (77 ® bottom, "polycrystalline failure, V avoids bottom 5) (12) where 77 5 Represents the birefringence index of the uniform layer 4 4 6,? Represents the birefringence index of the base medium, 77 represents the birefringence index of the polycrystalline silicon medium, and V ® bottom 5 represents the volume ratio of the base medium in the layer 4 3 4. Equation (8 The functions f], f2, f3, f4, and f 5 in) to (1 2) can be determined using one of several different homogenization formulas. The 13 examples of homogenization formulas include (but are not limited to) B i 〇tA rag 〇t rule, Ma X we]]-Garnett formula, and Brug gem an formula. The Biot-A ragot rule may be too simple to be used in most related applications here, and M a X The we 1 b G arne 11 formula is generally applicable to dilute the mixture of contents in the main medium. In a preferred embodiment of the present invention, the Bru ggem an formula is the method of choice because it is not affected by other formulas Limitations. A specific example of the Bruggeman formula applicable to the present invention can be found In:, Low-Perrmittivity Nanocomposite Materials Using Sculptured Thin Film Technology / 'VC VenugopaK A. Lakhtakia, R. Messier, and J.-P. Kucera, J. Vac. Sci. T ech η o: l. B 1 8 , 2 0 0 0, pp. 3 2-3 6. A more general discussion of the homogenization formula and (19) 200405011 A detailed discussion can be found in, for example, "E1 ec 11. · magnetic magnetic ie 1 dsi η Unconventional Materials and Structures, 〃 John Wiley & Sons, Inc., p p. 39-81; ', Selected Papers on Linear

Optical Composite Materials/" A. Lakhtakia (ed.)? SPIE Optical Engineering Press ( 1 996); 、、 Handbook ofOptical Composite Materials / " A. Lakhtakia (ed.)? SPIE Optical Engineering Press (1 996);,, Handbook of

Electromagnetic Materials: Monolithic and Composite Versions and their Applications,/7 P. S Neelakanta, CRC Press ( 1 99 5 ); 、、 Selected Papers on SubwavelengthElectromagnetic Materials: Monolithic and Composite Versions and their Applications, / 7 P. S Neelakanta, CRC Press (1 99 5);,, Selected Papers on Subwavelength

Diffractive Structures," J. N. Mait and D. W. Prather, SPIE Optical Engineering Press (2001)。Diffractive Structures, " J. N. Mait and D. W. Prather, SPIE Optical Engineering Press (2001).

一旦橫向個別區被模擬爲薄膜堆疊,則其反射場可藉 由使用Maxwell方程式或藉由使用Fresnel方程式以設定 及解答一邊界値問題而被計算。對於一被分割爲P個橫向 個別區之圖案化基底,來自圖案化基底之淨反射比可被計 算爲來自其構成圖案之p個橫向個別區的反射比之加權非 相干總和: 7? = % (矣)| 尽丨2 + %μ0) | 尽 |2 + …+,(A) | & |2 (13) 其中R係量測的淨反射比,Ei爲個別非相千相加場 項,而wj λ 〇)爲非相干相加項之加權因數。I Ei |2之使用 代表電磁場理論之頻率領域表示中的複場Ε;的量。方程 式(1 3 )中之各單獨的非相千相加項係藉由使橫向個別區中 之複合物層均勻化所獲得的薄膜堆疊之反射場。如部分相 -22- (20) 200405011 干反射比模型之情況,亦可將一損失因數應用至方程式 (1 3 )中之各項以將其由於非鏡面反射之損失列入考量° 爲了說明如何使周有效介質近似模型以計算淨反射* & ,考量圖4E,其顯示個別地取代以均勻化薄膜堆疊4 3 6、 4 5 0之圖案化基底400的橫向個別區(圖4A中之402、 4 04 )。另r]代表由於薄膜堆疊4 3 6之反射場,而另r2代 表由於薄膜堆疊4 5 0之反射場。從方程式(1 3 ),來自圖案 化基底400之淨反射比爲: # A。。=〜(Λ)) I 五436 I2 +冰45。(义。)| 五45。|2 (14) 其中R4 〇〇係來自圖案化基底400之淨反射比,Ε 4 3 6、 Ε4 5 〇爲個別來自薄膜堆疊4 3 6、4 5 0之各自非相千相加場 項,而w 4 3 6 ( Λ 〇)、w45G( λ 〇)爲非相干相加項之加權因數 。假如w 4 3 6被取代以1 — W45(),則方程式(14)變爲: 及400 = (1 一 W450(义0)) I 五436 I2 +从450(乂0) I 五衫。I2 圖5係一系統5 00之簡化槪圖,用以檢測一圖案化基 底之處理中的終點。此系統包含一光源5 0 2,用以產生一 光束、一光譜儀5 04,用以檢測及分析一光束、及一光學 系統5 06 ’用以傳送光至及自一製程室5〗〇之頂部上的埠 5 0 8。例如,光學系統5 06可包含一光學纖維512,其從 光源5 02傳送光至一準直儀5 ;! 4,其中準直儀5〗4被安裝 -23- (21) (21)200405011 於埠5 0 S之上;以及一光學纖維5 ;[ 6,其從準直儀5丨4傳 送光至光譜儀 504。一半導體基底518被安裝於製程室 5 1 0內部。爲了避免模糊本發明,未顯示用以處理半導體 基底5 1 8之設備的細節。然而,熟悉此項技術人士將淸楚 需何種設備以處理該基底。例如,假如欲經由電漿蝕刻以 形成凹槽於一基底,則基底5 1 8將被安裝於製程室5 1 0中 之一夾盤(未顯示),且將提供用以產生電漿之適當設備 〇 操作時,一控制半導體基底5 1 8之處理的製程模組 5 20傳送一信號至一資料收集控制單元5 2 2以觸發光源 5 02之操作。當光源5 02被觸發時,其產生一光束,其被 傳送透過光學纖維512而至準直儀514。光源5 02之操作 波長頻帶被選擇於其中已加強對相關參數之敏感度的區中 。通常,較寬的範圍較爲有用。於一範例中,光源5 02之 波長範圍爲190至8 0 0 nm。高達1 000 nm及更高的波長 亦可被使用。光束5 2 4離開準直儀5 1 4、通過埠5 0 8、並 以垂直入射照在基底5 1 8上。準直儀5 1 4收集垂直地自基 底5 ] 8反射的光束5 2 6。反射光束5 2 6通過光學纖維5 1 6 而行進至光譜儀5 04。光譜儀504分析反射光束5 26並傳 送其代表基底5 1 8之淨反射比光譜的資料至一電腦5 2 8以 供進一步分析。 於一實施例中,電腦5 2 8包含部分相干反射比模型及 有效介質近似模型,以供計算一圖案化基底之淨反射比光 譜;及一常式,其搜尋一組最佳參數以提供介於模擬的淨 7 Μ -24- (22) (22)200405011 反射比光譜與接收自光譜儀5 0 4的量測淨反射比光譜之間 的匹配。於一實施例中,搜尋常式係一非線性回歸常式., 諸如 L e v e n b e r g - M a r q u a r d t Compromise。然而,其他型式 的搜尋常式,諸如多變數回歸分析或神經網路匹配,亦可 被使用。所獲得的參數組可被映射至相關的數個關鍵量, 諸如遮罩層厚度、開始蝕刻深度、凹槽深度、及溝槽深度 。相關的量可被用以決定圖案化基底處理中之終點,如以 下將進一步描述。 圖6 A係用以收集來自一基底之垂直反射比資料的製 程之槪要,依據本發明之一實施例。其一目標係增進高品 質的反射比信號,即使存在有顯著的背景光位準,諸如來 自一發光電漿之放射…於製程開始時,收集一組使用者輸 入(6 0 0 )。使用者輸入含有用以設定終點檢測演算法所 需的資訊。在收集使用者輸入之後,觸發資料收集(6 〇 1 )。垂直入射反射比資料被收集自基底,於一段既定時間 間隔(6 0 2 )。在收集反射比資料之後,使用一非線性回 歸常式以計算一組最佳參數,其提供介於反射比資料與基 底的模擬反射比光譜之間的最接近匹配(604 )。接著, 應用一終點條件至各參數(6 0 6 )。例如,對於一鈾刻製 程’ 一終點條件可能爲其蝕刻深度是否大於或等於目標倉虫 刻深度。系統檢查其終點條件是否已滿足(607 )。假如 終點條件已滿足,則傳送一指示製程終點之信號至製程模 組(6 0 8 )。否則,系統回到步驟602。 圖6 B係一流程圖,其執行圖6 A之步驟6 0 2,亦即, -25- (23) (23)200405011 現場垂直入射反射比資料收集。在開始資料收集之前,製 程模組(圖5中之5 2 0 )通知資料收集控制單元(圖中之 5 2 2 )有關資料應如何被收集及校正(6 1 〇 )。例如,製程 模組告知資料收集控制單元有關應多常從基底收集反射比 資料及每一步驟應收集之反射比光譜數。製程模組亦提供 一基礎反射比光譜(通常爲裸砂反射比光譜)給資料收集 控制單元,以供量測反射比光譜之校正。裸矽反射比光譜 於處理基底之前被收集。 當資料收集控制單元(圖5中之522 )接收指令以開 始收集資料時,則光源(圖5中之5 0 2 )被開啓以產生一 光束,其被導引以照在基底上,且光譜儀(圖5中之504 )收集來自基底之反射比資料(6 12 )。接著,光源被關 閉且光譜儀再次收集來自基底之反射比資料(6 14 )。當 光源被關閉時,光譜儀所收集之資料係由於背景源,諸如 電漿放射、及檢測器雜訊。下一步驟係從步驟6 1 2所獲得 之反射比資料中減去步驟6 1 4所獲得之反射比資料,以去 除背景源之影響。 校正後反射比光譜係藉由基礎光譜而被標準化(6 1 8 )。接著,系統檢查其所欲的光譜數是否已被收集於當次 步驟(620 )。假如尙未收集到所欲的光譜數,則系統回 到步驟6 1 2並開始收集另·一*反射比光譜之寅料(6 2 2 )。 假如已收集到所欲的光譜數,則系統計算所收集的光譜之 平均以獲得一平均的、標準化的、反射比光譜(624 )。 平均的反射比光譜被傳送至電腦(圖5中之52 8 )以供匹 -26- (24) (24)200405011 配與基底之模擬的反射比光譜(626 )。在傳送平均反射 比光譜至電腦之後,系統等待當次步驟之結束(6 2 8 )。 於當次步驟結束時,系統回到步驟6 1 2以開始收集下次步 驟之資料(6 2 9 )。 圖6C係一流程圖,其執行圖6A之步驟604,亦即, 非線性回歸分析。其一目標係快速地達到一收斂組參數値 ’藉由以適當方向逐步地遞增參數値通過參數空間直到求 得解答。在非線性回歸分析開始之前,使用者輸入係由非 線性回歸常式所接收(6 3 0 )。使用者輸入包含參數之初 始猜測’以供藉由匹配量測的反射比光譜至模擬的反射比 光譜來決定。非線性回歸常式亦接收(平均的)量測反射 比光譜(63 1 )。接下來,計算模擬的反射比光譜(63 2 ) 。接著’非線性回歸常式被使用以計算對參數組之增量以 移動更接近介於量測反射比光譜與模擬反射比光譜之間的 最佳匹配(6 3 4 )。 糸統檢查步驟6 3 4中所計算之增量是否小到可忽略( 6 3 6 )。假如增量並非小到可忽略,則系統遞增參數之値 並回到步驟6 3 2以使用新的參數値再次計算模擬的光譜( 6 3 8 )。假如增量小到可忽略,則系統輸出最佳參數値( 64 0 )。相關的物理參數(例如,凹槽深度)被提取自最 佳參數値(642 )。接著,一終點條件被應用至物理參數 。例如,終點條件可以是:凹槽深度在離目標深度之某一 容限內。演算法檢查其終點條件是否已滿足(644 )。假 如終點條件已滿足,則傳送一信號至製程模組(646 )。 -27- (25) 200405011 假如終點信號未滿足,則獲得下一量測反射比光譜 非線性回歸分析(6 4 8 )。當次步驟所獲得的參數 用爲下次非線性回歸分/析(6 5 0 )之初始猜測以加 性回歸常式。 雖未明確地說明於步驟632,應淸楚瞭解其使 入亦包含有關如何將基底分割爲橫向個別區域之資 用者輸入亦包含橫向個別區域中之各層(或材料) 性質,以致其來自相應於橫向個別區域之薄膜堆疊 場可被計算,如先前所述。在開始各回歸分析之前 場被重新計算,因爲薄膜堆疊之結構已於基底之處 被改變,因而導致上述淨反射比方程式中之加權因 合因數之値的改變。使用者輸入亦可包含過渡波長 猜測,其決定將應用部分相干反射比模型及有效介 模型之反射比光譜的部分。 於一實施例中,本發明使用一種非線性回歸技 己夂版,稱爲「L e v e η b e r g - M a r q υ a r d t Compromise」 速地且正確地找出關鍵參數之最佳値,從參數値之 測開始。雖然 Lev enberg-Marquardt Compromise 技 佳的技術,但其他技術(諸如多變數回歸分析及神 方式)亦可被使用以提取有關的關鍵參數。爲了說 性回歸常式如何工作,圖7A顯示一量測的反射 7 0 0而圖7 B顯示一模擬的反射比光譜7 0 2,其係使 使用者輸入之初始猜測所計算。非線性回歸常式中 步驟係計算介於兩反射比光譜、702之間的最 並重複 値被使 速非線 用者輸 訊。使 的光學 的反射 ,反射 理期間 數及耦I 之初始 質近似 術之修 ,以快 初始猜 術爲較 經網路 明非線 比光譜 用來自 之第一 小平方 -28- (26) (26)200405011 差誤差度量。圖7C顯示其疊置於模擬反射比光譜7 02之 上的量測反射比光譜7 0 0。最小平方差之計算係藉由:取 其涵蓋波長範圍之數個點、計算介於各點上的光譜700、 7 02之間的垂直差、及加總所有點上之差的平方。接著使 用最小平方差誤差量度以決定參數値之增量。 至此,上述非線性回歸分析之描述是標準的。現在, 於許多情況下所發生的是其許多非相關參數造成整個模擬 光譜中之顯著改變,而相關參數僅造成模擬光譜之小部分 區的改變。爲了容許相關參數被快速且正確地找到,則在 光譜中預期會使相關參數產生差異的區之差異被放大以一 因數,例如(1 + r i ),在加總所有點上之差的平方以前 。因此,最小平方差誤差是較大的,假如相關區中之差異 較大的話。亦可應用一常數或加權因數至放大因數以進一 步偏移最小平方差誤差。 圖8顯示介於一模擬反射比光譜8 0 0 (其係使用部分 相干反射比模型及有效介質近似模型之組合所計算)與一 量測反射比光譜8 0 2之間的匹配。此範例中之圖案化基底 包含深凹槽結構,約24 3 nm深。所使用之波長範圍爲 2 2 5至8 0 0 n m。過渡波長被決定爲接近4 1 0 n m。這代表 著在4 1 0 nm以上之模擬光譜8 0 2的部分係使用有效介質 近似模型而計算,且在過渡波長以下之模擬反射比光譜的 部分係使用部分相干反射比模型而計算。如先前所述,使 用者可提供過渡波長之初始猜測。初始猜測可爲圖案化基 底上之主特徵之橫向範圍附近的値。此値可根據特徵之垂 -29- (27) (27)200405011 直尺寸而被調整。此値可被進一步即時地調整,根據介於 量測光譜與模擬光譜之間的匹配。 如從上述可瞭解,本發明提供數個優點。例如,一具 有隨機特徵陣列之圖案化基底可使用本發明之方法而被現 場地監視。本發明提供光學模型之組合,其可被使用以計 算圖案化基底之模擬反射比光譜。有關基底處理之相關參 數可藉由將模擬反射比光譜匹配至量測反射比光譜而被決 定。光學模型於反射比光譜之不同系統下均爲有效的,其 容許介於模擬反射比光譜與量測反射比光譜之間的最佳匹 配。光學模型之所以健全的原因在於其對於圖案化基底上 之特徵配置無任何限制,亦即,其模型不限於具有特殊測 試特徵之圖案化基底而可應用於具有複雜的.隨機特徵陣列 之圖案化基底。模型可包容外來的材料變化,諸如層厚度 、開始溝槽深度變化、及圖案密度與基底定向之差異。本 發明係使用一種偏移的非線性回歸技術以更正確地集中於 相關的關鍵參數,因而增進系統之敏感度。 雖然已參考數個較佳實施例以描述本發明,但仍有其 他變異、替換、及同等物落入本發明之範圍內。例如,可 使用Levenberg-Marquardt Compromise以外之其他的技術 以匹配量測反射比光譜至模擬反射比光譜。同時,過渡波 長可爲圖案化基底處理之任何既定時刻之寬頻光譜的任一 極限’以致其僅有一光學模型有效於計算模擬淨反射比光 譜時。因此希望其後附申請專利範圍被解讀爲包含所有此 等變異、替換、及同等物於本發明之真實精神及範圍內。 -30- (28) (28)200405011 【圖式簡單說明】 本發明係以範例方式(而非以限制方式)被說明於後 附圖形之圖示中,且其中類似的參考數字係指類似的元件 ,而其中: 圖1A係一半導體基底之橫斷面圖。 圖1 B顯示圖1 A之半導體基底中所蝕刻之一溝槽。 圖1 C顯示塡充以多晶矽之圖1 b的溝槽。 圖1D顯示平坦化後之圖iC的半導體基底。 圖1 E顯示圖丨D之溝槽中所形成的一凹槽。 圖2係一薄膜堆疊之一般性槪圖。 圖3A係一圖案化基底之橫斷面圖,其被用以說明本 發明之部分相干反射比模型的實施例。 圖3 B顯示其分割爲兩橫向個別區域之圖3 a的圖案 化基底。 圖3 C顯示一層介面之反射比模型。 圖3 D顯示一單一層之反射比模型。 圖3 E係圖3 A中所示之圖案化基底的頂視圖。 圖4A係一分割爲兩橫向個別區之基底的橫斷面圖。 圖4B係圖4A中所示之基底的圖案化區域之放大區 段。 圖4C顯示其分割爲垂直個別層之圖4A的放大區段 〇 圖4 D顯示藉由將垂直個別層均勻化於一圖案化基底 -31 - (29) 200405011 上之一區域中所形成的薄膜堆疊。 圖4E顯示取代以均勻化薄膜堆疊之圖4A中所示之 圖案化基底的兩橫向個別區。 圖5顯示依據本發明之一實施例的一製程設定。 圖6A係用以檢測一圖案化基底處理步驟中之一終點 的製程之槪要,依據本發明之一實施例。 圖6 B係用以收集垂直入射反射比資料之製程的槪要 ’依據本發明之一實施例。 # 圖6 C係用以匹配量測反射比光譜至模擬反射比光譜 之製程的槪要,依據本發明之一實施例。 圖7A係一量測反射比光譜之槪圖。 圖7B係一模擬反射比光譜之槪圖。 圖7C係介於圖7A的量測反射比光譜與圖7B的模擬 反射比光譜之間的匹配之圖示。 圖8係介於一量測淨反射比光譜與一模擬淨反射比光 _之間的匹配之圖示’此模擬淨反射比光譜係藉由部分相 鲁 午反射比與有效介質近似模型之結合而獲得。 【主要元件對照表】 1 〇〇 基底 1〇2 基底層 1 0 4 墊層 1 〇6 遮罩層 1 〇8 光阻遮罩Once the individual lateral regions are modeled as thin film stacks, their reflection fields can be calculated by using the Maxwell equation or by using the Fresnel equation to set and solve a boundary 値 problem. For a patterned substrate that is divided into P lateral individual regions, the net reflectance from the patterned substrate can be calculated as the weighted non-coherent sum of the reflectances from the p lateral individual regions that make up the pattern: 7? =% (矣) | Extremity 丨 2 +% μ0) | Extremity | 2 +… +, (A) | & | 2 (13) where R is the measured net reflection ratio, and Ei is the individual non-phase addition field term , And wj λ) is the weighting factor of the non-coherent addition term. The use of I Ei | 2 represents the amount of complex field E; in the frequency domain representation of electromagnetic field theory. The individual non-phase addition terms in equation (13) are the reflection fields of the thin film stack obtained by homogenizing the composite layers in the individual lateral regions. As in the case of partial phase-22- (20) 200405011 dry reflection ratio model, a loss factor can also be applied to the terms in equation (1 3) to take into account its loss due to non-specular reflection ° To illustrate how Approximate the effective medium model to calculate the net reflection * & Consider Figure 4E, which shows individual lateral regions of the patterned substrate 400 that are individually replaced to homogenize the film stack 4 3 6, 4 50 (402 in Figure 4A , 4 04). Another r] represents the reflection field due to the thin film stack 4 3 6 and the other r 2 represents the reflection field due to the thin film stack 4 50. From equation (1 3), the net reflectance from the patterned substrate 400 is: # A. . = ~ (Λ)) I five 436 I2 + ice 45. (Yi.) | V.45. | 2 (14) where R4 00 is the net reflectance from the patterned substrate 400, and E 4 3 6 and E 4 5 0 are the respective non-additive field additions from the thin film stacks 4 3 6 and 4 50 respectively. And w 4 3 6 (Λ 〇), w45G (λ 〇) are weighting factors of non-coherent addition terms. If w 4 3 6 is replaced with 1 — W45 (), then equation (14) becomes: and 400 = (1-W450 (meaning 0)) I five 436 I2 + from 450 (乂 0) I five shirts. I2 Figure 5 is a simplified diagram of a system of 500 for detecting the end point in the processing of a patterned substrate. This system includes a light source 50 2 for generating a light beam, a spectrometer 5 04 for detecting and analyzing a light beam, and an optical system 5 06 'for transmitting light to and from a process chamber 5 〖Top On the port 5 0 8. For example, the optical system 506 may include an optical fiber 512 that transmits light from the light source 502 to a collimator 5;! 4, where the collimator 5 is installed -23- (21) (21) 200405011 at Port 50S; and an optical fiber 5; [6, which transmits light from the collimator 5 to 4 to the spectrometer 504. A semiconductor substrate 518 is mounted inside the process chamber 510. To avoid obscuring the invention, details of the equipment for processing the semiconductor substrate 5 1 8 are not shown. However, those skilled in the art will know what equipment is required to handle the substrate. For example, if plasma etching is to be used to form a groove in a substrate, the substrate 5 1 8 will be installed in one of the chucks (not shown) in the process chamber 5 10, and a suitable plasma generating device will be provided. When the device 0 is operating, a process module 5 20 that controls the processing of the semiconductor substrate 5 1 8 sends a signal to a data collection control unit 5 2 2 to trigger the operation of the light source 50 2. When the light source 502 is triggered, it generates a light beam which is transmitted through the optical fiber 512 to the collimator 514. Operation of the light source 502 The wavelength band is selected in the region where sensitivity to related parameters has been enhanced. Generally, a wider range is more useful. In one example, the wavelength range of the light source 502 is 190 to 800 nm. Wavelengths up to 1000 nm and higher can also be used. The light beam 5 2 4 leaves the collimator 5 1 4, passes through the port 5 0 8, and strikes the substrate 5 1 8 with a normal incidence. The collimator 5 1 4 collects a light beam 5 2 6 reflected vertically from the substrate 5] 8. The reflected light beam 5 2 6 passes through the optical fiber 5 1 6 and travels to the spectrometer 50 04. The spectrometer 504 analyzes the reflected light beam 5 26 and transmits its data representing the net reflectance spectrum of the substrate 5 1 8 to a computer 5 2 8 for further analysis. In an embodiment, the computer 5 2 8 includes a partially coherent reflectance model and an effective medium approximation model for calculating the net reflectance spectrum of a patterned substrate; and a routine that searches for a set of optimal parameters to provide a medium The match between the simulated net 7 M -24- (22) (22) 200405011 reflectance spectrum and the measured net reflectance spectrum received from the spectrometer 504. In one embodiment, the search formula is a non-linear regression formula, such as Lev enb e r g-Ma r q u a r d t Compromise. However, other types of search routines, such as multivariate regression analysis or neural network matching, can also be used. The obtained parameter set can be mapped to a number of relevant key quantities, such as the mask layer thickness, the depth of the etch start, the depth of the groove, and the depth of the trench. Related quantities can be used to determine the endpoint in the patterned substrate process, as described further below. FIG. 6A is a summary of a process for collecting vertical reflectance data from a substrate, according to an embodiment of the present invention. One goal is to improve high-quality reflectance signals, even when there are significant levels of background light, such as radiation from a luminescent plasma ... At the beginning of the process, a set of user inputs (60 0) are collected. The user input contains the information needed to set the endpoint detection algorithm. After collecting user input, data collection is triggered (601). Normal incidence reflectance data is collected from the substrate at a given time interval (602). After the reflectance data is collected, a non-linear regression equation is used to calculate a set of optimal parameters that provides the closest match between the reflectance data and the base simulated reflectance spectrum (604). Next, an endpoint condition is applied to each parameter (6 0 6). For example, for an uranium engraving process, an end condition may be whether the etch depth is greater than or equal to the target worm depth. The system checks whether its endpoint conditions have been met (607). If the end condition is met, a signal indicating the end of the process is sent to the process module (608). Otherwise, the system returns to step 602. FIG. 6B is a flowchart that performs step 602 of FIG. 6A, that is, -25- (23) (23) 200405011 field vertical incidence reflectance data collection. Before starting the data collection, the process module (520 in FIG. 5) informs the data collection control unit (522 in the figure) how the relevant data should be collected and corrected (610). For example, the process module informs the data collection control unit about how often reflectance data should be collected from the substrate and the number of reflectance spectra that should be collected at each step. The process module also provides a basic reflectance spectrum (usually bare sand reflectance spectrum) to the data collection control unit for correction of the measured reflectance spectrum. Bare silicon reflectance spectra were collected before processing the substrate. When the data collection control unit (522 in FIG. 5) receives an instruction to start collecting data, the light source (502) in FIG. 5 is turned on to generate a light beam, which is guided to shine on the substrate, and the spectrometer (504 in FIG. 5) Collect reflectance data from the substrate (6 12). Then, the light source is turned off and the spectrometer collects the reflectance data from the substrate again (6 14). When the light source is turned off, the data collected by the spectrometer is due to background sources such as plasma emissions and detector noise. The next step is to subtract the reflectance data obtained in step 6 1 4 from the reflectance data obtained in step 6 1 2 to remove the influence of the background source. The corrected reflectance spectrum is normalized by the base spectrum (6 1 8). Then, the system checks whether the desired spectrum number has been collected in the current step (620). If 尙 does not collect the desired number of spectra, the system returns to step 6 1 2 and starts to collect another * reflectance spectrum material (6 2 2). If the desired number of spectra has been collected, the system calculates the average of the collected spectra to obtain an average, standardized, reflectance spectrum (624). The average reflectance spectrum is transmitted to the computer (52 8 in Figure 5) for matching the simulated reflectance spectrum (626) of -26- (24) (24) 200405011 to the substrate. After transmitting the average reflectance spectrum to the computer, the system waits for the end of the step (6 2 8). At the end of the current step, the system returns to step 6 1 2 to start collecting data for the next step (6 2 9). FIG. 6C is a flowchart that performs step 604 of FIG. 6A, that is, nonlinear regression analysis. One goal is to quickly reach a convergent set of parameters ’′ by gradually increasing the parameters in an appropriate direction 値 through the parameter space until a solution is obtained. Prior to the start of the non-linear regression analysis, user input was received by the non-linear regression routine (630). The user's input contains the initial guess of the parameters' for determination by matching the measured reflectance spectrum to the simulated reflectance spectrum. The nonlinear regression routine also receives (averaged) measured reflectance spectra (63 1). Next, calculate the simulated reflectance spectrum (63 2). Then a 'non-linear regression routine is used to calculate the increment to the parameter set to move closer to the best match between the measured reflectance spectrum and the simulated reflectance spectrum (6 3 4). The system checks whether the increment calculated in step 6 3 4 is so small as to be negligible (6 3 6). If the increment is not small enough to be ignored, the system increments the parameter 値 and returns to step 6 3 2 to use the new parameter 计算 to calculate the simulated spectrum again (6 3 8). If the increment is so small that it can be ignored, the system outputs the best parameter 値 (64 0). The relevant physical parameters (for example, groove depth) are extracted from the optimal parameter 値 (642). Then, an end condition is applied to the physical parameter. For example, the end condition can be: the groove depth is within a certain tolerance from the target depth. The algorithm checks whether its endpoint conditions have been met (644). If the end condition is met, a signal is sent to the process module (646). -27- (25) 200405011 If the endpoint signal is not satisfied, obtain the next measured reflectance spectrum nonlinear regression analysis (6 4 8). The parameters obtained in the current step are used as the initial guess for the next nonlinear regression analysis / analysis (650) to add the regression formula. Although it is not explicitly stated at step 632, it should be understood that its input also includes information about how to divide the substrate into horizontal individual areas. The input also includes the properties of each layer (or material) in the horizontal individual areas, so that it comes from the corresponding Film stacking fields in individual lateral regions can be calculated, as previously described. The fields were recalculated before each regression analysis was started, because the structure of the thin film stack has been changed at the base, resulting in a change in the weighting factor in the net reflectance equation above. The user input can also include a transition wavelength guess, which determines the part of the reflectance spectrum of the coherent reflectance model and effective dielectric model that will be applied. In one embodiment, the present invention uses a non-linear regression technique version called "L eve berg-M arq υ ardt Compromise" to quickly and correctly find the optimal value of key parameters. The test starts. Although Lev enberg-Marquardt Compromise is a good technique, other techniques (such as multivariate regression analysis and divine methods) can also be used to extract relevant key parameters. To illustrate how the sexual regression routine works, Fig. 7A shows a measured reflection 7 0 0 and Fig. 7 B shows a simulated reflectance spectrum 7 0 2 which is calculated from an initial guess entered by the user. The steps in the non-linear regression routine are to calculate the most repeated between the two reflectance spectra, 702, and to be used by a fast non-linear user. The optical reflection, the number of reflection periods, and the initial prime approximation of the coupling I are corrected by using the fast initial guess as the first square of the light-off-line ratio spectrum from -28- (26) ( 26) 200405011 Difference error metric. Fig. 7C shows the measured reflectance spectrum 7 0 0 superimposed on the simulated reflectance spectrum 70 2. The calculation of the least square difference is made by taking a number of points covering the wavelength range, calculating the vertical difference between the spectra 700 and 702 at each point, and summing the squares of the differences at all points. The least square error error measure is then used to determine the parameter 値 increment. So far, the description of the above nonlinear regression analysis is standard. Now, what happens in many cases is that many of its uncorrelated parameters cause significant changes in the entire simulated spectrum, while the correlated parameters cause only a small change in the simulated spectrum. In order to allow the relevant parameters to be found quickly and correctly, the differences in the regions where the relevant parameters are expected to differ in the spectrum are enlarged by a factor, such as (1 + ri), before summing the squares of the differences at all points. . Therefore, the error of the minimum squared difference is large if the difference in the relevant area is large. It is also possible to apply a constant or weighting factor to the amplification factor to further offset the least square error. Figure 8 shows a match between an analog reflectance spectrum 8 0 0 (calculated using a combination of a partially coherent reflectance model and an effective medium approximation model) and a measured reflectance spectrum 8 0 2. The patterned substrate in this example includes a deep groove structure, approximately 24 3 nm deep. The wavelength range used is 2 2 5 to 8 0 n m. The transition wavelength is determined to be close to 4 1 0 n m. This means that the part of the simulated spectrum 802 above 40 nm is calculated using an effective medium approximation model, and the part of the simulated reflectance spectrum below the transition wavelength is calculated using a partially coherent reflectance model. As mentioned earlier, the user can provide an initial guess for the transition wavelength. The initial guess may be 値 near the lateral extent of the main feature on the patterned substrate. This frame can be adjusted according to the vertical size of the feature -29- (27) (27) 200405011. This threshold can be further adjusted in real time, based on the match between the measured and simulated spectra. As can be understood from the foregoing, the present invention provides several advantages. For example, a patterned substrate with an array of random features can be monitored on site using the method of the present invention. The present invention provides a combination of optical models that can be used to calculate the simulated reflectance spectrum of a patterned substrate. Related parameters for substrate treatment can be determined by matching the simulated reflectance spectrum to the measured reflectance spectrum. The optical model is valid under different systems of reflectance spectrum, which allows the best match between the simulated reflectance spectrum and the measured reflectance spectrum. The reason why the optical model is robust is that it has no restrictions on the feature configuration on the patterned substrate, that is, its model is not limited to patterned substrates with special test features and can be applied to patterning with complex. Random feature arrays Base. The model can accommodate external material changes, such as layer thickness, starting trench depth changes, and differences in pattern density and substrate orientation. The present invention uses a shifted non-linear regression technique to more accurately focus on relevant key parameters, thereby increasing the sensitivity of the system. Although reference has been made to several preferred embodiments to describe the invention, other variations, substitutions, and equivalents fall within the scope of the invention. For example, techniques other than Levenberg-Marquardt Compromise can be used to match the measured reflectance spectrum to the simulated reflectance spectrum. At the same time, the transition wavelength can be any limit of the broad-spectrum spectrum at any given moment of the patterned substrate treatment, so that it has only one optical model that is effective in calculating the simulated net reflectance spectrum. It is therefore hoped that the scope of the appended patent application will be interpreted to include all such variations, substitutions, and equivalents within the true spirit and scope of the present invention. -30- (28) (28) 200405011 [Brief description of the drawings] The present invention is illustrated by way of example (not by way of limitation) in the following drawings, and similar reference numerals refer to similar Device, and of which: FIG. 1A is a cross-sectional view of a semiconductor substrate. FIG. 1B shows a trench etched in the semiconductor substrate of FIG. 1A. Figure 1C shows the trench of Figure 1b filled with polycrystalline silicon. FIG. 1D shows the semiconductor substrate of FIG. IC after planarization. FIG. 1E shows a groove formed in the trench of FIG. D. Figure 2 is a general view of a thin film stack. Figure 3A is a cross-sectional view of a patterned substrate, which is used to illustrate an embodiment of a partially coherent reflectance model of the present invention. Fig. 3B shows the patterned substrate of Fig. 3a divided into two laterally separate regions. Figure 3C shows the reflectance model of a layer of interface. Figure 3D shows a single layer reflectance model. FIG. 3E is a top view of the patterned substrate shown in FIG. 3A. FIG. 4A is a cross-sectional view of a base divided into two laterally separate regions. Fig. 4B is an enlarged section of the patterned area of the substrate shown in Fig. 4A. Fig. 4C shows an enlarged section of Fig. 4A which is divided into vertical individual layers. Fig. 4D shows a thin film formed by uniformizing the vertical individual layers on a patterned substrate -31-(29) 200405011. Stacked. Fig. 4E shows two laterally separate regions of the patterned substrate shown in Fig. 4A instead of a homogenized film stack. FIG. 5 shows a process setting according to an embodiment of the present invention. FIG. 6A is a summary of a process for detecting an end point in a patterned substrate processing step, according to an embodiment of the present invention. FIG. 6B is a summary of a process for collecting normal incidence reflectance data according to an embodiment of the present invention. # Figure 6 C is an essential part of the process for matching the reflectance spectrum to the simulated reflectance spectrum, according to an embodiment of the present invention. FIG. 7A is a diagram of a measured reflectance spectrum. FIG. 7B is a schematic diagram of a simulated reflectance spectrum. FIG. 7C is an illustration of the match between the measured reflectance spectrum of FIG. 7A and the simulated reflectance spectrum of FIG. 7B. Figure 8 is a graphical representation of the match between a measured net reflectance spectrum and a simulated net reflectance light_ This simulated net reflectance spectrum is a combination of partial phase Luwu reflectance and an approximate model of the effective medium And get. [Comparison table of main components] 1 00 substrate 1 2 substrate layer 1 0 4 cushion layer 1 0 6 mask layer 1 8 photoresist mask

-32- (30)200405011 110 區域 112 溝槽 1 1 4 多·晶矽 1 1 6 多晶砂覆蓋層 1 1 8 凹陷 120 凹陷 1 22 凹槽 200 薄膜堆疊 202,204, 206, 208 層 2 10 光束 2 12 反射光束 3 00 圖案化基底 3 02 遮罩層 3 04 氧化物層 3 06 基底層 306a, 306b 基底層部分 308 溝槽 3 10 多晶矽柱 3 14 凹陷 316, 318 橫向個別區域 320 層介面 322 單一層 324 真空層 326 入射光束 -33- (31)200405011 330 區 332 邊 界 334 虛 線 336 區 400 圖 案 化 基底 402, 404 區 404a 丨品 段 406 入 射 光 408 溝 槽 412 遮 罩 層 4 14 氧 化 物 層 4 16 基 底 層 4 18 介 電 環 420 多 晶 矽 柱 422 凹 槽 424 真 空 柱 426, 42 8, 43 0, 432, 434 層 436 薄 膜 堆 宜 43 8, 440, 442, 444, 446 均 勻 層 450 薄 膜 堆 疊 500 系 統 502 光 源 504 光 譜 儀 506 光 學 系 統-32- (30) 200405011 110 area 112 trench 1 1 4 polycrystalline silicon 1 1 6 polycrystalline sand coating 1 1 8 recess 120 recess 1 22 recess 200 film stack 202, 204, 206, 208 layer 2 10 Light beam 2 12 Reflected light beam 3 00 Patterned substrate 3 02 Mask layer 3 04 Oxide layer 3 06 Base layer 306a, 306b Base layer portion 308 Trench 3 10 Polycrystalline silicon pillar 3 14 Depression 316, 318 Lateral individual area 320 Layer interface 322 Single layer 324 Vacuum layer 326 Incident beam -33- (31) 200405011 330 area 332 boundary 334 dashed line 336 area 400 patterned substrate 402, 404 area 404a 丨 section 406 incident light 408 groove 412 masking layer 4 14 oxide layer 4 16 Base layer 4 18 Dielectric ring 420 Polycrystalline silicon post 422 Groove 424 Vacuum post 426, 42 8, 43 0, 432, 434 Layer 436 Thin film stack 43, 440, 442, 444, 446 Uniform layer 450 Thin film stack 500 System 502 Light source 504 Spectrometer 506 Optical system

-34- (32) 捧 製程室 光學纖維 準直儀 光學纖維 基底 製程模組 資料收集控制單元 肇 光束 反射光束 電腦 量測的反射比光譜 模擬的反射比光譜 模擬的反射比光譜 量測的反射比光譜 -35--34- (32) Optical fiber collimator, optical fiber substrate manufacturing module, data collection control unit, process chamber, reflected beam, computer measured reflectance, simulated reflectance, simulated reflectance, spectral reflectance, measured reflectance Spectrum-35-

Claims (1)

(1) (1)200405011 拾、申請專利範圍 1 · 一種於一圖案化基底之處理期間決定一相關參數之 方法,其包含: 獲得以一具有寬頻光譜之光束照射圖案化基底之至少 一部分所得之量測的淨反射比光譜; 計算一模擬的淨反射比光譜以成爲來自構成圖案化基 底之部分的不同區之反射比的加權非相干總和: 針對低於寬頻光譜中之一選定過渡波長的波長,使用 一第一光學模型以計算來自各區之反射比以成爲來自相應 於其構成區(region)之橫向個別區域(areas)之薄膜堆. 疊的反射場的加權相干總和; 針對高於寬頻光譜中之選定過渡波長的波長,使用一 第二光學模型以計算來自各區之反射比以成爲來自藉由以 有效均勻介質取代區中之層而獲得之薄膜堆疊的反射場; 及 決定一參數組,其提供介於量測淨反射比光譜與模擬 淨反射比光譜之間的緊密匹配。 2 .如申請專利範圍第1項之方法,其中過渡波長係函 數地取決於圖案化基底上之主特徵的尺寸。 3 ·如申請專利範圍第1項之方法,其中決定參數組包 3現場地決定過渡波長之一最佳値。 4 ·如申請專利範圍第1項之方法,其中在低於過渡波 長之波長下,光束之自由空間波長可比得上或小於圖案化 基底之部分中之主特徵的特徵尺寸。 -36- (2) (2)200405011 5 ·如申請專利範圍第4項之方法,其中自由空間波長 爲特徵尺寸之至少2.0倍。 6 ·如申請專利範圍第1項之方法,其中在蔼於過渡波 長之波長下,光束之自由空間波長係大於圖案化基底之部 分中之主特徵的特徵尺寸。 7 ·如申請專利範圍第6項之方法,其中自由空間波長 大於特徵尺寸之2.0倍。 8 ·如申請專利範圍第1項之方法,進一步包含從參數 組提取相關參數。 9 ·如申請專利範圍第8項之方法,其中相關參數係圖 案化基底之部分中的特徵之垂直尺寸。 10.如申請專利範圍第1項之方法,其中相應於第一 光學模型中之橫向個別區域的薄膜堆疊爲等向且均勻的。 1 1 ·如申請專利範圍第1項之方法,其中使用第一光 學模型以計算反射比包含模擬圖案化基底之部分爲具有額 定地無關極化反射比。 1 2 .如申請專利範圍第1項之方法,進一步包含在決 定參數組之前應用一損失因數至模擬的淨反射比,此損失 因數係正比於其來自圖案化基底之部分的非鏡面反射。 1 3 ·如申請專利範圍第1項之方法,其中以有效的均 勻介質取代區中之層的步驟包含模擬圖案化基底之部分中 的特徵爲均勻介質中之內含物。 1 4 ·如申請專利範圍第1項之方法,其中決定參數組 包含計算介於量測淨反射比光譜與模擬淨反射比光譜之間 -37- 747 (3) (3)200405011 的最小平方差誤差量度並找出使誤差量度最小化之參數組 〇 15·如申請專利範圍第14項之方法,進一步包含放大 誤差量度上之相關參數之改變的效應。 1 6 ·如申請專利範圍第1 4項之方法,其中計算模擬淨 反射比光譜包含接收參數組之一初始猜測組。 1 7 ·如申請專利範圍第1項之方法,其中獲得量測淨 反射比光譜包含獲得一段時間間隔內之圖案化基底的部分 之一反射比光譜組、及設定量測淨反射比光譜至反射比光 譜組之平均。 1 8 · —種用以控制一圖案化基底之處理的方法,其包 含: :.: 獲得以一具有寬頻光譜之光束照射圖案化基底之至少 一部分所得之量測的淨反射比光譜; 計算一模擬的淨反射比光譜以成爲來自構成圖案化基 底之部分的不同區之反射比的加權非相干總和; 針對低於寬頻光譜中之選定過渡波長的波長,使用一 第一光學模型以計算來自各區之反射比以成爲來自相應於 其構成區之橫向個別區域之薄膜堆疊的反射場的加權相干 總和; 針對高於寬頻光譜中之選定過渡波長的波長,使用一 第二光學模型以計算來自各區之反射比以成爲來自藉由以 有效均勻介質取代區中之層而獲得之薄膜堆疊的反射場; 決定一參數組,其提供介於量測淨反射比光譜與模擬 -38- (4) (4)200405011 淨反射比光譜之間的緊密匹配; 從該參數組取得一相關參數;及 假如該相關參數之値滿足一預定的終點條件時以信號 通知圖案化基底之處理的終點。(1) (1) 200405011 Pickup, patent application scope 1 · A method for determining a related parameter during the processing of a patterned substrate, comprising: obtaining a light beam obtained by irradiating at least a portion of the patterned substrate with a light beam having a wide frequency spectrum Measured net reflectance spectrum; Calculate a simulated net reflectance spectrum to become a weighted non-coherent sum of reflectances from different regions constituting the portion of the patterned substrate: for wavelengths selected below one of the broadband spectra's transition wavelengths A first optical model is used to calculate the reflectance from each region to become a thin film stack from the lateral individual areas corresponding to its constituent regions. The weighted coherent sum of the overlapping reflection fields; for broadband above The wavelength of the selected transition wavelength in the spectrum, using a second optical model to calculate the reflectance from each zone to become a reflection field from a thin film stack obtained by replacing layers in the zone with an effective uniform medium; and determining a parameter Group, which provides a close match between the measured net reflectance spectrum and the simulated net reflectance spectrum. 2. The method of claim 1 in which the transition wavelength is a function of the size of the main feature on the patterned substrate. 3 · The method according to item 1 of the scope of patent application, in which the parameter package is determined. 3 One of the optimal wavelengths for the transition wavelength is determined on site. 4. The method according to item 1 of the scope of patent application, wherein at a wavelength below the transition wavelength, the free-space wavelength of the light beam is comparable to or smaller than the feature size of the main feature in the portion of the patterned substrate. -36- (2) (2) 200405011 5 · The method according to item 4 of the patent application scope, wherein the free space wavelength is at least 2.0 times the characteristic size. 6. The method according to item 1 of the patent application range, in which the free space wavelength of the light beam is larger than the feature size of the main feature in the portion of the patterned substrate at a wavelength that is shorter than the transition wavelength. 7 · The method according to item 6 of the patent application, wherein the free-space wavelength is greater than 2.0 times the feature size. 8 · The method according to item 1 of the scope of patent application, further comprising extracting relevant parameters from the parameter group. 9 · The method according to item 8 of the patent application scope, wherein the relevant parameters are the vertical dimensions of the features in the portion of the patterned base. 10. The method according to item 1 of the patent application range, wherein the thin film stacks corresponding to the individual lateral regions in the first optical model are isotropic and uniform. 1 1 · The method according to item 1 of the patent application scope, wherein the first optical model is used to calculate a portion of the reflectance that includes the simulated patterned substrate to have a nominally independent polarization reflectance. 12. The method of item 1 of the patent application scope further comprises applying a loss factor to the simulated net reflection ratio before determining the parameter set, the loss factor being proportional to the non-specular reflection of the portion from the patterned substrate. 1 3. The method of claim 1, wherein the step of replacing the layers in the zone with an effective homogeneous medium includes simulating features in the portion of the patterned substrate as inclusions in the homogeneous medium. 1 4 · The method according to item 1 of the scope of patent application, wherein determining the parameter set includes calculating a minimum square difference of -37- 747 (3) (3) 200405011 between the measured net reflectance spectrum and the simulated net reflectance spectrum Error measure and find the parameter group that minimizes the error measure. 15. The method of item 14 of the scope of patent application, further includes amplifying the effect of changes in related parameters on the error measure. 16 · The method according to item 14 of the patent application range, wherein calculating the simulated net reflectance spectrum includes an initial guess group that is one of the receiving parameter groups. 1 7 · The method according to item 1 of the patent application range, wherein obtaining the measured net reflectance spectrum comprises obtaining one of the reflectance spectrum groups of a portion of the patterned substrate over a period of time, and setting the measured net reflectance spectrum to reflectance Than the average of the spectral group. 1 8 · A method for controlling the processing of a patterned substrate, comprising: obtaining a measured net reflectance spectrum obtained by irradiating at least a portion of the patterned substrate with a light beam having a broad frequency spectrum; calculating a The simulated net reflectance spectrum is a weighted, non-coherent sum of the reflectances from the different regions constituting the portion of the patterned substrate; for wavelengths below the selected transition wavelength in the broadband spectrum, a first optical model is used to calculate the The reflectance of a region is a weighted coherent sum of the reflected fields from a thin film stack corresponding to the lateral individual regions of its constituent region; for a wavelength higher than a selected transition wavelength in the broadband spectrum, a second optical model is used to calculate the The reflectance of the zone becomes the reflection field from a thin film stack obtained by replacing the layers in the zone with an effective homogeneous medium; determine a parameter set that provides a measure between the measured net reflectance spectrum and simulation -38- (4) (4) 200405011 a close match between the net reflectance spectrum; obtain a relevant parameter from the parameter group; and if the relevant parameter The end of the processing of the patterned substrate is signaled when a predetermined number of end conditions are satisfied. -39--39-
TW092122019A 2002-08-13 2003-08-11 Process endpoint detection method using broadband reflectometry TWI276802B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US40321302P 2002-08-13 2002-08-13
US40861902P 2002-09-06 2002-09-06
US10/286,409 US7399711B2 (en) 2002-08-13 2002-11-01 Method for controlling a recess etch process
US10/286,410 US7019844B2 (en) 2002-08-13 2002-11-01 Method for in-situ monitoring of patterned substrate processing using reflectometry.
US10/401,118 US6979578B2 (en) 2002-08-13 2003-03-27 Process endpoint detection method using broadband reflectometry

Publications (2)

Publication Number Publication Date
TW200405011A true TW200405011A (en) 2004-04-01
TWI276802B TWI276802B (en) 2007-03-21

Family

ID=31721852

Family Applications (3)

Application Number Title Priority Date Filing Date
TW092122020A TWI303090B (en) 2002-08-13 2003-08-11 Method for in-situ monitoring of patterned substrate processing using reflectometry
TW092122019A TWI276802B (en) 2002-08-13 2003-08-11 Process endpoint detection method using broadband reflectometry
TW092122018A TWI314762B (en) 2002-08-13 2003-08-11 Method for controlling a recess etch process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW092122020A TWI303090B (en) 2002-08-13 2003-08-11 Method for in-situ monitoring of patterned substrate processing using reflectometry

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW092122018A TWI314762B (en) 2002-08-13 2003-08-11 Method for controlling a recess etch process

Country Status (9)

Country Link
EP (3) EP1546649A1 (en)
JP (3) JP4841953B2 (en)
KR (3) KR20050047097A (en)
CN (4) CN100353140C (en)
AT (1) ATE445141T1 (en)
AU (3) AU2003255273A1 (en)
DE (1) DE60329602D1 (en)
TW (3) TWI303090B (en)
WO (3) WO2004015364A1 (en)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7006224B2 (en) * 2002-12-30 2006-02-28 Applied Materials, Israel, Ltd. Method and system for optical inspection of an object
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
JP4531465B2 (en) * 2004-07-06 2010-08-25 株式会社フジクラ Blind via depth evaluation method, depth evaluation apparatus, and substrate polishing apparatus
ATE498901T1 (en) * 2006-10-30 2011-03-15 Applied Materials Inc ENDPOINT DETECTION FOR ETCHING PHOTOMASKS
US7521332B2 (en) * 2007-03-23 2009-04-21 Alpha & Omega Semiconductor, Ltd Resistance-based etch depth determination for SGT technology
CN101599433B (en) * 2008-06-03 2012-05-23 中芯国际集成电路制造(北京)有限公司 Semiconductor etching method and semiconductor etching system
JP5027753B2 (en) 2008-07-30 2012-09-19 東京エレクトロン株式会社 Substrate processing control method and storage medium
FR2960340B1 (en) 2010-05-21 2012-06-29 Commissariat Energie Atomique METHOD FOR MAKING A SUBSTRATE SUPPORT
CN102954903B (en) * 2011-08-22 2015-02-04 上海华虹宏力半导体制造有限公司 Preparation method of germanium-silicon film monitoring sheet, and monitoring method through adopting sheet
CN102519364B (en) * 2011-11-30 2014-10-15 上海华力微电子有限公司 Optical detection method and computer-aided system for plasma etching structure
CN102426421B (en) * 2011-11-30 2014-08-13 上海华力微电子有限公司 Advanced process control method for plasma etching
NL2010162A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
CN103575703B (en) * 2012-08-09 2016-03-09 中国科学院微电子研究所 Utilize the method for reflective spectral measure monocrystalline silicon base solar surface anti-reflection film
WO2015005905A1 (en) 2013-07-09 2015-01-15 Halliburton Energy Services, Inc. Integrated computational elements with laterally-distributed spectral filters
BR112015029784A2 (en) 2013-07-09 2017-07-25 Halliburton Energy Services Inc system, measuring tool and method
US10496776B2 (en) 2013-12-24 2019-12-03 Halliburton Energy Services, Inc. Fabrication of critical layers of integrated computational elements
EP2926116A4 (en) 2013-12-24 2016-11-30 Halliburton Energy Services Inc In-situ monitoring of fabrication of integrated computational elements
EP2901135B1 (en) 2013-12-24 2016-08-24 Halliburton Energy Services, Inc. Real-time monitoring of fabrication of integrated computational elements
EP2909763A4 (en) 2013-12-24 2015-12-23 Halliburton Energy Services Inc Adjusting fabrication of integrated computational elements
WO2015102586A1 (en) 2013-12-30 2015-07-09 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
MX360943B (en) 2013-12-31 2018-11-13 Halliburton Energy Services Inc Fabrication of integrated computational elements using substrate support shaped to match spatial profile of deposition plume.
EP2946197A4 (en) 2014-02-14 2016-12-21 Halliburton Energy Services Inc In-situ spectroscopy for monitoring fabrication of integrated computational elements
BR112016016251B1 (en) 2014-03-21 2020-11-17 Halliburton Energy Services, Inc optical analysis tool and well profiling system
WO2015191084A1 (en) 2014-06-13 2015-12-17 Halliburton Energy Services, Inc. Integrated computational element with multiple frequency selective surfaces
WO2016067296A1 (en) * 2014-11-02 2016-05-06 Nova Measuring Instruments Ltd. Method and system for optical metrology in patterned structures
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US11377728B2 (en) * 2016-07-13 2022-07-05 Evatec Ag Broadband optical monitoring
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US10861755B2 (en) * 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
CN111937122A (en) 2018-03-30 2020-11-13 朗姆研究公司 Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
KR102200662B1 (en) * 2019-10-23 2021-01-12 충남대학교 산학협력단 Non-invasive plasma process diagnostic method and apparatus
WO2023286180A1 (en) * 2021-07-14 2023-01-19 株式会社日立ハイテク Plasma processing device, data analysis device, and semiconductor device manufacturing system
KR102630373B1 (en) * 2022-05-02 2024-01-30 세메스 주식회사 Substrate processing apparatus and method for measuring floating amount of substrate
US20230418995A1 (en) * 2022-06-23 2023-12-28 Onto Innovation Inc. Multiple sources of signals for hybrid metrology using physical modeling and machine learning
CN115996031B (en) * 2023-03-24 2023-06-13 武汉敏声新技术有限公司 Method for manufacturing resonator and resonator

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US271047A (en) 1883-01-23 Geoege b
US4147435A (en) * 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
DE19640273C1 (en) * 1996-09-30 1998-03-12 Siemens Ag Method for manufacturing barrier-free semiconductor memory devices
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP2000241126A (en) * 1999-02-25 2000-09-08 Nikon Corp Measuring device and method
US6271047B1 (en) * 1998-05-21 2001-08-07 Nikon Corporation Layer-thickness detection methods and apparatus for wafers and the like, and polishing apparatus comprising same
US6166819A (en) * 1998-06-26 2000-12-26 Siemens Aktiengesellschaft System and methods for optically measuring dielectric thickness in semiconductor devices
US6275297B1 (en) * 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000292129A (en) * 1999-04-09 2000-10-20 Toshiba Corp Method and device for measuring etching depth
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry

Also Published As

Publication number Publication date
JP4679365B2 (en) 2011-04-27
TWI303090B (en) 2008-11-11
EP1546650B1 (en) 2012-10-03
EP1546649A1 (en) 2005-06-29
JP2005536076A (en) 2005-11-24
EP1529193B1 (en) 2009-10-07
WO2004015365A1 (en) 2004-02-19
CN101221917A (en) 2008-07-16
KR20050028057A (en) 2005-03-21
WO2004015727A3 (en) 2004-04-29
TWI276802B (en) 2007-03-21
TW200405501A (en) 2004-04-01
TWI314762B (en) 2009-09-11
WO2004015727A2 (en) 2004-02-19
CN1675517A (en) 2005-09-28
JP4841953B2 (en) 2011-12-21
EP1529193A2 (en) 2005-05-11
AU2003255272A1 (en) 2004-02-25
CN100353140C (en) 2007-12-05
JP2005536074A (en) 2005-11-24
JP4679364B2 (en) 2011-04-27
CN100370221C (en) 2008-02-20
CN100595899C (en) 2010-03-24
AU2003258170A1 (en) 2004-02-25
AU2003255273A8 (en) 2004-02-25
KR20050047098A (en) 2005-05-19
AU2003255273A1 (en) 2004-02-25
TW200403785A (en) 2004-03-01
ATE445141T1 (en) 2009-10-15
CN100376864C (en) 2008-03-26
EP1546650A1 (en) 2005-06-29
CN1675518A (en) 2005-09-28
DE60329602D1 (en) 2009-11-19
JP2005536075A (en) 2005-11-24
KR20050047097A (en) 2005-05-19
CN1675516A (en) 2005-09-28
WO2004015364A1 (en) 2004-02-19

Similar Documents

Publication Publication Date Title
TW200405011A (en) Process endpoint detection method using broadband reflectometry
US6979578B2 (en) Process endpoint detection method using broadband reflectometry
Fanton et al. Multiparameter measurements of thin films using beam‐profile reflectometry
US7019844B2 (en) Method for in-situ monitoring of patterned substrate processing using reflectometry.
TWI240064B (en) Methods for determining the depth of a buried structure
CN109540007A (en) The measurement method and measuring device of super thick film
US7399711B2 (en) Method for controlling a recess etch process
US7259850B2 (en) Approach to improve ellipsometer modeling accuracy for solving material optical constants N & K
JP4487375B2 (en) Pattern modeling method, film thickness measuring method, process state determining method, film thickness measuring apparatus, process state determining apparatus, polishing apparatus, and semiconductor device manufacturing method
US6605482B2 (en) Process for monitoring the thickness of layers in a microelectronic device
JP7463551B2 (en) Trench optical measurement target
Hu et al. Measurements of shallow trench isolation by normal incidence optical critical dimension technique
Rathsack et al. Inline sidewall angle monitoring of memory capacitor profiles
Bushman et al. Characterization and Control of Etch Processes Using Multiple Metrologies

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees