JP2003100718A - エッチング方法 - Google Patents

エッチング方法

Info

Publication number
JP2003100718A
JP2003100718A JP2001295186A JP2001295186A JP2003100718A JP 2003100718 A JP2003100718 A JP 2003100718A JP 2001295186 A JP2001295186 A JP 2001295186A JP 2001295186 A JP2001295186 A JP 2001295186A JP 2003100718 A JP2003100718 A JP 2003100718A
Authority
JP
Japan
Prior art keywords
film layer
gas
etching
layer
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001295186A
Other languages
English (en)
Other versions
JP5038567B2 (ja
Inventor
Akitoshi Harada
彰俊 原田
Koichiro Inasawa
剛一郎 稲沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2001295186A priority Critical patent/JP5038567B2/ja
Priority to PCT/JP2002/009129 priority patent/WO2003030237A1/ja
Priority to US10/490,107 priority patent/US7125806B2/en
Publication of JP2003100718A publication Critical patent/JP2003100718A/ja
Application granted granted Critical
Publication of JP5038567B2 publication Critical patent/JP5038567B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 エッチングと同一の容器を用いてアッシング
を行うとアッシングレートが遅くなる。また、エッチン
グ容器をそのまま使用するとアッシング後に残渣が残
る。 【解決手段】 本発明のエッチング兼アッシング装置1
は、チャンバー2内の上部電極11と、この下方に対向
して配設され且つウエハWを載置するサセプタ4と、こ
れら両者11、4それぞれに第1、第2の高周波電力を
印加する第1、第2の高周波電源20、22と、アッシ
ング用ガス及びエッチング用ガスを供給する処理ガス供
給源16とを備え、アッシング時またはエッチング時に
は第1の高周波電源20から上部電極11に高周波電力
を印加すると共に第2の高周波電源22からサセプタ4
に高周波電力を印加する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、エッチング方法に
関し、更に詳しくは例えば多層配線形成工程において配
線パターン形成用のレジスト層、反射防止膜層及び層間
絶縁膜として用いられる有機Low−k膜層をエッチン
グする方法に関する。
【0002】
【従来の技術】半導体集積回路の高集積化に伴い、多層
配線構造を有する半導体装置の製造が急速に発展してい
る。多層配線構造を有する半導体装置の場合には、水平
方向に展開する各素子を接続するトレンチ配線と共に垂
直方向に積層される各素子を接続するビアホール配線を
形成する必要がある。このような多層の配線構造として
デュアルダマシン構造が採用されている。その際、集積
回路の高速化を図るために、最近では、配線材料として
低抵抗でエレクトロマイグレーション耐性に優れた金属
として例えば銅等を用い、更に層間絶縁材料として低誘
電率を確保できる有機Low−k材料として例えばSi
LK(米国ダウケミカル社の製品)等を用いる傾向にあ
る。
【0003】さて、例えば図6の(a)〜(c)はビア
ホール形成用のフォトレジスト層(PR層)を用いてデ
ュアルダマシン構造のビアホールのショルダー部を形成
する工程の一例を示している。デュアルダマシン構造を
形成する場合には、同図の(a)に示すように、例えば
ウエハ上にシリコン酸化膜層(SiO膜層)101、
シリコン窒化膜層(SiN膜層)102、有機Low−
k膜層(例えば、SiLK膜層)103、SiN膜層1
04及び下層回路パターンの銅配線層(Cu層)105
が形成されたSiLK膜層106を形成する。ここで、
SiO膜層101及びシリコン窒化膜層(SiN膜層
102)はそれぞれビア及びトレンチを形成するための
第1のハードマスク層及び第2のハードマスク層として
形成され、SiLK膜層103は層間絶縁膜層として形
成され、下のSiN膜層104はビアホールを形成する
際のストッパーとして形成されている。そして、最上層
にはビアホール形成用のパターンを有するフォトレジス
ト膜層(PR層)107が形成されている。
【0004】そして、SiLK層103にビアホールを
形成する場合には、SiN膜層102及びSiLK膜層
103に対してエッチング性を有するガス(例えば、C
F4ガス、Arガス、Oガスの混合ガス)を用いて図
6の(a)に示すようにPR層107のビアホールのパ
ターン108に従ってSiN層102をエッチングした
後SiLK膜層103を所定の厚さ例えば少なくともデ
ュアルダマシン構造のショルダー部に相当する深さまで
オーバーエッチングする(同図の(b)参照)。引き続
き、SiO膜層101、SiN層102に対して高い
選択比を示すエッチングガス(例えば、NガスとH
ガスの混合ガス)を用いてPR層107をエッチング
(アッシング)する工程がある。この工程では同図の
(c)に示すように、PR層107を除去すると共にS
iO膜層101、SiN層102をハードマスクとし
てSiLK層103をエッチングしてビアホール108
を更に掘り下げる。
【0005】
【発明が解決しようとする課題】しかしながら、従来は
ガスとHガスの混合ガスを用いてビアホール形成
用のPR層107と層間絶縁膜層であるSiLK層10
3を同時にエッチングすると、図6の(c)に示すよう
にSiO膜層101をエッチングして形成されたトレ
ンチ部(SiN膜層102)110上にレジスト残渣D
が残るため、その後のSiN膜層102のエッチング工
程においてレジスト残渣によるSiN膜層102のエッ
チングの形状不良を生じその後のエッチングにおいてS
iLK膜層103のエッチング残渣の原因になるという
課題があった。特に、PR層107の下層に有機膜層で
ある反射防止膜層を設けた場合にレジスト残渣が顕著に
残る傾向にある。
【0006】本発明は、上記課題を解決するためになさ
れたもので、配線形成工程においてレジスト膜層や有機
層間絶縁膜層等の有機膜層をエッチングする際に、レジ
スト残渣を残さず、有機層間絶縁膜層を所望の形状にエ
ッチングすることができるエッチング方法を提供するこ
とを目的としている。
【0007】
【課題を解決するための手段】本発明の請求項1に記載
のエッチング方法は、有機膜層をエッチングする方法に
おいて、エッチングガスとして、Nガス、Hガス及
びCF系ガスの混合ガスを用いることを特徴とするもの
である。
【0008】また、本発明の請求項2に記載のエッチン
グ方法は、有機膜層をエッチングする方法において、エ
ッチングガスとして、N、Hを含むガス、CF系ガス及
びOガスの混合ガスを用いることを特徴とするもので
ある。
【0009】また、本発明の請求項3に記載のエッチン
グ方法は、請求項1または請求項2に記載の発明におい
て、配線パターン形成用の有機膜層と、この有機膜層の
下に形成されたハードマスク層と、このハードマスク層
の下に形成された有機Low−k膜層を基にビアホール
構造を形成する工程で上記有機膜層及び上記有機Low
−k膜層を上記エッチングガスを用いてエッチングする
ことを特徴とするものである。
【0010】また、本発明の請求項4に記載のエッチン
グ方法は、請求項1または請求項2に記載の発明におい
て、配線パターン形成用の有機膜層と、この有機膜層の
下に形成されたハードマスク層と、このハードマスク層
の下に形成された有機Low−k膜層を基にトレンチ構
造を形成する工程で上記有機膜層及び上記有機Low−
k膜層を上記エッチングガスを用いてエッチングするこ
とを特徴とするものである。
【0011】また、本発明の請求項5に記載のエッチン
グ方法は、請求項1または請求項2に記載の発明におい
て、配線パターン形成用の有機膜層と、この有機膜層の
下に形成されたハードマスク層と、このハードマスク層
の下に形成された有機Low−k膜層を基にデュアルダ
マシン構造を形成する工程で上記有機膜層及び上記有機
Low−k膜層を上記エッチングガスを用いてエッチン
グすることを特徴とするものである。
【0012】また、本発明の請求項6に記載のエッチン
グ方法は、請求項1〜請求項5のいずれか1項に記載の
発明において、上記有機膜層は、レジスト膜層及び反射
防止膜層を含むことを特徴とするものである。
【0013】また、本発明の請求項7に記載のエッチン
グ方法は、請求項3〜請求項6のいずれか1項に記載の
発明において、上記ハードマスク層はシリコン窒化膜層
を含むことを特徴とするものである。
【0014】また、本発明の請求項8に記載のエッチン
グ方法は、請求項1〜請求項7のいずれか1項に記載の
発明において、上記CF系ガスとしてCHFガスを用
いることを特徴とするものである。
【0015】また、本発明の請求項9に記載のエッチン
グ方法は、請求項2〜請求項8のいずれか1項に記載の
発明において、上記N、Hを含むガスとしてNHガス
を用いることを特徴とするものである。
【0016】また、本発明の請求項10に記載のエッチ
ング方法は、請求項2〜請求項9のいずれか1項に記載
の発明において、上記エッチングガスとしてNH/C
F/Oの混合ガスを用い、O/NHの流量比
が10/1500より大きく300/1500より小さ
いことを特徴とするものである。
【0017】また、本発明の請求項11に記載のエッチ
ング方法は、請求項1〜請求項10のいずれか1項に記
載の発明において、上記有機膜層を残渣無く除去するこ
とを特徴とするものである。
【0018】また、本発明の請求項12に記載のエッチ
ング方法は、請求項3〜請求項11のいずれか1項に記
載の発明において、上記有機Low−k膜層内にビアホ
ールを形成することを特徴とするものである。
【0019】また、本発明の請求項13に記載のエッチ
ング方法は、請求項12に記載の発明において、上記ビ
アホールの一部を残すことを特徴とするものである。
【0020】
【発明の実施の形態】以下、図1〜図5に示す実施形態
に基づいて本発明を説明する。図1は本発明のエッチン
グ方法を実施する際に用いられるプラズマ処理装置を模
式的に示す断面図である。このプラズマ処理装置は、図
1に示すように、保安接地された処理容器(チャンバ
ー)1と、このチャンバー1内に昇降可能に配設された
サセプタを構成する下部電極2と、この下部電極2の上
方においてチャンバー1の天井部を形成し且つエッチン
グガスの供給部を形成する中空状の上部電極3と、この
上部電極3の上面中央部のガス導入部3Aに接続され且
つ複数種のエッチングガスを供給するガス供給系4と、
チャンバー1の排気部1Bに接続された排気装置(図示
せず)とを備えた平行平板型として構成され、ガス供給
系4からチャンバー1内に適宜のエッチングガスを供給
すると共に排気装置を介してチャンバー1内を所定の真
空度に保持しながら上下の両電極2、3の間でエッチン
グガスのプラズマを発生させ、下部電極2上のウエハW
をエッチングする。
【0021】上記下部電極2には例えば2MHzの第1
の高周波電源5が整合器5Aを介して接続され、第1の
高周波電源5から下部電極2に対して所定の高周波電力
を印加し、下部電極2上のウエハWにバイアス電位を発
生させる。上部電極3には例えば60MHzの第2高周
波電源6が整合器6Aを介して接続され、第2の高周波
電源6から上部電極3に対して所定の高周波電力を印加
し、上述のように下部電極2と上部電極3の間でエッチ
ングガスのプラズマを発生させる。
【0022】上記下部電極2の上面には電極板7Aを内
蔵するセラミック製の静電チャック7が配置され、電極
板7Aには例えば2.5kVの高圧直流電源7Bが接続
されている。そして、高圧直流電源7Bから電極板7A
に直流高電圧を印加し、静電チャック7表面に発生した
クーロン力でウエハWを静電吸着する。下部電極2に上
面には静電チャック7を囲むフォーカスリング8が配置
され、フォーカスリング8を介して下部電極2のウエハ
W面にプラズマを集める。更に、下部電極2は冷却手段
や加熱手段(共に図示せず)を内蔵し、これらの冷却、
加熱手段を介して下部電極2を−20℃から+80℃ま
で制御する。また、下部電極2及び静電チャック7には
ヘリウムガス等のバックサイドガスを吐出するガス流路
(図示せず)が形成され、このガス流路から静電チャッ
ク7上のウエハWの裏面に向けてバックサイドガスを吐
出し下部電極2とウエハWとの間の熱伝達率を高める。
また、上部電極3の下面全面には多数の吐出孔3Bが均
等に分散して形成され、ガス供給系4から上部電極3内
に導入されたエッチングガスを複数の吐出孔3Bからチ
ャンバー1内全体に均等に供給する。尚、上部電極3は
絶縁部材9を介してチャンバー1から絶縁されている。
【0023】上記ガス供給系4は、図1に示すように、
複数種のエッチングガスを供給するガス供給源41と、
これらのガス供給源41に対応する流量調整バルブ42
及び開閉バルブ43とを備えている。本実施形態では例
えば、ガス供給源41は、Nガス供給源41A、H
ガス供給源41B及びCHFガス供給源41Cを有
し、更に、NHガス供給源41D、Oガス供給源4
1E及びその他のガス供給源41Fを有している。ま
た、流量調整バルブ42は各ガス供給源41A〜41F
に対応する流量調整バルブ42A〜42Fを有し、開閉
バルブ43は各ガス供給源41A〜41Fに対応するバ
ルブ43A〜43Fを有している。そして、エッチング
対象に応じて各ガス供給源41を適宜切り替えて所定の
エッチングガスを供給する。
【0024】而して、本発明のエッチング方法を実施す
る場合には、有機Low−k膜層(例えば、SiLK膜
層)にデュアルダマシン構造を形成する工程において、
ビアホール形成用のフォトレジスト膜層(PR層)及び
SiLK膜層をエッチングする際に、後述のようにガス
供給源41からN、Hを含むガス、CF系ガス及びO
の混合ガスをエッチングガスとして供給する。あるいは
ガス供給源41からNガス、Hガス及びCF系ガス
の混合ガスをエッチングガスとして供給する。また、酸
化膜層(SiO膜層)や窒化膜層(SiN膜層)等の
ハードマスク層をエッチングする場合にはその他のガス
供給源42Fを使用する。尚、図1では本発明のエッチ
ング方法以外のエッチングに使用される複数種のエッチ
ングガスはその他のガス供給源41Fとして一つに纏め
て記載してある。
【0025】次に、上記プラズマ処理装置を用いた本発
明のエッチング方法の実施形態について図2〜図5を参
照しながら説明する。図2〜図5はデュアルダマシン構
造のトレンチ及びビアホールを形成する工程を示すが、
本実施形態のエッチング方法は、図3の(b)、(c)
に示す、PR層をアッシングすると共にSiLK膜層に
形成されたビアホールを更にエッチングして掘り下げる
工程に特徴がある。そこで、以下ではデュアルダマシン
構造のトレンチ及びビアホールを形成する工程を説明す
る。
【0026】例えば図2の(a)に示すように、デュア
ルダマシン構造を形成するウエハには上層から下層に向
かって、第1、第2のハードマスク層としてのSiO
膜層(膜厚:例えば100nm)201、SiN膜層
(膜厚:例えば50nm)202、層間絶縁膜層として
の有機Low−k膜層(例えば、SiLK膜層)(膜
厚:例えば550nm)203、ストッパーとしてのS
iN膜層204及び下層回路パターンの銅配線層(Cu
層)205が形成されたSiLK膜層206がそれぞれ
の膜厚で形成されている。
【0027】ところで、上記有機Low−k膜層の材料
は特定の材料に制限されるものではなく、SiLKの
他、例えば、例えばポリフッ化ナフタレンポリマー、マ
レイミドベンゾシクロブテンポリマー、ポリパーフロロ
シクロブテンアロマティックエーテル、ポリイミド、ポ
リアリルエーテル、パリレン、水素化ダイアモンド、ポ
リテトラフルオロエチレン等を好適に用いることができ
る。更に、有機Low−k膜層203の材料としては、
有機高分子中にシリカが一部置換されたジビニルシロキ
サンベンゾシクロブテンポリマー、シリカ添加ポリイミ
ド等を好適に用いることができる。尚、Low−k膜と
は比誘電率が二酸化シリコンの比誘電率4より小さい材
料からなる膜を云う。また、第1のハードマスク層の材
料は特定の材料に制限されるものではなく、シリコン酸
化物の他、例えば、シリコン窒化膜、ポーラスシリカ、
シリコンカーバイド、シリコン酸窒化物等の絶縁材料、
窒化チタン、窒化タンタル等の金属窒化物、あるいは炭
化チタン等を好適に用いることができる。第2のハード
マスク層の材料は特定の材料に制限されるものではな
く、シリコン窒化物の他、例えば、シリコン酸化物、シ
リコンカーバイド、ポーラスシリコン窒化物、シリコン
酸窒化物、窒化アルミニウム、シリカの絶縁材料、窒化
チタン、窒化タンタル等の金属窒化物、あるいは炭化チ
タン等を好適に用いることができる。但し、窒化チタン
膜や窒化タンタル膜等の導電性窒化膜を用いる場合に
は、トレンチとビアホールに銅を埋め込んだ後、導電性
窒化膜をCMPやドライエッチングにより除去する必要
がある。
【0028】而して、図2の(a)に示すウエハのSi
膜層201上に、トレンチ形成用のPR層(膜厚:
例えば450nm)207を形成し、図2の(b)に示
すように所定のリソグラフィ工程によりトレンチ形成用
のパターン208を形成する。その後、SiN膜層20
2に対して高い選択性を示すエッチングガス(例えば、
/O/Arの混合ガス)を用いてトレンチ形
成用のパターン208に従ってSiO膜層201をエ
ッチングすると、SiN膜層202が露呈しトレンチの
パターンが形成される。引き続き、エッチングガスを例
えばOガスに切り換えてPR層207をアッシングし
た後、同図の(c)に示すようにSiO膜層201上
に反射防止膜層(BARC(BOTTOM ANTI REFLECTION CO
ATING)層)(膜厚:例えば60nm)209を形成す
る。このBARC層209の材料は特定の材料に制限さ
れるものではなく、例えば、AR2−600、AR3−
200(シプレイ・ファーイースト(株)製)あるいは
SEK−ex4(東京応化工業(株)製)等の有機系材
料を好適に用いることができる。
【0029】次いで、BARC層209上にPR層21
0を形成した後、図3の(a)に示すように所定のリソ
グラフィ工程によりビアホール形成用のパターン211
を形成する。そして、エッチングガスをPR層210に
対して高い選択比を示すエッチングガス(例えば、CF
/Ar/Oの混合ガス)に切り換えてビアホール形
成用のパターン211に従ってSiN膜層202をエッ
チングし、更にそのオーバーエッチングによりSiLK
膜層203を所定の深さ(例えば、少なくともデュアル
ダマシン構造のショルダー部に相当する深さ)までエッ
チングする。
【0030】然る後、本発明のエッチング方法を用いた
エッチング工程でPR層210及びBARC層209を
除去する。この工程では、SiO膜層201、SiN
膜層202に対して高い選択比を示すガス、即ちN、H
を含むガス(例えば、NH)、CF系ガス(例えば、
CHF、CH、CHF、C、C
、C等)及びOを混合した第1の混合ガ
ス、またはNガス、Hガス及びCF系ガス(例え
ば、CHF、CH、CHF、C、C
、C等)を混合した第2の混合ガスをエッチ
ングガスとして用い、図3の(c)に示すようにPR層
210及びBARC層209をアッシングすると共に、
SiLK膜層203に形成されたビアホール212を更
に掘り下げる。第1の混合ガスまたは第2の混合ガスを
用いることにより、従来のようにSiN膜層202のト
レンチ部内でレジスト残渣を発生させることなく、PR
層210及びBARC層209を確実に除去することが
できると共に、SiLK膜層203に所望の形状のエッ
チングを行うことができる。
【0031】第1の混合ガスとしてNH/CHF/
を用いる場合には、混合ガス中、O/NHの流
量比が10/1500より大きく300/1500より
小さいことが好ましい。また、CHF/NHの流量
比が1/1500〜10/1500の範囲がより更に好
ましい。O/NHの流量比が10/1500以下に
なるとエッチング残渣が発生する虞があり、300/1
500を超えるとボーイングを形成する虞がある。ま
た、第2の混合ガスとしてN/H/CHFを用い
る場合には、混合ガス中、CHF/(N+H)の
流量比が1/1800〜10/1800の範囲が好まし
い。
【0032】PR層210及びBARC層209を除去
した後、エッチングガスをSiO膜層201に対して
高い選択比を示す混合ガス(例えば、CH/Ar
/OまたはCF/CH/Ar/O)に切り
換えて、SiO膜層201をハードマスクとしてSi
N膜層202をエッチングしてトレンチパターン213
を形成すると共にSiLK膜層203のビアホール21
2を掘り下げ、図4の(a)に示すようにSiO膜層
201及びSiN膜層202からなるトレンチ形成用の
ハードマスクを形成する。引き続き、SiO膜層20
1及びSiN膜層202に対して高い選択比を示すエッ
チングガス(例えば、N/H)に切り換え、同図の
(b)に示すようにSiO膜層201及びSiN膜層
202をハードマスクとしてSiLK膜層203をエッ
チングしてトレンチ213を更に掘り下げると共にビア
ホール212を下層のSiN膜層204に達するまで掘
り下げる。
【0033】引き続き、図4の(c)に示すように、ハ
ードマスク、SiLK膜層203及びCu層205に対
して高い選択比を示す混合ガス(例えば、CH
Ar/O)を用い、Cu層205上のSiN膜層20
4をエッチングしてビアホール212を貫通させる。こ
の際、SiLK膜層203のトレンチ213も更に深く
なる。
【0034】以上のエッチング処理工程を纏めて示した
ものが図5である。図5中の番号はエッチング工程の順
序を示している。この一連のエッチング工程においてS
iLK膜層203に所望形状のデュアルダマシン構造の
ビアホール212及びトレンチ213を形成することが
できる。これらのビアホール212及びトレンチ213
に銅等の配線材料を埋め込むことにより配線工程を終了
する。
【0035】以上説明したように本実施形態によれば、
ビアホール形成用の有機膜層(PR層210、BARC
層209)の下に形成されたハードマスク層(SiO
膜層201及びSiN膜層202)と、このハードマス
ク層の下に形成されたSiLK膜層203を基にデュア
ルダマシン構造を形成する工程において、PR層210
とBARC層209からなる有機膜層及びSiLK膜層
203のエッチングガスとして、N、Hを含むガス(例
えば、NH)、CF系ガス(例えば、CHF、CH
、CHF、C、C、C等)
及びOを混合した第1の混合ガス、またはNガス、
ガス及びCF系ガス(例えば、CHF、CH
、CHF、C、C、C等)を混
合した第2の混合ガスを用いるようにしたため、SiN
膜層202のトレンチ部にレジスト残渣を発生させるこ
となく、PR層210及びBARC層209を確実に除
去し、同時にSiLK膜層203に所望形状のビアホー
ル212のエッチングを行うことができる。このため、
その後のSiN膜層202のトレンチ部のエッチングに
おいてレジスト残渣によるSiN膜層202エッチング
の形状不良やその後のSiLK膜層203のエッチング
時の残渣を抑制することができる。
【0036】また、上記有機膜層は、PR層210と、
このPR層210の下面に形成されたBARC層209
とを含んでいるため、フォトリソグラフィ工程において
ビアホール形成用のパターン211を高精度に形成する
ことができる。また、ハードマスク層はSiN膜層20
2を含んでいるため、SiO膜層201に対して高い
選択比を有するエッチングガスを用いてSiN膜層20
2をエッチングしてトレンチ213を高精度に形成する
ことができる。第1の混合ガスとしてNH/CH
/Oを用い、O/NHを10/1500より大き
く300/1500より小さく設定することにより、P
R層210及びBARC層209をより確実に除去する
ことができる。
【0037】次に、図3の(b)、(c)に示す工程の
実施例を具体的に示す。 実施例1 本実施例では300mmウエハ対応のプラズマ処理装置
を用いると共に、エッチングガスとして第1の混合ガス
(NH/CHF/O)を用いて下記のプロセス条
件に設定し、26秒間処理してPR層210をアッシン
グすると共にSiLK膜層203をエッチングしてビア
ホール212の一部を形成した。この結果、トレンチ部
のSiN膜層202上にはレジスト残渣が認められなか
った。また、SiLK膜層及びPR層のエッチング速度
がそれぞれ6830オングストローム/分及び8605
オングストローム/分であり、PR層210のエッチン
グの面内均一性は±5.2%であり、エッチング速度が
高く、しかもPR層210のエッチングの面内均一性に
優れていることが判った。 [プロセス条件] 1.チャンバー内圧力:400mTorr 2.第1の高周波電源:2MHz、3000W 3.第2の高周波電源:60MHz、3000W 4.上下両電極間の隙間寸法:50mm 5.B/T/Wの温度:0℃/60℃/60℃ 但し、Bは下部電極の温度、Tは上部電極の温度、Wは
チャンバー壁面の温度を示す。 6.バックサイドガスの圧力(中央部/周縁部):10
/35Torr 7.エッチングガス:NH/CHF/O=150
0/3/20sccm
【0038】実施例2 本実施例では300mmウエハ対応のプラズマ処理装置
を用いると共に、エッチングガスとして第2の混合ガス
(N/H/CHF)を用い、その流量をN /H
/CHF=1500/300/3sccmに設定す
ると共にチャンバー内の圧力を300〜400mTorrに
設定した以外は、実施例1と同一のプロセス条件でPR
層210及びSiLK膜層203を42秒間エッチング
した。この結果、トレンチ部のSiN膜層202上には
レジスト残渣が認められなかった。また、SiLK膜層
及びPR層のエッチング速度はそれぞれ3171オング
ストローム/分及び3265オングストローム/分であ
り、PR層210のエッチングの面内均一性は±27.
2%であった。
【0039】比較例1 本比較例ではエッチングガスとして実施例1の場合より
もOの流量を減らした第1の混合ガスを用い、各ガス
の流量をNH/CHF/O=1500/3/10
sccmに設定した以外は、実施例1と同一のプロセス
条件でPR層210及びSiLK膜層203を23秒間
エッチングした。この結果、トレンチ部のSiN膜層2
02上にはレジスト残渣が認められた。SiLK膜層2
03及びPR層310のエッチング速度がそれぞれ68
87オングストローム/分及び8331オングストロー
ム/分であり、PR層210のエッチングの面内均一性
は±6.6%であった。
【0040】比較例2 本比較例ではエッチングガスとして実施例1の混合ガス
のOを除いたNH及びCHFの混合ガスを用い、
各ガスの流量をNH/CHF=1500/3scc
mに設定した以外は、実施例1と同一のプロセス条件で
PR層210及びSiLK膜層203を27秒間エッチ
ングした。この結果、トレンチにはレジスト残渣が認め
られた。また、SiLK膜層203及びPR層210の
エッチング速度がそれぞれ6975オングストローム/
分及び8111オングストローム/分であり、PR層の
エッチングの面内均一性は±12.6%であった。
【0041】比較例3 本比較例では200mmウエハ対応のプラズマ処理装置
を用いると共にエッチングガスとして従来の混合ガス
(N、Hの混合ガス)を用い、下記のプロセス条件
に設定し、PR層210及びSiLK膜層203を45
秒間エッチングした。この結果、トレンチ部のSiN膜
層202上にはレジスト残渣が認められた。また、Si
LK膜層及びPR層のエッチング速度がそれぞれ220
0オングストローム/分及び3700オングストローム
/分で、実施例1と比較してエッチング速度が極めて低
いことが判った。尚、PR層210のエッチングの面内
均一性は10%であった。 [プロセス条件] 1.チャンバー内圧力:100mTorr 2.第1の高周波電源:2MHz、800W 3.第2の高周波電源:60MHz、1500W 4.下部電極と上部電極間の寸法:45mm 5.B/T/Wの温度:0℃/30℃/50℃ 6.バックサイドガスの圧力(中央部/周縁部):10
/35Torr 7.エッチングガス:N/H=300/300sc
cm
【0042】尚、本発明は上記実施形態に何等制限され
るものではない。本実施例ではビアホール212を形成
する工程に本発明を適用した場合について説明したが、
ハードマスク層の上に形成された配線パターン形成用の
有機膜層と、有機Low−k膜層を同時にエッチングし
て配線形成用の有機膜層を完全に除去する工程であれば
本発明を適用することができ、トレンチ構造を形成する
場合にも本発明を適用することができる。また、例え
ば、デュアルダマシン構造の各膜層の材料は必要に応じ
て適宜変更することができる。反射防止膜層はPR層上
に形成したものであっても良い。
【0043】
【発明の効果】本発明の請求項1〜請求項13に記載の
発明によれば、配線形成工程においてレジスト膜層や有
機層間絶縁膜層等の有機膜層をエッチングする際に、レ
ジスト残渣を残さず、有機層間絶縁膜層を所望の形状に
エッチングすることができるエッチング方法を提供する
ことができる。
【図面の簡単な説明】
【図1】本発明のエッチング方法に用いられるプラズマ
処理装置の一例を示す断面図である。
【図2】図1に示すプラズマ処理装置を用いてトレンチ
用のハードマスクを形成する工程を示す工程図である。
【図3】図1に示すプラズマ処理装置を用いてビアホー
ル用のハードマスクを形成する工程を示す工程図であ
る。
【図4】図1に示すプラズマ処理装置を用いてビアホー
ル及びトレンチを形成する工程を示す工程図である。
【図5】図2〜図4に示す工程を纏めて示す工程図であ
る。
【図6】従来のエッチング方法によりビアホール用のハ
ードマスクを形成する工程を示す工程図である。
【符号の説明】
201 SiO膜層(ハードマスク層) 202 SiN膜層(ハードマスク層) 203 SiLK膜層(有機Low−k膜層、有機膜
層) 209 BARC層(有機膜層) 210 PR層(有機膜層) 212 ビアホール
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/768 H01L 21/90 A Fターム(参考) 2H096 AA25 HA24 KA00 4M104 AA01 BB04 CC01 DD07 DD08 DD15 DD16 DD17 DD18 DD20 DD65 DD75 EE08 EE12 EE14 EE16 EE17 EE18 HH20 5F004 AA09 BA09 BB11 BB22 BB28 BD01 CA01 DA00 DA15 DA16 DA24 DA25 DA26 DA30 DB03 DB07 DB23 EA06 EA07 EA22 EA28 5F033 HH11 JJ01 JJ11 KK11 MM02 QQ03 QQ04 QQ08 QQ09 QQ10 QQ11 QQ12 QQ15 QQ21 QQ25 QQ28 QQ37 QQ48 RR01 RR03 RR04 RR06 RR08 RR09 RR21 RR22 TT04 WW06 WW10 XX21 5F046 MA12 MA13

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 有機膜層をエッチングする方法におい
    て、エッチングガスとして、Nガス、Hガス及びC
    F系ガスの混合ガスを用いることを特徴とするエッチン
    グ方法。
  2. 【請求項2】 有機膜層をエッチングする方法におい
    て、エッチングガスとして、N、Hを含むガス、CF系
    ガス及びOガスの混合ガスを用いることを特徴とする
    エッチング方法。
  3. 【請求項3】 配線パターン形成用の有機膜層と、この
    有機膜層の下に形成されたハードマスク層と、このハー
    ドマスク層の下に形成された有機Low−k膜層を基に
    ビアホール構造を形成する工程で上記有機膜層及び上記
    有機Low−k膜層を上記エッチングガスを用いてエッ
    チングすることを特徴とする請求項1または請求項2に
    記載のエッチング方法。
  4. 【請求項4】 配線パターン形成用の有機膜層と、この
    有機膜層の下に形成されたハードマスク層と、このハー
    ドマスク層の下に形成された有機Low−k膜層を基に
    トレンチ構造を形成する工程で上記有機膜層及び上記有
    機Low−k膜層を上記エッチングガスを用いてエッチ
    ングすることを特徴とする請求項1または請求項2に記
    載のエッチング方法。
  5. 【請求項5】 配線パターン形成用の有機膜層と、この
    有機膜層の下に形成されたハードマスク層と、このハー
    ドマスク層の下に形成された有機Low−k膜層を基に
    デュアルダマシン構造を形成する工程で上記有機膜層及
    び上記有機Low−k膜層を上記エッチングガスを用い
    てエッチングすることを特徴とする請求項1または請求
    項2に記載のエッチング方法。
  6. 【請求項6】 上記有機膜層は、レジスト膜層及び反射
    防止膜層を含むことを特徴とする請求項1〜請求項5の
    いずれか1項に記載のエッチング方法。
  7. 【請求項7】 上記ハードマスク層はシリコン窒化膜層
    を含むことを特徴とする請求項3〜請求項6のいずれか
    1項に記載のエッチング方法。
  8. 【請求項8】 上記CF系ガスとしてCHFガスを用
    いることを特徴とする請求項1〜請求項7のいずれか1
    項に記載のエッチング方法。
  9. 【請求項9】 上記N、Hを含むガスとしてNHガス
    を用いることを特徴とする請求項2〜請求項8のいずれ
    か1項に記載のエッチング方法。
  10. 【請求項10】 上記エッチングガスとしてNH/C
    F/Oの混合ガスを用い、O/NHの流量比
    が10/1500より大きく300/1500より小さ
    いことを特徴とする請求項2〜請求項9のいずれか1項
    に記載のエッチング方法。
  11. 【請求項11】 上記有機膜層を残渣無く除去すること
    を特徴とする請求項1〜請求項10のいずれか1項に記
    載のエッチング方法。
  12. 【請求項12】 上記有機Low−k膜層内にビアホー
    ルを形成することを特徴とする請求項3〜請求項11の
    いずれか1項に記載のエッチング方法。
  13. 【請求項13】 上記ビアホールの一部を残すことを特
    徴とする請求項12に記載のエッチング方法。
JP2001295186A 2001-09-26 2001-09-26 エッチング方法 Expired - Fee Related JP5038567B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001295186A JP5038567B2 (ja) 2001-09-26 2001-09-26 エッチング方法
PCT/JP2002/009129 WO2003030237A1 (fr) 2001-09-26 2002-09-06 Procede de gravure
US10/490,107 US7125806B2 (en) 2001-09-26 2002-09-06 Etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001295186A JP5038567B2 (ja) 2001-09-26 2001-09-26 エッチング方法

Publications (2)

Publication Number Publication Date
JP2003100718A true JP2003100718A (ja) 2003-04-04
JP5038567B2 JP5038567B2 (ja) 2012-10-03

Family

ID=19116662

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001295186A Expired - Fee Related JP5038567B2 (ja) 2001-09-26 2001-09-26 エッチング方法

Country Status (3)

Country Link
US (1) US7125806B2 (ja)
JP (1) JP5038567B2 (ja)
WO (1) WO2003030237A1 (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004097931A1 (ja) * 2003-04-28 2004-11-11 Fujitsu Limited 半導体装置の製造方法
EP1609175A1 (en) * 2003-03-31 2005-12-28 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP2006156768A (ja) * 2004-11-30 2006-06-15 Anritsu Corp 半導体装置の製造方法および半導体装置
JP2006303144A (ja) * 2005-04-20 2006-11-02 Hitachi High-Technologies Corp 真空処理装置及び試料の真空処理方法
JP2007516622A (ja) * 2003-12-23 2007-06-21 ラム リサーチ コーポレーション プラズマ処理システムにおける選択性の制御方法
JP2008147668A (ja) * 2006-12-08 2008-06-26 Applied Materials Inc デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング
JP2010041051A (ja) * 2008-08-06 2010-02-18 Tokyo Electron Ltd 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
US8048325B2 (en) 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP4825911B2 (ja) * 2006-03-24 2011-11-30 アプライド マテリアルズ インコーポレイテッド 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP2012531053A (ja) * 2009-08-25 2012-12-06 シルバーブルック リサーチ ピーティワイ リミテッド フォトレジストおよびエッチング残留物をビアから除去する方法
JP2013513948A (ja) * 2009-12-11 2013-04-22 ノベルス・システムズ・インコーポレーテッド low−k誘電体について損傷を低く抑えつつフォトレジストをストリッピングする方法
JP2014131086A (ja) * 2014-04-10 2014-07-10 Hitachi High-Technologies Corp プラズマ処理方法
JP2016192483A (ja) * 2015-03-31 2016-11-10 東京エレクトロン株式会社 エッチング方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7563719B2 (en) * 2007-03-15 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8110342B2 (en) * 2008-08-18 2012-02-07 United Microelectronics Corp. Method for forming an opening
US8323877B2 (en) * 2010-11-16 2012-12-04 United Microelectronics Corp. Patterning method and method for fabricating dual damascene opening
TWI489550B (zh) * 2010-11-30 2015-06-21 United Microelectronics Corp 圖案化方法以及雙重金屬鑲嵌開口的製造方法
JP6177601B2 (ja) * 2013-06-25 2017-08-09 東京エレクトロン株式会社 クリーニング方法及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000036484A (ja) * 1998-05-11 2000-02-02 Tokyo Electron Ltd プラズマ処理方法
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
JP2000269185A (ja) * 1999-02-17 2000-09-29 Fr Telecom プラズマガスによる有機誘電ポリマー材料の異方性エッチング方法
JP2001118825A (ja) * 1999-10-19 2001-04-27 Tokyo Electron Ltd エッチング方法
JP2001196455A (ja) * 1999-10-29 2001-07-19 Lucent Technol Inc 半導体素子の製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6632707B1 (en) * 2001-01-31 2003-10-14 Advanced Micro Devices, Inc. Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000036484A (ja) * 1998-05-11 2000-02-02 Tokyo Electron Ltd プラズマ処理方法
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
JP2000269185A (ja) * 1999-02-17 2000-09-29 Fr Telecom プラズマガスによる有機誘電ポリマー材料の異方性エッチング方法
JP2001118825A (ja) * 1999-10-19 2001-04-27 Tokyo Electron Ltd エッチング方法
JP2001196455A (ja) * 1999-10-29 2001-07-19 Lucent Technol Inc 半導体素子の製造方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1609175A1 (en) * 2003-03-31 2005-12-28 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP2006522480A (ja) * 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
WO2004097931A1 (ja) * 2003-04-28 2004-11-11 Fujitsu Limited 半導体装置の製造方法
CN1327508C (zh) * 2003-04-28 2007-07-18 富士通株式会社 半导体装置的制造方法
US7183200B2 (en) 2003-04-28 2007-02-27 Fujitsu Limited Method for fabricating a semiconductor device
JP2007516622A (ja) * 2003-12-23 2007-06-21 ラム リサーチ コーポレーション プラズマ処理システムにおける選択性の制御方法
KR101116588B1 (ko) * 2003-12-23 2012-03-15 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 선택도 제어
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP2006156768A (ja) * 2004-11-30 2006-06-15 Anritsu Corp 半導体装置の製造方法および半導体装置
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
JP2006303144A (ja) * 2005-04-20 2006-11-02 Hitachi High-Technologies Corp 真空処理装置及び試料の真空処理方法
US7947189B2 (en) 2005-04-20 2011-05-24 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method of sample
JP4825911B2 (ja) * 2006-03-24 2011-11-30 アプライド マテリアルズ インコーポレイテッド 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
JP2008147668A (ja) * 2006-12-08 2008-06-26 Applied Materials Inc デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング
JP2010041051A (ja) * 2008-08-06 2010-02-18 Tokyo Electron Ltd 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
JP2012531053A (ja) * 2009-08-25 2012-12-06 シルバーブルック リサーチ ピーティワイ リミテッド フォトレジストおよびエッチング残留物をビアから除去する方法
JP2013513948A (ja) * 2009-12-11 2013-04-22 ノベルス・システムズ・インコーポレーテッド low−k誘電体について損傷を低く抑えつつフォトレジストをストリッピングする方法
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP2014131086A (ja) * 2014-04-10 2014-07-10 Hitachi High-Technologies Corp プラズマ処理方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP2016192483A (ja) * 2015-03-31 2016-11-10 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
JP5038567B2 (ja) 2012-10-03
US20040209469A1 (en) 2004-10-21
WO2003030237A1 (fr) 2003-04-10
US7125806B2 (en) 2006-10-24

Similar Documents

Publication Publication Date Title
JP2003100718A (ja) エッチング方法
US7326650B2 (en) Method of etching dual damascene structure
US6670278B2 (en) Method of plasma etching of silicon carbide
US7105454B2 (en) Use of ammonia for etching organic low-k dielectrics
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
US7169695B2 (en) Method for forming a dual damascene structure
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US7166535B2 (en) Plasma etching of silicon carbide
US7265060B2 (en) Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US8906810B2 (en) Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
KR20100028544A (ko) 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
JP2006013190A (ja) 半導体装置の製造方法
WO2000003432A1 (en) Plasma etch process of a dielectric multilayer structure particularly useful for dual damascene
JP4477750B2 (ja) エッチング方法
JP4071069B2 (ja) 絶縁膜のエッチング方法
EP1667216A2 (en) Dielectric etch method with high density and low bombardment energy plasma providing high etch rates
JP2002270586A (ja) 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
KR20140095031A (ko) 다중-층 필름 스택에서 자기-정렬 비아 및 트렌치를 에칭하는 방법
JP2005328060A (ja) 半導体装置の製造方法
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP2005005697A (ja) 半導体装置の製造方法
JP2006156591A (ja) 半導体装置の製造方法
US9252051B1 (en) Method for top oxide rounding with protection of patterned features

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120703

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120706

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150713

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R154 Certificate of patent or utility model (reissue)

Free format text: JAPANESE INTERMEDIATE CODE: R154

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees