JP2005328060A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2005328060A
JP2005328060A JP2005140443A JP2005140443A JP2005328060A JP 2005328060 A JP2005328060 A JP 2005328060A JP 2005140443 A JP2005140443 A JP 2005140443A JP 2005140443 A JP2005140443 A JP 2005140443A JP 2005328060 A JP2005328060 A JP 2005328060A
Authority
JP
Japan
Prior art keywords
etching
layer
ratio
gas mixture
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005140443A
Other languages
English (en)
Inventor
Masayuki Sato
雅幸 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2005328060A publication Critical patent/JP2005328060A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 従来技術の不都合点を克服するデュアルダマシン構造上にビアホールを形成する方法を提供する。
【解決手段】 エッチングプロセスは、半導体材料の選択とエッチャントパラメータとの組み合わせから、ビアホールの最適な形成を得る。層間誘電膜層上に、SiON層を有するストップ層(112)が形成され、そのSiON層上にSiC層(114)が位置する。選択性エッチングは、SiC層を攻撃するが、SiON層は影響されないようにする。ビアホールをエッチングするとき、約7:90のO:CO比が優れたエッチングを得るために観測された。
【選択図】 図3

Description

本発明は、ワンステップデュアルダマシン方法を用いて、ビアホールを生成する改良されたエッチングプロセスに関する。
ダマシンは、相互接続金属線が絶縁する誘電体によって位置決めされるプロセスに関係する。ダマシン法は、リソグラフィおよびエッチングによってではなく化学機械的平坦化(CMP)によって行われる。ダマシン法では、相互接続パターンが始めに、誘電体層においてリソグラフィを用いて規定され、それから金属が堆積されて、その生じたトレンチを埋める。そして、余分な金属は化学機械的研磨(平坦化)を用いて除去される。
化学機械的研磨(CMP)は、化学機械的平坦化とも呼ばれるが、表面の平坦化および金属相互接続パターンの規定を目的として行われる化学機械的研磨によって固体層を除去する方法に関係する。
デュアルダマシンは、ダマシンプロセスの改良バージョンであり、このダマシン法は、金属エッチングの代わりにCMPプロセスを用いて金属相互接続幾何学的形状を形成するために使用される。デュアルダマシンでは、2つの層間誘電膜のパターニングステップと1つのCMPステップとがパターンを形成するが、別な方法で、従来のダマシンプロセスを用いると2つのパターニングステップと2つの金属CMPステップとが要求される。
図1(a)から図1(d)は、デュアルダマシン構造の配線を有する半導体装置を製造する従来方法を説明する図である。
図1(a)に示された半導体を製造する第1の従来方法では、第1のシリコン窒化(Si)膜3、第1のシリコン酸化膜4、第2のシリコン窒化(Si)膜5および第2のシリコン酸化膜6が、第1の配線層1が埋め込まれる層誘電膜2の上に連続的に形成される。第1の配線層は基板上に形成されるが、図面には簡潔さのために図示されていない。
次に、図1(b)に示されるように、ビアホール8を空けるための異方性ドライエッチングは、第1のフォトレジスト7をマスクとして用いて行われる。このエッチングは、第1の窒化膜3がビアホール8の内部において露出するまで行われる。ビアホール8はまた、コンタクトホールと呼ばれる。第1の窒化膜3は、図1(b)に示されるように、このエッチングプロセスにおいてエッチングストッパー膜として機能する。
ビアホール8をあけるためのエッチングが終了すると、第1のフォトレジスト7は第2のシリコン酸化膜6の上から除去される。必要に応じて、図1(c)に示されるように、配線スロット10に対応する開口部分を有する第2のフォトレジスト9が、その場所に形成される。
次に、配線スロット10をあけるための異方性ドライエッチングは、第2のフォトレジスト9をマスクとして使用して行われる。このエッチングは、シリコン酸化膜がシリコン窒化膜に対する大きな選択比で除去され得る状況下で行われる。この時点で、第1のシリコン窒化膜3および第2の窒化膜5は、共にエッチングストッパー膜として用いられる。次に、配線スロット10の底面において露出された第2のシリコン窒化膜5と、ビアホール8の底面において露出された第1のシリコン窒化膜3とを除去する目的としたエッチングが行われる。このプロセスが適切になされると、図1(d)に示されるように、第1の配線層1の表面を露出するビアホール8と、ビアホール8につながる配線スロット10とが形成される。
従来技術に従った典型的なダマシン構造は、D.Edeleteinらによる、Proc.IEEE IEDM(1997)およびS.Venkatesanらによる、Proc.IEEE IEDM(1997)に記載されている。
従来技術に従ったビアホール形成は、特徴寸法(フィーチャ)の大きさが縮小するにつれて多数の問題を引き起こす。たとえば、フォトレジストが時期尚早に除去されることにより、下に重なる層に損傷を与えることを防ぐために、エッチングの間、エッチング選択性は制御されなければならない。たとえば、プラズマエッチングが、一酸化炭素に対する酸素が1:1である比率を含むガス混合を用いる場合、フォトレジストおよびフォトレジストに覆われていない材料の双方が同じ速度でエッチングされる。半導体装置への損傷を防ぐために、非常に厚い層のフォトレジストを使用することが必要であるが、この厚い層のフォトレジストは、線、トレンチおよびビアホールの貧弱な精度を招く。
シリコンが、たとえばCF4といったフロン系ガスを用いてエッチングされるとき、従来のエッチングプロセスにおけるさらなる困難が持ち上がる。エッチングプロセスによって、シリコンはSiF4に変換されるが、高分子炭素の残留物が半導体上に残り、これはしばしばビアホール周囲の「フェンス」として現れる。
示されてきたように、従来技術は非効率的なエッチングから生じる不利益を有する。これら不都合によって、製造の非効率性、半導体の損傷およびビアホール周囲の残留物からフェンスを生成するといった現象を引き起こす。これらフェンスは、たとえば、寄生容量および金属コンポーネントによる低下したコンダクタンスといった、望ましくない効果を招く。したがって、これら不利な現象を最小化する新しいエッチング技術を提供する必要性がある。
本発明は、一部で、従来技術の不都合点を克服するデュアルダマシン構造上にビアホールを形成する方法に関する。
本発明は、一部で、半導体装置を製造するプロセスに関し、このプロセスは、配線層が埋め込まれている絶縁層上に第1のストッパー層を形成することと、第1のストッパー層上に第1の層間誘電膜を形成することと、第1の層間誘電膜上に第2のストッパー膜を形成することと、第2のストッパー膜上に第2の層間誘電膜を形成することと、第2の誘電膜上に第3のストッパー膜を形成することと、半導体装置にビアホールをエッチングすることとを包含し、ここで、エッチングは、一酸化炭素に対する酸素の比率が約7:90である比率を有する少なくとも1つのエッチャントガスを用いるエッチングを含む。本発明の好ましい実施形態では、第1のストッパー層はSiNを備え、第2のストッパー層はSiCを備え、そして第3のストッパー層は第2の誘電膜上にSiON層を備え、かつSiON層上にSiC層を備える。配線層は好ましくは銅を備える。
本発明は、好ましい実施形態において、一連のシーケンシャルなエッチングステップに関し、このエッチングステップは、(1)Ar:C:C:Oを約200:19:30:30の比率で備えるガス混合を用いてエッチングするステップと、(2)Ar:O:C:COを約60:7:12:90の比率で備えるガス混合を用いてエッチングするステップと、(3)Ar:CHF:C:Nを約125:10:40:20の比率で備えるガス混合を用いてエッチングするステップと、(4)Ar:O:C:COを約60:4:12:90の比率で備えるガス混合を用いてエッチングするステップと、(5)Ar:N:C:COを約60:8:12:100の比率で備えるガス混合を用いてエッチングするステップとを包含する。本発明の好ましい実施形態では、一部で、この方法は、8%未満の酸素を用いてアッシングすることをさらに包含する。ステップ(2)は、SiCに対して高い選択性を有する。ステップ(5)は、SiCエッチングステップであるので、SiCに対して高い選択性を必要としない。ステップ(5)のエッチングガスにおいて酸素を用いることは、CuO形成を抑えるために避けられる。従って、ステップ(5)のエッチングガスは、CuO形成を最小化するためにゼロモルパーセントの酸素を含むべきである。
前記の一般的な説明および以下の詳細な説明は、例示的かつ説明的なものであり、請求項のように本発明の説明をさらに提供するよう意図されるものであることを理解されたい。
(項目1)
半導体装置を製造するプロセスであって、
配線層が埋め込まれている絶縁層を提供することと、
該絶縁層上に第1のストッパー層を形成することと、
該第1のストッパー層上に第1の層間誘電膜を形成することと、
該第1の層間誘電膜上に第2のストッパー層を形成することと、
該第2のストッパー層上に第2の層間誘電膜を形成することと、
該第2の誘電膜上に第3のストッパー層を形成することと、
一酸化炭素に対する酸素の比率が約7:90の比率を有する少なくとも1つのエッチャントガスを1つ用いて、該半導体装置にビアホールをエッチングすることと
を包含する、プロセス。
(項目2)
上記第1の誘電膜層はFSGを備え、上記第2の誘電膜層はFSGを備えた、項目1に記載のプロセス。
(項目3)
上記第1のストッパー層はSiNを備えた、項目1に記載のプロセス。
(項目4)
上記第2のストッパー層はSiCを備えた、項目1に記載のプロセス。
(項目5)
上記第3のストッパー層は、上記第2の誘電膜上のSiON層と、該SiON層上のSiC層とを備えた、項目1に記載のプロセス。
(項目6)
上記配線層は銅を備えた、項目1に記載のプロセス。
(項目7)
上記エッチングすることは、以下のシーケンシャルなステップ、すなわち、
(1)Ar:C:C:Oを備えている、ガス混合を用いてエッチングするステップと、
(2)Ar:O:C:COを備えている、ガス混合を用いてエッチングするステップと、
(3)Ar:CHF:C:Nを備えている、ガス混合を用いてエッチングするステップと、
(4)Ar:O:C:COを備えている、ガス混合を用いてエッチングするステップと、
(5)Ar:N:C:COを備えている、ガス混合を用いてエッチングするステップと
を包含する、項目1に記載のプロセス。
(項目8)
上記エッチングすることは、以下のシーケンシャルなステップ、すなわち、
(1)Ar:C:C:Oを約11:1:2:2の比率で備えている、ガス混合を用いてエッチングするステップと、
(2)Ar:O:C:COを約9:1:2:13の比率で備えている、ガス混合を用いてエッチングするステップと、
(3)Ar:CHF:C:Nを約13:1:4:2の比率で備えている、ガス混合を用いてエッチングするステップと、
(4)Ar:O:C:COを約15:1:3:23の比率で備えている、ガス混合を用いてエッチングするステップと、
(5)Ar:N:C:COを約8:1:2:13の比率で備えている、ガス混合を用いてエッチングするステップと
を包含する、項目7に記載のプロセス。
(項目9)
8%未満の酸素を用いてアッシングすることをさらに包含する、項目1に記載のプロセス。
(項目10)
ビアホールのエッチャントガスであって、
とCOとを備え、
該Oの該COに対する比率は、約7:90である、エッチャントガス。
(項目11)
Ar:O:C:COを約9:1:2:13の比率で備える、項目10に記載のエッチャントガス。
(項目12)
Ar:O:C:COを約8:1:2:13の比率で備える、項目10に記載のエッチャントガス。
(摘要)
エッチングプロセスは、半導体材料の選択とエッチャントパラメータとの組み合わせから、ビアホールの最適化された形成を得る。層間誘電膜層上に、SiON層を有するストップ層が形成され、そのSiON層上にSiC層がある。選択性エッチングは、SiC層を攻撃するが、SiON層は影響されないようにする。ビアホールをエッチングするとき、約7:90のO:CO比が優れたエッチングを得るために観測された。
添付の図面は、本発明のさらなる理解を提供するために含まれる。図面は、本発明の実施形態を説明し、その説明とともに本発明の実施形態の原理を説明することを提供する。
本発明の利点は、これ以降で与えられる詳細な説明からより明白になる。しかしながら、詳細な説明および特定の例は、本発明の好ましい実施形態を示しているが、説明するためだけに与えられたものであって、本発明の精神および範囲内で種々の変更および修正が、この詳細な説明から当業者にとって明白になることを理解されたい。
図2は、本発明の好ましい実施形態に従って半導体装置を製造する方法を示す。第1のストッパー膜104、第1の層間誘電膜(ILD)106、第2のストッパー膜108および第2の層間誘電膜110は、配線層100が埋め込まれている層誘電膜102上に連続的に形成される。配線層100は、銅、アルミニウムまたはアルミニウム‐銅合金を備え得るが、好ましくは銅である。第1の配線層は基板上に形成されるが、簡潔さのために図示されていない。ストッパー膜104、108は、窒化シリコン(Si)または炭化シリコン(SiC)を備え得るが、これら材料に限られない。本発明の好ましい実施形態では、第1のストッパー膜104は窒化シリコンを備え、かつ第2のストッパー膜108は炭化シリコンを備える。
図2において、ILD106、110は、二酸化シリコン、BSG(borosilicate glass)、BPSG(borophosphosilicate glass)およびFSG(fluorosilicare glass)を含む、任意の適切な材料から形成され得る。好ましくは、ILD106、110はFSGから形成される。
図2において、第2のILD110上にSiON層112が形成され、SiON層112上にSiC層114が形成される。SiC層114は、BARCおよびエッチングストッパーである。SiON層112はBARC層である。SiC層114上に、フォトレジスト層116が形成され、このフォトレジスト層116は配線トレンチに対応する部分に空間でパターン化されている。
図2に示された本発明の実施形態で示された層の厚さは制限されない。層の好ましい厚さは、SiC膜114には約70nmであり、SiON膜112には約120nmであり、第2のILD層110には約400nmであり、第2のストッパー膜108には約50nmであり、第1のILD106には約350nm〜400nmであり、第1のストッパー膜104には約50nmである。
図3は、本発明の好ましい実施形態に従った、トレンチ形成を示す。トレンチは、たとえばプラズマエッチングといったドライエッチングを用いて形成される。好ましいエッチングは、フッ素源としてCFまたはCHFのどちらか一方を含む混合ガスを用いる。好ましいエッチング条件は、CHF/CF/O=1.2:15:1、1800W、40mTorrを含む。ガス中のフッ素ラジカルは、SiC層114中の炭素と反応して、炭素を含有するガスを生成する。しかしながら、エッチングが下に重なるSiON BARC層112に到達すると、エッチングは停止する。なぜならば、SiON BARC層112中にフッ素原子と反応する反応性炭素がないからである。エッチング後、フォトレジスト層116は除去される。フォトレジスト層は、たとえばアッシングといった任意の従来方法によって除去され得る。
図4は、本発明の好ましい実施形態に従った、ビアホールのパターニングを示す。フォトレジスト層116の除去の後に、第2のフォトレジスト層118および有機BARC層120が形成され、これは所望のビアホールのパターンを有する。フォトレジスト層118および有機BARC層120は、トレンチの側面を形成するSiC層114の側面を覆う。
図5、6、7、8、9および10は、本発明の好ましい実施形態に従った、ビアホールエッチングおよびトレンチエッチングシーケンスを示す。第1のエッチングは、SiON BARC層112、SiC膜114および誘電膜層110の部分を貫いてエッチングする。第2のエッチングステップは、誘電膜層110の上部分を除去する(図6参照)。フォトレジストおよび有機BARCを除去するアッシングステップは、110層のエッチングステップと108層のエッチングステップとの間で行われ得る(図7参照)。それから、層108および層112の残部のエッチングが行われる(図8参照)。その後、誘電膜層110および106の部分が除去される(図9参照)。最後に、SiC層112およびSiN層104の残っている部分が除去される(図10参照)。SiN層104の部分を除去することにより、金属層100の部分が露出される。図5から図10まで示された各エッチングステップの条件およびエッチングガスは、各エッチングステップがビアホールにおける半導体スタックの所望される部分のみをエッチングする限り制限されない。また、前述したように、ステップ(5)のエッチングガス中に酸素ガスが存在することは、好ましくは完全に避けられる。典型的な好ましいエッチング条件は、例1で与えられる。
(例1)
ウエーハ基板上に、100nmの有機BARC層が形成され、この有機BARC層の上に、50nmのSiC層、120nmのSiON層、FSG層、SiN層、FSG層およびSiN層が連続的に形成された。FSG層は、約500nmの厚さを有し、SiN層は約50nmの厚さを有する。トレンチを形成した後、ビアホールは表1に示されたエッチングシーケンスを用いてエッチングされた。
表1.ビアホールおよびトレンチのエッチングステップ
Figure 2005328060
注記:ステップ(1)〜(5)は、それぞれ図5、6、8、9および10に対応する。
全てのビアホールの一定の深さを維持するために、終点検出システムが用いられた。発光分光は、終点検出をエッチングするのに最も一般的な方法であり、それは、高い選択性で実行することが容易であるからである。
ビアホールをエッチングするとき、エッチング選択性は、フォトレジストが時期尚早に除去されることを防ぐために制御されねばならない。たとえば、第2のエッチングステップ(表1のNo.2に対応する)において、O:COの比が1:1であるとすると、フォトレジストとビアホール部分の下に重なる材料とは双方とも、同じ速度でエッチングする。これは選択性がないということから不利となる。しかしながら、最適な選択性が予想外に、表1の第2のエッチングステップNo.2に関して、約7:90(約1:13)のO:CO比において見出された。
第2のエッチングステップの後、シーケンスにおいてアッシングステップがある。このアッシングステップは、SiOの式1およびSiの式2を比較して説明されるように、エッチングの間に形成する余分なポリマーを除去する。
SiO+CF→SiF+CO+O (1)
Si+CF→SiF+C(重合の) (2)
従来技術に従ったアッシングプロセスにおいて、酸素の高濃度が用いられてフォトレジストをアッシングするが、FSGのk値(誘電率)は大きくなる。これは、埋め込まれた線のキャパシタンスはトランジスタの速度を変えるので好ましくない。つまり、トランジスタの速度は、誘電率が上昇するにつれて低下する。従来技術では、アッシングは100パーセント酸素を用いて広く行われてきた。しかしながら、本発明の好ましい実施形態では、酸素は約8%の酸素、またはそれを下回る酸素にアルゴンを用いて希釈される。ヘリウムおよびネオンはまた、アルゴンの代わりに希釈ガスとして用いられ得る。
前述の実施形態および利点は、単に例示的なものであり、本発明を制限するものと解釈されない。本教示は、他タイプの方法および装置にも容易に適用され得る。本発明の記載は説明的であることを意図しており、請求項の範囲を制限するものとは意図されていない。多くの代案、修正および変更が、当業者にとって明白である。前述の説明およびここで示された特定の実施形態は、単に本発明の最良の形態およびその原理を説明するだけのものであると理解され、よって、添付の請求項の範囲によってのみ制限されるものと理解される。
従来技術に従って半導体装置を製造する方法を示す。 従来技術に従って半導体装置の中にビアホールを形成するステップを示す。 従来技術に従って半導体装置の中に配線スロット構造を形成するステップを示す。 従来技術に従って半導体装置に配線スロットをエッチングするステップを示す。 本発明の好ましい実施形態に従って半導体装置を製造する方法を示す。 本発明の好ましい実施形態に従ったトレンチ形成を示す。 本発明の好ましい実施形態に従ったビアホールのパターニングを示す。 本発明の好ましい実施形態に従ったビアホールBARCエッチングシーケンスを示す。 本発明の好ましい実施形態に従ったビアホール上部のILD(110)エッチングシーケンスを示す。 シーケンシャルフォトレジストアッシングを示す。 本発明の好ましい実施形態に従ったストッパー層(112および108)のエッチングシーケンスを示す。 本発明の好ましい実施形態に従ったビアホール下部のILD(106)エッチングおよびトレンチ上部のILD(110)エッチングシーケンスを示す。 本発明の好ましい実施形態に従ったエッチングストッパー層(112、108および104)エッチングシーケンスを示す。
符号の説明
100 配線層
102 層誘電膜
104 ストッパー膜(SiN)
106、110 層間誘電膜(ILD)
108 ストッパー膜(SiC)
112 ストッパー膜(SiON BARC層)
114 SiC層
116、118 フォトレジスト層
120 有機BARC層

Claims (12)

  1. 半導体装置を製造するプロセスであって、
    配線層が埋め込まれている絶縁層を提供することと、
    該絶縁層上に第1のストッパー層を形成することと、
    該第1のストッパー層上に第1の層間誘電膜を形成することと、
    該第1の層間誘電膜上に第2のストッパー層を形成することと、
    該第2のストッパー層上に第2の層間誘電膜を形成することと、
    該第2の誘電膜上に第3のストッパー層を形成することと、
    一酸化炭素に対する酸素の比率が約7:90の比率を有する少なくとも1つのエッチャントガスを用いて、該半導体装置にビアホールをエッチングすることと
    を包含する、プロセス。
  2. 前記第1の誘電膜層はFSGを備え、前記第2の誘電膜層はFSGを備えた、請求項1に記載のプロセス。
  3. 前記第1のストッパー層はSiNを備えた、請求項1に記載のプロセス。
  4. 前記第2のストッパー層はSiCを備えた、請求項1に記載のプロセス。
  5. 前記第3のストッパー層は、前記第2の誘電膜上のSiON層と、該SiON層上のSiC層とを備えた、請求項1に記載のプロセス。
  6. 前記配線層は銅を備えた、請求項1に記載のプロセス。
  7. 前記エッチングすることは、以下のシーケンシャルなステップ、すなわち、
    (1)Ar:C:C:Oを備えている、ガス混合を用いてエッチングするステップと、
    (2)Ar:O:C:COを備えている、ガス混合を用いてエッチングするステップと、
    (3)Ar:CHF:C:Nを備えている、ガス混合を用いてエッチングするステップと、
    (4)Ar:O:C:COを備えている、ガス混合を用いてエッチングするステップと、
    (5)Ar:N:C:COを備えている、ガス混合を用いてエッチングするステップと
    を包含する、請求項1に記載のプロセス。
  8. 前記エッチングすることは、以下のシーケンシャルなステップ、すなわち、
    (1)Ar:C:C:Oを約11:1:2:2の比率で備えている、ガス混合を用いてエッチングするステップと、
    (2)Ar:O:C:COを約9:1:2:13の比率で備えている、ガス混合を用いてエッチングするステップと、
    (3)Ar:CHF:C:Nを約13:1:4:2の比率で備えている、ガス混合を用いてエッチングするステップと、
    (4)Ar:O:C:COを約15:1:3:23の比率で備えている、ガス混合を用いてエッチングするステップと、
    (5)Ar:N:C:COを約8:1:2:13の比率で備えている、ガス混合を用いてエッチングするステップと
    を包含する、請求項7に記載のプロセス。
  9. 8%未満の酸素を用いてアッシングすることをさらに包含する、請求項1に記載のプロセス。
  10. ビアホールのエッチャントガスであって、
    とCOとを備え、
    該Oの該COに対する比率は、約7:90である、エッチャントガス。
  11. Ar:O:C:COを約9:1:2:13の比率で備える、請求項10に記載のエッチャントガス。
  12. Ar:O:C:COを約8:1:2:13の比率で備える、請求項10に記載のエッチャントガス。
JP2005140443A 2004-05-14 2005-05-12 半導体装置の製造方法 Pending JP2005328060A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/845,160 US6960535B1 (en) 2004-05-14 2004-05-14 Dual damascene etching process

Publications (1)

Publication Number Publication Date
JP2005328060A true JP2005328060A (ja) 2005-11-24

Family

ID=35150768

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005140443A Pending JP2005328060A (ja) 2004-05-14 2005-05-12 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6960535B1 (ja)
JP (1) JP2005328060A (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7341935B2 (en) * 2004-06-25 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Alternative interconnect structure for semiconductor devices
US7723155B2 (en) * 2004-06-30 2010-05-25 Xycarb Ceramics B.V. Method for the treatment of a surface of a metal-carbide substrate for use in semiconductor manufacturing processes as well as such a metal-carbide substrate
US7723201B2 (en) * 2006-01-09 2010-05-25 International Business Machines Corporation Structure and method for making on-chip capacitors with various capacitances
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6448177B1 (en) * 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
JP4108310B2 (ja) * 2001-09-28 2008-06-25 富士通株式会社 シリコン含有絶縁膜を有する半導体装置の製造方法
US6790772B2 (en) * 2002-05-09 2004-09-14 Macronix International Co., Ltd. Dual damascene processing method using silicon rich oxide layer thereof and its structure

Also Published As

Publication number Publication date
US6960535B1 (en) 2005-11-01
US20050255703A1 (en) 2005-11-17

Similar Documents

Publication Publication Date Title
US6905968B2 (en) Process for selectively etching dielectric layers
US6844266B2 (en) Anisotropic etching of organic-containing insulating layers
US7125792B2 (en) Dual damascene structure and method
US8450212B2 (en) Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US7553761B2 (en) Method of fabricating semiconductor device
USRE38914E1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
TW522490B (en) Etching method with dual-damascene structure
US7557043B2 (en) Method of fabricating the stacked structure and damascene process
KR100430472B1 (ko) 듀얼 다마신 공정을 이용한 배선 형성 방법
US6007733A (en) Hard masking method for forming oxygen containing plasma etchable layer
US20050214694A1 (en) Pattern formation method
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US7611994B2 (en) Fine patterning method for semiconductor device
TW200524124A (en) Method for forming novel BARC open for precision critical dimension control
JP2000340649A (ja) 酸化物充填によるデュアルダマシン製作の歩留まり改善
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US8735283B2 (en) Method for forming small dimension openings in the organic masking layer of tri-layer lithography
JPWO2004097923A1 (ja) 半導体装置の製造方法
US6551915B2 (en) Thermal annealing/hydrogen containing plasma method for forming structurally stable low contact resistance damascene conductor structure
JP4492949B2 (ja) 電子デバイスの製造方法
JP2005328060A (ja) 半導体装置の製造方法
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
US7091612B2 (en) Dual damascene structure and method
US7572733B2 (en) Gas switching during an etch process to modulate the characteristics of the etch
US8901007B2 (en) Addition of carboxyl groups plasma during etching for interconnect reliability enhancement

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070822

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091021

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100303