JP2008147668A - デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング - Google Patents

デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング Download PDF

Info

Publication number
JP2008147668A
JP2008147668A JP2007316730A JP2007316730A JP2008147668A JP 2008147668 A JP2008147668 A JP 2008147668A JP 2007316730 A JP2007316730 A JP 2007316730A JP 2007316730 A JP2007316730 A JP 2007316730A JP 2008147668 A JP2008147668 A JP 2008147668A
Authority
JP
Japan
Prior art keywords
gas mixture
supplying
layer
gas
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007316730A
Other languages
English (en)
Other versions
JP5232455B2 (ja
Inventor
Zhilin Huang
ヒュアング ズヒリン
Siyi Li
リー シリ
Gerardo A Delgadino
エイ デルガディノ ゲラルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008147668A publication Critical patent/JP2008147668A/ja
Application granted granted Critical
Publication of JP5232455B2 publication Critical patent/JP5232455B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】本発明はフィーチャーからBARC層を除去する方法を提供する。
【解決手段】一実施形態において、本方法は、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程と、NHガスを含む第1のガス混合物を、チャンバに供給して、フィーチャーを充填しているBARC層の第1の部分をエッチングする工程と、Oガスを含む第2のガス混合物を、エッチングチャンバに供給して、フィーチャーに配置されたBARC層の残りの部分をエッチングする工程とを含む。
【選択図】図3

Description

発明の背景
(発明の分野)
本発明は、概して、半導体処理技術に関し、特に、デュアルダマシンエッチングプロセスにおける下面反射防止コーティング(BARC)層のエッチング方法に関する。
(関連技術の説明)
集積回路は、何百万個ものコンポーネント(例えば、トランジスタ、キャパシタ及びレジスタ)を単一チップに含めることのできる複雑なデバイスへと進化している。チップ設計を進化させるために、より速い回路、より大きな回路密度が必要とされ続けている。回路密度を大きくするには、集積回路コンポーネントの寸法を減じる必要がある。
集積回路コンポーネントの寸法が減じると(例えば、サブミクロンの寸法に)、かかるコンポーネントを製造するのに用いる材料が、電気的性能に影響する。例えば、低抵抗の金属相互接続(例えば、銅及びアルミニウム)は、集積回路のコンポーネント間に導電性パスを与える。
銅は、その所望の電気的特性のために、相互接続構造に用いるのに特に有利である。銅相互接続システムは、典型的に、トレンチ及びビアが、誘電体層へとエッチングされるダマシンプロセスを用いて製造される。トレンチ及びビアに銅を充填してから、例えば、化学機械研磨(CMP)プロセスを用いて平坦化される。
銅相互接続は、絶縁材料により、互いに電気的に分離されている。近接する金属相互接続間の距離及び/又は絶縁材料の厚さがサブミクロンの寸法の時は、かかる相互接続間に容量結合が生じる可能性がある。近接する金属相互接続間の容量結合によって、クロストーク及び/又は抵抗−容量(RC)遅延が生じて、集積回路の全体の性能が下がる恐れがある。近接する金属相互接続間の容量結合を防ぐために、低誘電率(低k)の絶縁材料(例えば、約4.0未満の誘電率)が必要である。
図1A〜1Dに、「ビアファースト」処理シーケンスにより形成された例示のデュアルダマシン構造を示す。図1Aを参照すると、誘電体バルク絶縁層110及び下にある誘電体バリア層108が、導電性層106を有する他の形成済みの相互接続にスタックされている。導電性層106は、他の誘電体バルク絶縁層104に埋め込まれており、これらは、基板102上に配置されている。任意の研磨ストップ層又は反射防止コーティング(ARC)112が、誘電体バルク絶縁層110上に配置されていてもよい。誘電体バルク絶縁層110は、典型的に、FSG、ポリマー材料、炭素含有ケイ素層(SiOC)等といった、誘電率が4.0未満の誘電体材料から形成されている。
下面再帰反射コーティング(BARC)層114は、スピン適用されて、ビアエッチングプロセスにより形成されたビア128を充填し、トレンチリソグラフィーの前、誘電体バルク絶縁層110をカバーする。フォトレジスト層116は、BARC層114上に配置され、パターン化されて、トレンチ形成のための開口部130が画定される。BARCエッチングプロセスを実施すると、図1Bに示すように、トレンチをエッチングする前に、パターン化されたフォトレジスト層116にマスクされたビア開口部128を覆うBARC層の一部が除去される。図1Bに示すように、フォトレジスト層116により画定された任意の研磨ストップ層112が露出し、ビア128を充填しているBARC層114がエッチングされるまで、BARCエッチングプロセスを行う。続いて、トレンチエッチングプロセスを行って、図1Cに示すように、パターン化されたフォトレジスト層116により画定される露出した研磨ストップ層112及び下にある誘電体バルク絶縁層110をエッチングする。トレンチエッチングプロセスで、誘電体バルク絶縁層110を、所定の深さまでエッチングし、誘電体バルク絶縁層110にトレンチ122を画定する。トレンチ122が形成されたら、ビア128を充填している残りのBARC層114及び誘電体バルク絶縁層110の上面のフォトレジスト層116を、基板102から除去することによって、図1Dに示すように、基板102上にデュアルダマシン構造が形成される。
典型的に、BARC114又はフォトレジスト層116除去プロセス中、酸素含有プラズマエッチングプロセスを行って、基板102上の残りのBARC層114及びフォトレジスト層116と反応させる。これによって、酸化炭素ポリマーが形成されるが、これは、処理チャンバから排出される。しかしながら、BARC層及びフォトレジスト層116の除去中、酸素の存在するプラズマによって、露出した側壁120及びトレンチ122の表面126及び/又は誘電体バルク絶縁層110に形成されたビア128が攻撃される恐れがある。酸素は、誘電体バルク絶縁層110の表面にSi−O結合を形成する恐れがあり、これは、誘電体バルク絶縁層110の誘電特性に悪影響を及ぼす。例えば、酸素は、低k誘電体バルク絶縁層110の側壁120又は露出面126に蓄積して、多孔性の低k誘電体へと透過して、フィルム表面で炭素が失われてしまう。炭素が失われると、低k材料の誘電率が増大してしまい、材料誘電特性の「k損失」となる。その結果、BARC及びフォトレジストエッチングプロセス後のクロストーク及びRC遅延が増加する。
更に、BARC除去及び/又はフォトレジスト層除去プロセスによってまた、残渣BARC、残渣フォトレジスト層、不純物、有機又は無機副生成物等の汚染物質124も、ビア128及び/又はトレンチ122に残る恐れがある。ビア128及び/又はトレンチ122に汚染物質124があると、相互接続構造の全体の統合に悪影響を及ぼして、デバイス信頼性及び電機性能が乏しくなる恐れがある。
従って、相互接続構造において、BARCを除去するプロセスを改善することが必要とされている。
発明の概要
本発明は、フィーチャーからBARC層を除去する方法を提供する。一実施形態において、本方法は、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程と、NHガスを含む第1のガス混合物を、エッチングチャンバに供給して、フィーチャーを充填しているBARC層の第1の部分をエッチングする工程と、Oガスを含む第2のガス混合物を、エッチングチャンバに供給して、フィーチャーに配置されたBARC層の残りの部分をエッチングする工程とを含む。
他の実施形態において、BARC層をフィーチャーから除去する方法は、誘電体絶縁層に形成され、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程と、NHを含む第1のガス混合物を、チャンバに供給して、フィーチャーを充填しているBARC層の第1の部分をエッチングする工程と、Oガスを含む第2のガス混合物を、チャンバに供給して、フィーチャーのBARC層の残りの部分をエッチングする工程とを含む。
更に他の実施形態において、BARC層をフィーチャーから除去する方法は、誘電体絶縁層に形成され、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程であって、誘電体層が、3.5未満の誘電率を有する工程と、NHガスを含む第1のガス混合物を、約50sccm〜約1000sccmで、エッチングチャンバに供給して、フィーチャーを充填しているBARC層の第1の部分をエッチングする工程と、Oガスを含む第2のガス混合物を、約50sccm〜約500sccmで、エッチングチャンバに供給して、フィーチャーに配置されたBARC層の残りの部分をエッチングする工程とを含む。
詳細な説明
本発明の実施形態には、デュアルダマシン構造において、BARC層を2ステップでエッチングする方法が含まれる。本方法は、デュアルダマシン製造プロセスにおいて、別々のBARC層エッチングステップで、異なるガス混合物を用いることにより、高除去レートを維持しながら、低k誘電体フィルムの品質を保つ。
本明細書に記載したエッチングプロセスは、任意の好適なプラズマエッチングチャンバで実施してよい。かかるエッチングチャンバの1つは、カリフォルニア州、サンタクララ(Santa Clara,California)のアプライドマテリアルズ社(Applied Materials,Inc.)より入手可能なイナーブラー(ENABLER)(登録商標)処理チャンバである。他のエッチングリアクタを適合させても、本発明による利点を得ることができるものと考えられる。
図2に、本発明の1つ以上のステップを実施するのに好適なプラズマエッチングシステム202の一実施形態の概略断面図を示す。プラズマエッチングシステム202は、導電性壁230及び蓋213を有するプロセスチャンバ本体210を有する。導電性チャンバ壁230の温度は、導電性チャンバ壁230に、且つ/又はその周囲に位置する液体含有管(図示せず)を用いて制御される。導電性チャンバ壁230は、電気接地234に接続されている。ライナ231がプロセスチャンバ本体210に配置されていて、導電性チャンバ壁230の内側表面をカバーしている。ライナ231は、表面保護層として作用して、プロセスチャンバ本体210のチャンバ壁230の内側表面を保護している。一実施形態において、ライナ231は、Al、AIN、炭化ケイ素、Y等をはじめとするセラミック材料により製造されている。
プロセスチャンバ本体210は、スロットルバルブ227を通して、真空ポンプ236に結合された真空容器である。サポート台座216は、プロセスチャンバ本体210の下部に配置されていて、処理中、その上に配置された基板260をサポートする。サポート台座216は、基板260を保持するための静電チャック226を有していてもよい。DC電源220を利用して、静電チャック226に供給される電力を制御する。サポート台座216は、整合ネットワーク224を通して、無線周波数(RF)バイアス電源222に結合されている。バイアス電源222は、通常、約50kHz〜約60MHzの調節可能な周波数及び約0〜約5,000ワットのバイアス電力を有するRF信号を生成することができる。バイアス電源222は、約13.56MHz及び約2MHzのように、複数の周波数の信号を与えることがある。任意で、バイアス電源222は、DC又はパルスDC源であってもよい。
基板260の温度は、サポート台座216の温度を調整することにより、少なくとも部分的に制御される。一実施形態において、サポート台座216は、冷却剤が流れるチャネルを有する冷却板(図示せず)を含む。更に、裏側ガス、ヘリウム(He)ガス等は、基板260の裏側と、静電チャック226の表面に形成された溝(図示せず)の間に配置されたチャネルに提供される。裏側ガスは、台座216と基板260の間で効率的な熱伝達を行う。静電チャック226はまた、チャック226内に配置された抵抗発熱体(図示せず)も有していて、処理中、基板260を加熱してもよい。一実施形態において、基板260は、摂氏約10〜約500度の温度に維持される。
シャワーヘッド232が、基板260に対向する基板台座116に対して間隔を空けて、プロセスチャンバ本体210の蓋213に装着されている。ガスパネル238は、シャワーヘッド232と蓋213の間で画定されるプレナム(図示せず)に流体結合されている。シャワーヘッド232は、複数の穴を有していて、ガスパネル238からプレナムにガスを提供して、プロセスチャンバ本体210に入る。シャワーヘッド232の穴は、異なるゾーンに配置されていて、様々なガスが、異なる体積流量でチャンバ本体210に放出できるようになっている。
シャワーヘッド232及び/又はそれに近接配置された上部電極228は、インピーダンス変成器219(例えば、1/4波長整合スタブ)を通して、RFプラズマ電源218に結合されている。RF電源218は、通常、約50kHz〜約160MHzの調節可能な周波数及び約0〜約5000ワットの電力を有するRF信号を生成することができる。RFプラズマ電源218は、約13.56MHz及び約2MHzのように、複数の周波数の信号を与えることがある。
プラズマエッチングシステム202はまた、チャンバ蓋213近傍のチャンバ壁230の外部に位置する1つ以上のコイルセグメント又は磁石212を有していてもよい。コイルセグメント212への電力は、DC電源又は低周波数AC電源254により制御される。
基板処理中、チャンバ本体210内部のガス圧は、ガスパネル238及びスロットルバルブ227を用いて制御される。一実施形態において、チャンバ本体210内部のガス圧は、約0.1〜約999ミリトル(mTorr)に維持される。
中央演算処理装置(CPU)244、メモリ242及びサポート回路246をはじめとするコントローラ240が、システム202の様々なコンポーネントに結合されており、本発明のプロセスの制御を促す。メモリ242は、システム202又はCPU244に対してローカル又はリモートの、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク、又はデジタル記憶等のその他の形態のような任意のコンピュータ読取り可能な媒体とすることができる。サポート回路246は、CPU244を従来のやり方でサポートするために、CPU244に結合されている。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路及びサブステム等を含む。メモリ242にストアされたソフトウェアルーチン又は一連のプログラム命令がCPU244により実行されると、プラズマエッチングシステム202が、本発明のエッチングプロセスを実施する。
図2は、本発明を実施するのに用いることのできる様々な種類のプラズマエッチングチャンバの一例の構成を示すに過ぎない。例えば、異なる種類の電源及びバイアス電力を、異なる結合機構を用いて、プラズマチャンバに結合させることができる。電源とバイアス電力の両方を用いると、プラズマに対して、プラズマ密度と基板のバイアス電圧を独立して制御することができる。用途によっては、電源は必要なく、プラズマは、バイアス電力によってのみ維持される。プラズマ密度は、低周波数(例えば、0.1〜0.5ヘルツ)のAC電源又はDC源で駆動する、磁石212等電磁石を用いて、真空チャンバに印加された磁場により高めることができる。他の用途においては、プラズマは、基板が配置されているのとは異なるチャンバ、例えば、リモートプラズマ源で生成してから、業界に公知の技術を用いて、チャンバに誘導してもよい。
図3は、本発明の一実施形態によるデュアルダマシン製造プロセスに用いるのに好適なBARC除去プロセス300のフロー図である。図4A〜4Bは、BARC除去プロセス300を図解するプロセス300の異なる段階を示す連続概略断面図である。コントローラ240により実行されると、プロセス300がチャンバ202で実施される命令として、プロセス300をメモリ242にストアしてもよい。
デュアルダマシン構造を製造するのに好適なフィルムスタックを有する基板を提供することにより、ステップ302で、プロセス300が始まる。図4Aに示す通り、フィルムスタックはエッチングされて、ビア420にトレンチ422を有するデュアルダマシン構造が形成される。フィルムスタックは、下にある誘電体絶縁層404にスタックされた誘電体バリア層408に配置された誘電体バルク絶縁層410を有する。誘電体バリア層408がない実施形態では、誘電体バルク絶縁層410は、下にある誘電体絶縁層404上に直接配置されている。下にある誘電体絶縁層404は、基板402上に配置されていて、その中に埋め込まれた銅線等の導電性層406を少なくとも有する。
一実施形態において、誘電体絶縁層410は、誘電率が4.0未満、例えば、3.5未満の誘電体材料である。好適な材料としては、アプライドマテリアルズ社(Applied Materials,Inc.)より入手可能なブラックダイヤモンド(BLACK
DIAMOND)(登録商標)誘電体材料等の炭素ドープ酸化ケイ素(SiOC)、及びポリアミド等のその他ポリマーが例示される。誘電体バリア層408は、誘電率が約5.5以下の材料から選択される。一実施形態において、誘電体バリア層408は、炭素含有ケイ素層(SiC)、窒素ドープ炭素含有ケイ素層(SiCN)等である。例えば、誘電体バリア層408は、アプライドマテリアルズ社(Applied Materials,Inc.)より入手可能なブロック(BLOK)(登録商標)誘電体材料であってもよい。
トレンチ422は、誘電体絶縁層410に形成され、パターン化されたフォトレジスト層412を通して開いている。ビア420を充填しているBARC層414は、トレンチ422の下部で露出している。一実施形態において、フォトレジスト層412は、集積回路をパターン化するのに用いられる従来の炭素系有機又はポリマー材料であってよい。BARC414は、ビア420から、トレンチ422の下部表面428を超える高さまで延びていて、ビア420から僅かに突出している。BARC層414は、例えば、典型的に、水素及び炭素含有元素を有するポリアミド及びポリスルフィド等の有機材料、又は窒化ケイ素、酸窒化ケイ素、炭化ケイ素等の無機材料を含んでいてよい。図4Aに示す実施形態において、BARC層414は、基板402上にスピンされた有機材料である。他の例示の実施形態において、BARC層414は、任意のその他の好適なやり方で、コート、堆積又は充填されていてもよい。
パターン化されたフォトレジスト層412は、誘電体絶縁層410に、所定のパターン及び/又はフィーチャーを転写する。所定のパターン及び/又はフィーチャー形成のプロセス中、パターン化されたフォトレジスト層412は消費又はトリムされて、誘電体絶縁層410の上部表面416に、パターン化されたフォトレジスト層412の一部が残る。或いは、パターン化されたフォトレジスト層412を実質的に除去することによって、誘電体絶縁層410の上部表面416を露出してもよい。図4Aに示す実施形態においては、所定のパターン及び/又はフィーチャーが、誘電体絶縁層410において、トレンチ422に転写された後、パターン化されたフォトレジスト層412の一部がデュアルダマシン構造400に残る。フォトレジスト層412が存在していない実施形態においては、2ステップエッチングプロセスを実施して、ビア420に残ったBARC層414を除去してもよい。
ステップ304で、第1のエッチングステップを実施して、エッチングチャンバ202に第1のガス混合物を供給することにより、ビア420を充填していて、トレンチ422を通して露出しているBARC層414の一部をまずエッチングする。パターン化されたフォトレジスト層412の一部が基板表面に残っている場合には、第1のBARCエッチングステップで、パターン化されたフォトレジスト層412もエッチングしてよい。
一実施形態において、第1のガス混合物は、エッチングチャンバ202に供給されたアンモニアガス(NH)を含有している。第1のガス混合物を用いて、有機ポリマー及びフォトレジストクラストを除去する。これらは、前のエッチングプロセスから生成され、且つ/又は基板表面に存在しているものである。第1のガス混合物中の水素元素が、揮発性水素炭素化合物を形成することにより、有機残渣を清浄にする。この化合物は、基板表面を損傷することなく、エッチングシステム202から排出される。第1のガス混合物は、誘電体絶縁層410の側壁426又は露出表面428もパッシベートして、下にある低k誘電体基板を、k値変動及び誘電率増大から保護してもよい。第1のガス混合物はまた、エッチングチャンバ202に残っている前のエッチングプロセスからの残渣ガス、例えば、フッ素含有ガスを、パージし、流し出すことによって、欠陥の発生や、誘電体絶縁層表面を更に攻撃する恐れのある残渣のフッ素化学薬品との化学反応を防いでもよい。
一実施形態において、NHガスを含有する第1のガス混合物からプラズマを形成することにより、BARC層414及び/又はパターン化されたフォトレジスト層412を、まずエッチングする。BARC層414及び/又はパターン化されたフォトレジスト層412は、図2に示すようなエッチングチャンバ202等のエッチングチャンバ、又はその他好適な反応器においてエッチングしてよい。
第1のガス混合物をエッチングシステム202に供給しながら、ステップ304で、いくつかのプロセスパラメータを調整する。一実施形態において、エッチング反応器中のガス混合物の圧力は、約5ミリトル〜約300ミリトルの間で調整され、基板温度は、摂氏約−10度〜摂氏約55度に維持される。RF電源は、約150ワット〜約2000ワットの出力で印加される。NHガスは、約50sccm〜約1000sccm、例えば、約100sccm〜約800sccmの流量で流してよい。N、Ar、Heガス等のその他の不活性ガスを流して、約50sccm〜約1000sccmの流量で第1のガス混合物に供給してもよい。
一実施形態において、第1のエッチングステップは、所定期間の終了により終わらせてもよい。例えば、第1のエッチングステップは、約20秒〜約200秒間の処理により終わらせる。他の実施形態において、第1のBARCエッチングステップは、例えば、発光をモニタリングすることによるその他の好適な方法又はその他インジケータにより終わらせてもよい。
ステップ306で、第2のエッチングステップを実施して、ビア402を充填しているBARC層414の残りの部分をエッチング及び除去する。第2のステップ306は、誘電体絶縁層410の上部表面416に残るパターン化されたフォトレジスト層412も除去する。第2のエッチングステップは、エッチングチャンバ202に供給された第2のガス混合物を用いて実施される。一実施形態において、第2のガス混合物は、Oガスを含む。Oガスを含む第2のガス混合物は、第1のエッチングステップ304からの残渣及び副生成物と共に揮発性ポリマーを形成し、基板402上の残りのBARC414及びフォトレジスト層412と反応して、エッチングシステム202から出る基板402から、残渣、副生成物、残りのBARC414及びフォトレジスト層412を効率的に除去する。第2のガス混合物中の酸素元素が、早いエッチングレート及びポリマー除去レートを与える。これは、残渣及び汚染物質の除去を促すだけでなく、第1のエッチングプロセス中に完全に除去されない、残渣や副生成物を一掃するので有利である。
一実施形態において、BARC層414及び/又はフォトレジスト層412は、Oを含む第2のガス混合物からプラズマを形成することによりエッチングされる。BARC層及び/又はフォトレジスト層412は、図2に示すエッチングシステム202等のエッチングシステムやその他好適な反応器でエッチングしてもよい。
第2のガス混合物をシステム202に供給しながら、ステップ306で、いくつかのプロセスパラメータを調整する。一実施形態において、エッチングリアクタ中のガス混合物の圧力は、約5ミリトル〜約50ミリトルに調整され、基板温度は、摂氏約−10度〜摂氏約55度に維持される。RF電源は、約150ワット〜約2000ワットの電力で印加される。Oガスは、約50sccm〜約500sccmの流量で流される。エッチング時間は、約20秒〜約200秒、例えば、約10秒〜約60秒で、処理される。
第2のエッチングステップ306は、所定期間の終了により終わらせる。例えば、第2のBARCエッチングステップは、約10秒〜約60秒の処理により終わらせてよい。一実施形態において、第2のエッチングステップ306もまた、上述した第1のエッチングステップ304に実質的に等しい処理時間となるように構成してもよい。或いは、第1のエッチングステップ304と第2のエッチングステップ306の処理時間は、約1:1〜約4:1の時間比となるように構成してもよい。他の実施形態において、第2のエッチングステップ306は、発光をモニタリングすることにより、又は、図4Bに示すように、BARC層414及び/又はフォトレジスト層412が、基板402から完全に除去されたこと、又は誘電体絶縁層410の上部表面416及びビア420の下部表面418が露出されたことを示すその他インジケータにより終わらせてもよい。
誘電体絶縁層410の側壁及び表面は、ステップ304で、第1のガス混合物への露出により形成されたポリマーにより、パッシベートされ、保護されているため、第2のガス混合物306は、残渣、副生成物、残りのBARC層414及び/又は基板上に配置されたフォトレジスト層412を、主にエッチングする。この際、誘電体絶縁層410等の、基板上に配置された、下の構造に悪影響を及ぼしたり、これをエッチングすることはない。更に、第2のエッチングステップ306で提供された第2のガス混合物が、BARC層414及び/又はフォトレジスト層412と主に反応すると、第2のエッチングステップ306により、基板から有機及び/又は無機材料の両方が、有利に、且つ効率的に除去される。
ステップ304及びステップ306で説明した2ステップエッチングプロセスは、図3に示すループ308で示される通り、基板上で繰り返し、且つ連続的に実施してもよい。或いは、ステップ304及びステップ306で説明した2ステップエッチングプロセスは、逆の順番で実施してもよい。例えば、ステップ306で記載したエッチングステップを先に実施してから、ステップ304で記載したエッチングプロセスを行ってもよい。
図4に示すデュアルダマシン構造400は、本発明で説明した2ステップエッチングプロセスを実施するのに用いてよい例示の実施形態を示すに過ぎない。BARC層が配置された単一ダマシン構造、ビアオンリー構造、トレンチファースト構造等のその他の構造を利用して、上述した2ステップエッチングプロセスを実施してもよい。本方法を利用して、他の基板にあるBARC層をエッチングしてもよい。
このように、本発明は、高除去レート及び清浄化効率で、BARC層をエッチングする2ステップエッチング法を提供するものである。本方法は、基板上に配置された、下にある誘電体材料を損傷することなく、デュアルダマシン構造において、BARC層、フォトレジスト層、関連の残渣及び副生成物の、トレンチ及び/又はビアからの除去を有利に促すものである。2ステップエッチングプロセスはまた、良好な側壁及び/又は表面保護も提供する。
上記は本発明の実施形態に係るものであるが、本発明のその他及び更なる実施形態はその基本的な範囲から逸脱することなく創作することができ、その範囲は特許請求の範囲に基づいて定められる。
本発明の上記構成が得られ、詳細に理解できるように、上に簡単にまとめた本発明を、添付図面にいくつか図解された実施形態を参照してより具体的に説明する。
例示のデュアルダマシン製造プロセスの連続断面図である。 例示のデュアルダマシン製造プロセスの連続断面図である。 例示のデュアルダマシン製造プロセスの連続断面図である。 例示のデュアルダマシン製造プロセスの連続断面図である。 本発明の一実施形態により用いられるプラズマエッチングチャンバの概略断面図である。 デュアルダマシン構造において、BARC層及び/又はフォトレジスト層をエッチングするための2ステップエッチング方法の一実施形態を例示するプロセスフロー図である。 本発明の一実施形態によるデュアルダマシン製造プロセスの連続断面図である。 本発明の一実施形態によるデュアルダマシン製造プロセスの連続断面図である。
理解を促すために、図面で共通の同一の構成要素を示すのに、可能な場合は、同一の参照番号を用いている。一実施形態の要素及び特徴は、更に列挙することなく、他の実施形態に有利に組み込まれるものと考えられる。
しかしながら、添付図面は本発明の代表的な実施形態を例示しているに過ぎないため、その範囲を限定するものとは考えられず、他の等しく有効な実施形態も認められることに留意すべきである。

Claims (22)

  1. BARC層をフィーチャーから除去する方法であって、
    BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程と、
    NHガスを含む第1のガス混合物を、前記チャンバに供給して、前記フィーチャーを充填している前記BARC層の第1の部分をエッチングする工程と、
    ガスを含む第2のガス混合物を、前記エッチングチャンバに供給して、前記フィーチャーに配置された前記BARC層の残りの部分をエッチングする工程とを含む方法。
  2. 前記第1のガス混合物を供給する工程が、
    NHを、50sccm〜1000sccmの流量で、前記エッチングチャンバに流す工程を含む請求項1記載の方法。
  3. 前記第1のガス混合物を供給する工程が、
    処理圧力を、約5ミリトル〜約300ミリトルに維持する工程を含む請求項1記載の方法。
  4. 前記第1のガス混合物を供給する工程が、
    基板温度を、摂氏約−10度〜摂氏約55度に維持する請求項1記載の方法。
  5. 前記第1のガス混合物を供給する工程が、
    プラズマ電力を、約150ワット〜約2000ワットで印加する工程を含む請求項1記載の方法。
  6. 前記第1のガス混合物を供給する工程が、
    前記フィーチャーを充填している前記BARC層の前記第1の部分を、約20秒〜約200秒の処理時間でエッチングする工程を含む請求項1記載の方法。
  7. 前記第2のガス混合物を供給する工程が、
    ガスを、50sccm〜500sccmの流量で、前記エッチングチャンバに流す工程を含む請求項1記載の方法。
  8. 前記第2のガス混合物を供給する工程が、
    処理圧力を、約5ミリトル〜約50ミリトルに維持する工程を含む請求項1記載の方法。
  9. 前記第2のガス混合物を供給する工程が、
    基板温度を、摂氏約−10度〜摂氏約55度に維持する請求項1記載の方法。
  10. 前記第2のガス混合物を供給する工程が、
    プラズマ電力を、約150ワット〜約2000ワットで印加する工程を含む請求項1記載の方法。
  11. 前記第2のガス混合物を供給する工程が、
    前記フィーチャーを充填している前記BARC層の前記残りの部分を、約10秒〜約60秒の処理時間でエッチングする工程を含む請求項1記載の方法。
  12. 前記第1のガス混合物供給と前記第2のガス混合物供給の間のエッチング時間が、約1:1〜約4:1の比を有する請求項1記載の方法。
  13. 前記ダマシン構造が、3.5未満の誘電率を有する誘電体絶縁層を含む請求項1記載の方法。
  14. 前記ダマシン構造が、炭素ドープ酸化ケイ素を含む誘電体絶縁層を含む請求項1記載の方法。
  15. 基板を提供する工程であって、前記基板が、前記基板の上部表面に配置されたフォトレジスト層を有している、工程を含む請求項1記載の方法。
  16. 前記BARC層をエッチングしている間、前記エッチングチャンバに供給された前記第1のガス混合物及び前記第2のガス混合物が、前記フォトレジスト層をエッチングする請求項15記載の方法。
  17. BARC層をフィーチャーから除去する方法であって、
    誘電体絶縁層に形成され、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程と、
    NHガスを含む第1のガス混合物を、前記チャンバに供給して、前記フィーチャーを充填している前記BARC層の第1の部分をエッチングする工程と、
    ガスを含む第2のガス混合物を、前記チャンバに供給して、前記フィーチャーの前記BARC層の残りの部分をエッチングする工程とを含む方法。
  18. 前記第1のガス混合物を供給する工程が、
    NHガスを、約50sccm〜約1000sccmの流量で流す工程を含む請求項17記載の方法。
  19. 前記第2のガス混合物を供給する工程が、
    ガスを、約50sccm〜約500sccmの流量で流す工程を含む請求項17記載の方法。
  20. 前記第1のガス混合物供給と前記第2のガス混合物供給の間のエッチング時間が、約1:1〜約4:1の比を有する請求項17記載の方法。
  21. 前記誘電体絶縁層の誘電率が、3.5未満である請求項17記載の方法。
  22. BARC層をフィーチャーから除去する方法であって、
    誘電体絶縁層に形成され、BARC層で充填されたフィーチャーを有する基板を、エッチングチャンバに提供する工程であって、前記誘電体層が、3.5未満の誘電率を有する工程と、
    NHガスを含む第1のガス混合物を、約50sccm〜約1000sccmで、前記エッチングチャンバに供給して、前記フィーチャーを充填している前記BARC層の第1の部分をエッチングする工程と、
    ガスを含む第2のガス混合物を、約50sccm〜約500sccmで、前記エッチングチャンバに供給して、前記フィーチャーの前記BARC層の残りの部分をエッチングする工程とを含む方法。
JP2007316730A 2006-12-08 2007-12-07 デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング Expired - Fee Related JP5232455B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/608,611 2006-12-08
US11/608,611 US7718543B2 (en) 2006-12-08 2006-12-08 Two step etching of a bottom anti-reflective coating layer in dual damascene application

Publications (2)

Publication Number Publication Date
JP2008147668A true JP2008147668A (ja) 2008-06-26
JP5232455B2 JP5232455B2 (ja) 2013-07-10

Family

ID=39156397

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007316730A Expired - Fee Related JP5232455B2 (ja) 2006-12-08 2007-12-07 デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング

Country Status (7)

Country Link
US (1) US7718543B2 (ja)
EP (1) EP1930939A3 (ja)
JP (1) JP5232455B2 (ja)
KR (1) KR100917291B1 (ja)
CN (1) CN100552891C (ja)
SG (1) SG143239A1 (ja)
TW (1) TWI356454B (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101989575B (zh) * 2009-08-06 2012-10-31 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器介质层的抛光方法
US8518832B1 (en) 2011-06-27 2013-08-27 Western Digital (Fremont), Llc Process for masking and removal of residue from complex shapes
US8703397B1 (en) 2012-03-29 2014-04-22 Western Digital (Fremont), Llc Method for providing side shields for a magnetic recording transducer
US9437449B2 (en) 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US9460934B2 (en) 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
CN104124202A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
US9001467B1 (en) 2014-03-05 2015-04-07 Western Digital (Fremont), Llc Method for fabricating side shields in a magnetic writer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284327A (ja) * 2000-03-31 2001-10-12 Toshiba Corp ドライエッチング方法、半導体装置の製造方法及び半導体装置
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法
JP2005353633A (ja) * 2004-06-08 2005-12-22 Sony Corp 半導体装置の製造方法および半導体装置
WO2006012119A2 (en) * 2004-06-30 2006-02-02 General Motors Corporation Thermoelectric augmented hybrid electric propulsion system

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6544882B1 (en) * 2000-01-13 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to improve reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and aluminum-copper-TiN layers in integrated circuits
US6514850B2 (en) 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US20030228768A1 (en) 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
WO2004061919A1 (en) * 2002-12-23 2004-07-22 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6705886B1 (en) 2003-01-23 2004-03-16 Fci Americas Technology, Inc. Electrical connector having connector position assurance member
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6923796B2 (en) * 2003-02-25 2005-08-02 The Procter & Gamble Company Dimensionally optimized menstrual fluid management device
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
US20050059234A1 (en) 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7508747B2 (en) * 2004-05-24 2009-03-24 Hewlett-Packard Development Company, L.P. Derivative qualified zero crossing detector apparatus and methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284327A (ja) * 2000-03-31 2001-10-12 Toshiba Corp ドライエッチング方法、半導体装置の製造方法及び半導体装置
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法
JP2005353633A (ja) * 2004-06-08 2005-12-22 Sony Corp 半導体装置の製造方法および半導体装置
WO2006012119A2 (en) * 2004-06-30 2006-02-02 General Motors Corporation Thermoelectric augmented hybrid electric propulsion system

Also Published As

Publication number Publication date
JP5232455B2 (ja) 2013-07-10
TWI356454B (en) 2012-01-11
US20080138997A1 (en) 2008-06-12
KR20080053239A (ko) 2008-06-12
US7718543B2 (en) 2010-05-18
CN101197277A (zh) 2008-06-11
EP1930939A2 (en) 2008-06-11
TW200834715A (en) 2008-08-16
CN100552891C (zh) 2009-10-21
SG143239A1 (en) 2008-06-27
EP1930939A3 (en) 2009-09-09
KR100917291B1 (ko) 2009-09-11

Similar Documents

Publication Publication Date Title
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
US7618889B2 (en) Dual damascene fabrication with low k materials
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
JP5232455B2 (ja) デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US7572734B2 (en) Etch depth control for dual damascene fabrication process
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP2004235637A (ja) エッチストップ層の2段階形成方法
US20090156012A1 (en) Method for fabricating low k dielectric dual damascene structures
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US7393795B2 (en) Methods for post-etch deposition of a dielectric film
JP2005005697A (ja) 半導体装置の製造方法
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
US20050287796A1 (en) Methods of fabricating metal lines in semiconductor devices

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120822

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130325

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160329

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees