CN100552891C - 双镶嵌应用中底部抗反射涂层的两步蚀刻 - Google Patents

双镶嵌应用中底部抗反射涂层的两步蚀刻 Download PDF

Info

Publication number
CN100552891C
CN100552891C CNB2007101987203A CN200710198720A CN100552891C CN 100552891 C CN100552891 C CN 100552891C CN B2007101987203 A CNB2007101987203 A CN B2007101987203A CN 200710198720 A CN200710198720 A CN 200710198720A CN 100552891 C CN100552891 C CN 100552891C
Authority
CN
China
Prior art keywords
gas
admixture
etching
barc layer
charges
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2007101987203A
Other languages
English (en)
Other versions
CN101197277A (zh
Inventor
黄智林
李思义
加拉德·A·德尔加蒂诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101197277A publication Critical patent/CN101197277A/zh
Application granted granted Critical
Publication of CN100552891C publication Critical patent/CN100552891C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明中提供了用于从特征中去除BARC层的方法。在一个实施例中,该方法包括在蚀刻室中提供具有填充了BARC层的特征的衬底,为了蚀刻填充在特征中的BARC层的第一部分,将包含NH3气体的第一气体混合物充入到室中并且为了蚀刻布置在特征中的BARC层的残余部分,将包含O2气体的第一气体混合物充入到蚀刻室中。

Description

双镶嵌应用中底部抗反射涂层的两步蚀刻
技术领域
本发明一般涉及半导体加工技术,尤其涉及用于在双镶嵌蚀刻工艺中蚀刻底部抗反射涂层的方法。
背景技术
集成电路已经发展成可能在单一芯片上包括上百万个零件(例如,晶体管、电容和电阻)的复杂装置。芯片设计的发展持续需求更快的电路和更大的电路密度。对更大电路密度的需求迫使减小集成电路零件的尺寸。
当减小集成电路零件尺寸时(例如,亚微米尺寸),用于制备这种零件的材料影响其电性能。例如,低电阻的金属互连线(例如,铜和铝)提供了集成电路上的零件之间的导电路径。
由于其合意的电特性,铜特别利于用在互连线结构中。通常使用镶嵌工艺制备铜互连线系统,在镶嵌工艺中将沟槽和通孔蚀刻到绝缘层中。用铜填充沟槽和通孔,随后使用(例如)化学机械平坦化(CMP)工艺使铜平坦化。
由绝缘材料将铜互连线彼此电隔离。当相邻金属互连线之间的距离和/或绝缘材料的厚度具有亚微米尺寸时,电容耦合可能潜在地在这种互连线之间发生。相邻金属互连线之间的电容耦合可能导致恶化集成电路整体性能的干扰和/或电阻-电容(RC)。为了防止相邻金属互连线之间的电容耦合,需要低介电常数(低k)的绝缘材料(例如,介电常数低于大约4.0)。
图1A-1D描述了由“通孔优先”加工顺序形成的示范双镶嵌结构。首先参考图1A,将介电体绝缘层110和底侧介电阻挡层108堆叠在另一个预先形成的互连线上,该互连线具有嵌入到布置在衬底102上的另一个介电体绝缘层104中的导电层106。可以将任选抛光停止层或抗反射涂层(ARC)112布置在介电体绝缘层110上。通常由具有低于4.0的介电常数的诸如FSG、聚合物材料、含碳硅层(SiOC)及相似材料的介电材料形成介电体绝缘层110。
在沟槽平板印刷之前,为了填充由通孔蚀刻工艺形成的通孔128并覆盖介电体绝缘层110,旋转施加底部抗反射涂层(BARC)层114。在BARC层114上布置光刻胶层116层,并构图光刻胶层116,以便确定用于形成沟槽的开口130。在蚀刻沟槽之前,为了清除BARC层114在由构图的光刻胶层116层掩盖的通孔开口128之上的部分,执行BARC蚀刻工艺,如图1B所示。执行BARC蚀刻工艺,直到由光刻胶层116确定的任选抛光停止层112被暴露并且填充通孔128的BARC层114被蚀刻到预定深度,如图1B所示。随后,为了蚀刻暴露的抛光停止层112和由构图的光刻胶层116确定的底侧介电阻挡层108,执行沟槽蚀刻工艺,如图1C所示。沟槽蚀刻工艺将介电体绝缘层110蚀刻到预定深度并且在介电体绝缘层110中确定沟槽122。在已经形成沟槽122之后,将填充通孔128的残余BARC层114和在介电体绝缘层110顶部表面上的光刻胶层116从衬底102上去除,由此在衬底102上形成双镶嵌结构,如图1D所示。
通常,在BARC层114或光刻胶层116去除工艺期间,为了与在衬底102上的残余BARC层114和光刻胶层116反应,执行含氧等离子体蚀刻工艺,形成从加工室泵出的氧化碳聚合物。然而,在BARC层114和光刻胶层116去除期间,含氧等离子体可能轰击在介电体绝缘层110中形成的沟槽122的暴露侧壁120和表面126和/或通孔128。氧可能在介电体绝缘层110表面上形成Si-O键,其危害介电体绝缘层110的介电性能。例如,氧可能在低k介电体绝缘层110的侧壁120或暴露表面126上积累,并渗入多孔的低k介电体,由此导致薄膜处的碳损耗。碳损耗可能使低k材料的介电常数非预期增加,导致材料介电性能的“k损”。其结果是,在BARC和光刻胶蚀刻工艺之后,干扰和RC延迟可能增加。
此外,BARC的去除和/或光刻胶层去除工艺还可能在通孔128和/或沟槽122中留下诸如残余BARC、残余光刻胶层、杂质、有机或无机副产品的污染物124。通孔128和/或沟槽122中存在的污染物124可能危害互连线结构的整体集成性,导致差的装置可靠性和电性能。
因此,需要用于在互连线结构中去除BARC的改进工艺。
发明内容
本发明中提供了用于从特征中去除BARC层的方法。在一个实施例中,该方法包括在蚀刻室中提供具有填充了BARC层的特征的衬底,为了蚀刻填充该特征中的BARC层的第一部分,将含有NH3气体的第一气体混合物充入室内,并且为了蚀刻布置在该特征中的BARC层的剩余部分,将含有O2气体的第二气体混合物充入蚀刻室内。
在另一个实施例中,用于从特征中去除BARC层的方法包括在蚀刻室中提供具有在介电绝缘层中形成的特征并填充了BARC层的衬底,为了蚀刻填充该特征中的BARC层的一部分,将含有NH3气体的第一气体混合物充入室内,并且为了蚀刻布置在特征中的BARC层的剩余部分,将含有O2气体的第二气体混合物充入蚀刻室内。
在另一个实施例中,用于从特征中去除BARC层的方法包括在蚀刻室中提供具有在介电绝缘层中形成的特征并填充了BARC层的衬底,其中介电绝缘层的介电常数小于3.5,为了蚀刻填充该特征中的BARC层的一部分,将在大约50sccm和大约1000sccm之间的含有NH3气体的第一气体混合物充入室内,并且为了蚀刻布置在特征中的BARC层的剩余部分,将在大约50sccm和大约500sccm之间的含有O2气体的第二气体混合物充入蚀刻室内。
附图说明
为了获得并详细理解本发明的上述特征的方式,参考在附图中描述的实施例给出上面概述的本发明的更加明确的描述。
图1A-1D是示范双镶嵌制造工艺的一系列剖视图;
图2是根据本发明一个实施例使用的等离子体蚀刻室的示意横截面图;
图3是描述用于在双镶嵌结构中蚀刻BARC层和/或光致抗蚀层的两步蚀刻方法的方法的一个实施例的工艺流程图;
图4A-4B是根据本发明一个实施例的双镶嵌制造工艺的一系列剖视图。
为了便于理解,仅可能的使用相同参考数字表示附图中共用的相同元件。预期一个实施例的元件和特征易于合并到其它实施例中,而不需要进一步描述。
然而,需要指出的是,附图仅描述了本发明的示范实施例,由于本发明可能允许其它等效实施例,不能认为附图限制了本发明的范围。
具体实施方式
本发明的实施例包括用于在双镶嵌结构中两步蚀刻BARC层的方法。该方法通过在双镶嵌制造工艺中的分离BARC层蚀刻步骤使用不同气体混合物,在保持高去除速率的同时保持低k介电膜的质量。
可以在任何适宜等离子体蚀刻室内执行这里描述的蚀刻工艺。一个这种蚀刻室是由加利佛尼亚圣克拉拉的应用材料公司提供的
Figure C20071019872000081
加工室。预期包括由其它制造商提供的其它蚀刻反应器可能适于从本发明受益。
图2描述了适于执行本发明的一个或多个步骤的等离子体蚀刻系统202的一个实施例的示意横截面视图。等离子体蚀刻系统202可能包括具有导电室壁230和顶盖213的工艺室体210。使用位于导电室壁230内和/或其周围的含液导管(未示出)控制导电室壁230的温度。导电室壁230连接到电接地234。为了覆盖导电室壁230的内表面,将衬垫231布置在工艺室体210内。衬垫231作为防护工艺室体210的室壁230的内表面的表面防护层。在一个实施例中,衬垫231可以由包括Al2O3、AlN、氧化硅、Y2O3及相似物的陶瓷材料制成。
工艺室体210是通过节流阀门227连接到真空泵236的真空容器。将支撑基座216布置在工艺室体210的底部,以便在处理期间支撑放置在其上的衬底260。支撑基座216可能包括用于夹持衬底260的静电卡盘226。DC电源220用于控制供应给静电卡盘226的电力。通过匹配网络224将支撑基座216连接到射频(RF)偏置功率源222。偏置功率源222一般能够产生具有在大约50kHz到大约60MHz之间的可调频率和在大约0到大约5000瓦之间的偏置功率的RF信号。偏置功率源222可能提供诸如大约13.56MHz和大约2MHz的多频信号。可选地,偏置功率源222可能是DC或脉冲DC源。
至少部分地通过调节支撑基座216的温度来控制衬底260的温度。在一个实施例中,支撑基座216包括具有用于流通冷却剂的通道的冷却板(未示出)。另外,由气体源248的诸如氦(He)气的背部气体适于提供到布置在衬底背部和在静电卡盘226表面形成的沟槽(未示出)之间的通道中。背部气体在基座216和衬底260之间提供有效热传输。为了在加工期间加热衬底260,静电卡盘226还可能包括布置在卡盘226中的电阻加热器(未示出)。在一个实施例中,将衬底260保持在大约10到大约500摄氏度之间的温度。
将喷头232相对衬底基座116空间分离且面向衬底260安装到工艺室体210的顶盖213。将气体面板238不固定地连接到在喷头232和顶盖213之间确定的充气增压室(未示出)。喷头232包括允许从气体面板238提供给充气增压室的气体进入工艺室体210的多个开孔。可能将喷头232中的开孔布置在不同区域,以便可以以不同体流量速率将不同气体释放到室体210中。
通过阻抗变压器219(例如,四分之一波长匹配轴端)将喷头232和/或临近其放置的上电极228连接到RF等离子体功率源218。RF功率源218一般能够产生具有在大约50kHz到大约160MHz之间的可调频率和在大约大约0到大约5000瓦之间的源功率的RF信号。RF等离子体功率源218可能提供诸如大约13.56MHz和大约2MHz的多频信号。
等离子体蚀刻系统202还可能包括放置在室壁230外部、靠近室顶盖213的一个或多个线圈片段或磁体212。由DC电源或低频AC电源254控制提供给线圈片段212的电力。
在衬底加工期间,使用气体面板238和节流阀门227控制室体210内部的气体压力。在一个实施例中,将室体210内部的气体压力保持在大约0.1到大约999mTorr之间。
为了便于控制本发明的工艺,将包括中央处理器(CPU)244、存储器242和支撑电路246的控制器240连接到系统202的不同组件。存储器242可以是系统202或CPU 244本地或远程的任何计算机可读介质,诸如随机访问存储器(RAM)、只读存储器(ROM)、软盘、硬盘或数字存储的任何其它形式。为了以传统方式支撑CPU 244,将支撑电路246连接到CPU 244。这些电路包括高速缓冲存储器、电源、时钟脉冲电路、输入/输出电路和系统,等等。当由CPU 244执行时,存储在存储器242中的软件程序或一系列程序指令使等离子体蚀刻系统202执行本发明的蚀刻工艺。
图2仅示出了可以用于实现本发明的不同类型等离子体蚀刻室的一个示范配置。例如,可以使用不同连接机制将不同类型的源功率和偏置功率连接到等离子体室中。使用源功率和偏置功率允许独立控制等离子体密度和衬底关于等离子体的偏置电压。在某些应用中,可能不需要源功率,并且仅由偏置功率保持等离子体。可以由使用诸如磁体212的由低频(例如,0.1-0.5Hz)AR电源或DC源驱动的电磁体施加到真空室的磁场增强等离子体密度。在其它应用中,可能在放置衬底的室(例如,远离等离子体源)以外的不同室内产生等离子体,并且随后使用现有技术已知的技术将等离子体导入到该室内。
图3描述了根据本发明的一个实施例的适于用于双镶嵌制造工艺的BARC去除工艺300的流程图。图4A-4B是描述用于描述BARC去除工艺300的工艺300的不同阶段的连续示意横截面视图。可以将工艺300作为当由控制器240执行时使工艺300在室202中执行的指令存储在存储器242中。
通过提供具有适于制备双镶嵌结构的薄膜堆栈的衬底,工艺300以步骤302开始。如图4A所示,为了在通孔420上形成具有沟槽422的双镶嵌结构400,已经蚀刻了该薄膜堆栈。薄膜堆栈包括介电体绝缘层410,其布置在堆在底部介电绝缘层404上的介电阻挡层408上。在不存在介电阻挡层408的实施例中,可以将介电体绝缘层410直接布置在底部介电绝缘层404上。底部介电绝缘层404布置在衬底402上并且至少具有嵌入其中的诸如铜线的导电层406。
在一个实施例中,介电体绝缘层410是具有小于4.0,诸如小于3.4的介电常数的介电材料。适当材料的示例包括诸如由应用材料公司提供的BLACK介电材料的碳掺杂氧化硅(SiOC)和诸如聚酰胺的其它聚合物。从具有介电常数大约为5.5或更小的材料中选择介电阻挡层408。在一个实施例中,介电阻挡层408是含碳硅层(SiC)、氮掺杂含碳硅层(SiCN)、或相似物。例如,介电阻挡层408可能是由应用材料公司提供的
Figure C20071019872000102
介电材料。
在介电绝缘层410中形成的沟槽422通过构图的光刻胶层412开口。填充通孔420的BARC层414暴露在沟槽422的底部。在一个实施例中,光刻胶层412可能是用于构图集成电路的传统碳基有机或聚合物材料。BARC层414可能从通孔420延伸到沟槽422的底部表面428之外的高度,由此轻微地突出到通孔420之外。BARC层414可能包括(例如)诸如通常具有含氢和含碳元素的聚酰胺和多硫化物的有机材料,或诸如氮化硅、氮氧化硅、碳化硅等等的无机材料。在图4A所示的实施例中,BARC层414是旋转布置在衬底402上的有机材料。在另一个示范实施例中,可能以任何适当方式将BARC层414涂覆、沉积或填充在通孔中。
构图的光刻胶层412在介电绝缘层410中传输预定图案和/或特征。在预定图案和/或特征形成过程期间,可能消耗或整修构图的光刻胶层412,在介电绝缘层410的上表面416上留下光刻胶层412的一部分。替代地,可能将已构图的光刻胶层412完全去除,由此暴露介电绝缘层410的上表面416。在图4A所示的实施例中,在为了形成沟槽422将预定图案和/或特征传输到介电绝缘层410中之后,在双镶嵌结构400上残余了光刻胶层412的一部分。在不存在光刻胶层412的实施例中,为了去除残余在通孔420中的BARC层414,可能执行两步蚀刻工艺。
在步骤304,为了初始蚀刻填充通孔420且通过沟槽422暴露的BARC层414的一部分,通过在蚀刻室202中充入第一气体混合物执行执行第一蚀刻步骤。如果可能在衬底表面残余光刻胶层412的一部分,第一BARC蚀刻步骤还可能蚀刻构图的光刻胶层412。
在一个实施例中,充入到蚀刻室202中的第一气体混合物含有氨气(NH3)。第一气体混合物用于去除可能来自先前蚀刻工艺和/或在衬底表面存在的有机聚合物和光刻胶外壳。第一气体混合物中的氢元素通过形成泵出蚀刻系统202的挥发性碳氢化合物清洁有机剩余物,而不损害衬底表面。第一气体混合物还可能使介电绝缘层410的侧壁426或暴露表面428钝化,由此防止底部低k介电衬底k值偏移和介电常数增加。第一气体混合物还可能清除和彻底冲洗来自先前蚀刻工艺的残余在蚀刻室202中的诸如含氟气体的残余气体,由此防止缺陷的产生或与可能还攻击介电绝缘层表面的残余氟物质的化学反应。
在一个实施例中,通过从含有NH3气体的第一气体混合物形成等离子体,首先蚀刻BARC层414和/或构图光刻胶层412。可能在诸如图2所示蚀刻室202或其它适宜反应器中蚀刻BARC层414和/或构图光刻胶层412。
当将第一气体混合物充入到蚀刻系统202中时,在步骤304调整几个工艺参数。在一个实施例中,在大约5mTorr到大约300mTorr之间调整蚀刻反应器中的气体混合物压力,将衬底温度保持在大约-10摄氏度和大约55摄氏度之间。可能以在大约150瓦到大约2000瓦之间的功率施加RF源功率。以诸如大约100sccm和大约800sccm的在大约50sccm和大约1000sccm之间的流速流通NH3气体。可能以在大约50sccm到大约1000sccm之间的流速在气体混合物中流通和充入诸如N2、Ar、He气体的气体惰性气体。
在一个实施例中,可能通过终结预定时间周期来终止第一蚀刻步骤。例如,通过在大约20秒到大约200秒之间处理来终止第一蚀刻步骤。在另一个实施例中,可能通过其它适当方法,例如通过监控光发射或通过其它指示器,终止第一BARC蚀刻步骤。
在步骤306,为了蚀刻和去除填充通孔402的BARC层414的残余部分,执行第二蚀刻步骤。第二步骤306还去除残余在介电绝缘层410的上表面416上的任何构图的光刻胶层412。使用充入到蚀刻室202的第二气体混合物执行第二蚀刻步骤。在一个实施例中,第二气体混合物包括O2气体。含有O2气体的第二气体混合物与来自第一蚀刻步骤304的残余物和副产品形成挥发性聚合物并且与衬底402上的残余BARC414和光刻胶层412反应,由此从衬底402将残余物、副产品、残余BARC 414和光刻胶层412有效地去除出蚀刻系统202。第二气体混合物中的氧元素提供高的蚀刻速率和聚合物去除速率,这不仅有利于促进残余物和污染物的去除,而且清除在第一蚀刻工艺清洁不能完全去除的残余物和副产品。
在一个实施例中,通过从含有O2气体的第二气体混合物形成等离子体蚀刻BARC层414和/或光刻胶层412。可能在诸如涂2所示蚀刻室202或其它适当反应器中蚀刻BARC层和/或光刻胶层412
当将第二气体混合物充入到蚀刻系统202中时,在步骤306调整几个工艺参数。在一个实施例中,在大约5mTorr到大约50mTorr之间调整蚀刻反应器中的气体混合物压力,将衬底温度保持在大约-10摄氏度和大约55摄氏度之间。可能以在大约150瓦到大约2000瓦之间的功率施加RF源功率。以在大约50sccm和大约500sccm之间的流速流通O2气体。蚀刻时间可能在诸如大约10秒到大约60秒之间的在大约20苗和大约200秒之间。
通过终结预定时间周期来终止第二蚀刻步骤306。例如,通过在大约10秒到大约60秒之间加工来终止第二BARC蚀刻步骤。在一个实施例中,第二蚀刻步骤306还可能设置成具有基本等于如上所述的第一蚀刻步骤304的工艺时间。替代地,第一蚀刻步骤304和第二蚀刻步骤306的工艺时间可能设置成具有在大约1∶1到大约4∶1之间的时间周期比。在另一个实施例中,通过监控光发射或通过表示已经将BARC层414和/或光刻胶层412从衬底402或介电绝缘层410的上表面416完全去除并且通孔420的底部表面418已经暴露的其它标始终止第二蚀刻步骤306,如图4B所示。
由于在步骤304使介电绝缘层410的侧壁和表面钝化并且由提供暴露于第一气体混合物形成的聚合物保护,第二气体混合物306主要蚀刻残余物、副产品和布置在衬底上的残余BARC层414和/或光刻胶层412,而不危害或蚀刻衬底上的诸如介电绝缘层410的底部结构。而且,由于在第二蚀刻步骤306提供的第二气体混合物主要与BARC层414和/或光刻胶层412反应,第二蚀刻步骤306有利于并且有效地从衬底去除有机和/或无机材料。
如图3所示的循环308,可能在衬底上重复地且连续地执行如步骤304和步骤306所述的两步蚀刻工艺。替代地,可能在相反次序执行如步骤304和步骤306所述的两步蚀刻工艺。例如,可能首先执行如步骤306所述的蚀刻工序,然后执行如步骤304所述的蚀刻工序。
如图4所示的双镶嵌结构400仅示出了可能用于执行如本发明所述的两步蚀刻工艺的示范实施例。诸如第一镶嵌结构、仅有通孔的结构、沟槽优先结构和相似结构的其它结构也可能用于执行如上所述的两步蚀刻工艺。该方法还可能用于蚀刻在其它衬底中的BARC层。
因此,本发明提供了用于以高去除速率和清除效率蚀刻BARC层的两步蚀刻方法。该方法利于从双镶嵌结构中的沟槽和/或通孔去除BARC层、光刻胶层和相关残余物和副产品,而不危害布置在衬底上的底部介电材料。该两步蚀刻工艺还提供良好的侧壁和/或表面保护。
虽然前面定向于本发明的实施例,可能在不偏离其基本范围的情况下设计本发明的其它和额外实施例,本发明的范围由权利要求确定。

Claims (22)

1.—种用于从形成于双镶嵌结构中的特征中去除BARC层的方法,包括:
在蚀刻室中提供具有填充了BARC层的特征的衬底,其中该特征包括与限定在介电体绝缘层中的通孔相连的沟槽,其中该BARC层填充在该通孔内;
为了在提供介电体绝缘层的侧壁保护的同时蚀刻填充在特征中的BARC层的第一部分,将包含NH3气体的第—气体混合物充入到室中;以及为了蚀刻布置在特征中的BARC层的残余部分,将包含O2气体的第二气体混合物充入到蚀刻室中。
2.根据权利要求1所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
使具有在50sccm和1000sccm之间的流速的NH3流入到蚀刻室中。
3.根据权利要求1所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
将工艺压力保持在5mTorr到300mTorr之间。
4.根据权利要求1所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
将衬底温度控制在-10摄氏度到55摄氏度之间。
5.根据权利要求1所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
施加在150瓦到2000瓦之间的等离子体功率。
6.根据权利要求1所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
在20秒和200秒之间的工艺时间,蚀刻填充在特征中的BARC层的第一部分。
7.根据权利要求1所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
使流速在50sccm和500sccm之间的O2气体流入到蚀刻室中。
8.根据权利要求1所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
将工艺压力保持在5mTorr到50mTorr之间。
9.根据权利要求1所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
将衬底温度控制在-10摄氏度到55摄氏度之间。
10.根据权利要求1所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
施加在150瓦到2000瓦之间的等离子体功率。
11.根据权利要求1所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
在10秒和60秒之间的工艺时间,蚀刻填充在特征中的BARC层的残余部分。
12.根据权利要求1所述的方法,其特征在于,第—气体混合物充入和第二气体混合物充入之间的蚀刻时间比值在1∶1和4∶1之间。
13.根据权利要求1所述的方法,其特征在于,介电体绝缘层具有小于3.5的介电常数。
14.根据权利要求1所述的方法,其特征在于,介电体绝缘层包括碳掺杂氧化硅。
15.根据权利要求1所述的方法,其特征在于,提供步骤还包括:
提供具有布置在衬底的介电体绝缘层上的光刻胶层的衬底。
16.根据权利要求15所述的方法,其特征在于,充入到蚀刻室中的第—气体混合物在蚀刻BARC层的同时蚀刻光刻胶层,以及充入到蚀刻室中的第二气体混合物在蚀刻BARC层的同时蚀刻光刻胶层。
17.一种用于从特征中去除BARC层的方法,包括:
在蚀刻室中提供具有形成于双镶嵌结构中的通孔的衬底,其中该通孔在介电绝缘层中沟槽下形成且填充了BARC层;
为了在提供介电绝缘层的侧壁保护的同时蚀刻填充在通孔中的BARC层的一部分,将包含NH3气体的第—气体混合物充入到室中;以及
为了蚀刻布置在通孔中的BARC层的残余部分,将包含O2气体的第二气体混合物充入到蚀刻室中。
18.根据权利要求17所述的方法,其特征在于,充入第—气体混合物的步骤还包括:
使流速在50sccm和1000sccm之间的NH3流入到蚀刻室中。
19.根据权利要求17所述的方法,其特征在于,充入第二气体混合物的步骤还包括:
使流速在50sccm和500sccm之间的O2气体流入到蚀刻室中。
20.根据权利要求17所述的方法,其特征在于,第—气体混合物充入和第二气体混合物充入之间的蚀刻时间比值在1∶1和4∶1之间。
21.根据权利要求17所述的方法,其特征在于,介电绝缘层的介电常数小于3.5。
22.一种用于从特征中去除BARC层的方法,包括:
在蚀刻室中提供衬底,该衬底具有在双镶嵌结构中的介电绝缘层中形成的且填充了BARC层的通孔,其中介电绝缘层的介电常数小于3.5;
为了在提供介电绝缘层的侧壁保护的同时蚀刻填充在通孔中的BARC层的一部分,以在50sccm和1000sccm之间的流速将包含NH3气体的第—气体混合物充入到室中;以及
为了蚀刻通孔中的BARC层的残余部分,以在50sccm和500sccm之间的流速将包含O2气体的第二气体混合物充入到蚀刻室中。
CNB2007101987203A 2006-12-08 2007-12-10 双镶嵌应用中底部抗反射涂层的两步蚀刻 Expired - Fee Related CN100552891C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/608,611 2006-12-08
US11/608,611 US7718543B2 (en) 2006-12-08 2006-12-08 Two step etching of a bottom anti-reflective coating layer in dual damascene application

Publications (2)

Publication Number Publication Date
CN101197277A CN101197277A (zh) 2008-06-11
CN100552891C true CN100552891C (zh) 2009-10-21

Family

ID=39156397

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101987203A Expired - Fee Related CN100552891C (zh) 2006-12-08 2007-12-10 双镶嵌应用中底部抗反射涂层的两步蚀刻

Country Status (7)

Country Link
US (1) US7718543B2 (zh)
EP (1) EP1930939A3 (zh)
JP (1) JP5232455B2 (zh)
KR (1) KR100917291B1 (zh)
CN (1) CN100552891C (zh)
SG (1) SG143239A1 (zh)
TW (1) TWI356454B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101989575B (zh) * 2009-08-06 2012-10-31 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器介质层的抛光方法
US8518832B1 (en) 2011-06-27 2013-08-27 Western Digital (Fremont), Llc Process for masking and removal of residue from complex shapes
US8703397B1 (en) 2012-03-29 2014-04-22 Western Digital (Fremont), Llc Method for providing side shields for a magnetic recording transducer
US9437449B2 (en) 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US9460934B2 (en) 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
CN104124202A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
US9001467B1 (en) 2014-03-05 2015-04-07 Western Digital (Fremont), Llc Method for fabricating side shields in a magnetic writer

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6544882B1 (en) * 2000-01-13 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to improve reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and aluminum-copper-TiN layers in integrated circuits
JP3803528B2 (ja) 2000-03-31 2006-08-02 株式会社東芝 半導体装置の製造方法及び半導体装置
US6514850B2 (en) 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US20030228768A1 (en) 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
WO2004061919A1 (en) * 2002-12-23 2004-07-22 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6705886B1 (en) 2003-01-23 2004-03-16 Fci Americas Technology, Inc. Electrical connector having connector position assurance member
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6923796B2 (en) * 2003-02-25 2005-08-02 The Procter & Gamble Company Dimensionally optimized menstrual fluid management device
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
US20050059234A1 (en) 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7508747B2 (en) * 2004-05-24 2009-03-24 Hewlett-Packard Development Company, L.P. Derivative qualified zero crossing detector apparatus and methods
JP2005353633A (ja) * 2004-06-08 2005-12-22 Sony Corp 半導体装置の製造方法および半導体装置
US7253353B2 (en) * 2004-06-30 2007-08-07 General Motors Corporation Thermoelectric augmented hybrid electric propulsion system

Also Published As

Publication number Publication date
JP5232455B2 (ja) 2013-07-10
TWI356454B (en) 2012-01-11
US20080138997A1 (en) 2008-06-12
KR20080053239A (ko) 2008-06-12
JP2008147668A (ja) 2008-06-26
US7718543B2 (en) 2010-05-18
CN101197277A (zh) 2008-06-11
EP1930939A2 (en) 2008-06-11
TW200834715A (en) 2008-08-16
SG143239A1 (en) 2008-06-27
EP1930939A3 (en) 2009-09-09
KR100917291B1 (ko) 2009-09-11

Similar Documents

Publication Publication Date Title
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
CN100552891C (zh) 双镶嵌应用中底部抗反射涂层的两步蚀刻
JP6620112B2 (ja) 処理システムを使用した空隙構造の組込
US20070224825A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7618889B2 (en) Dual damascene fabrication with low k materials
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US8435901B2 (en) Method of selectively etching an insulation stack for a metal interconnect
CN1997771B (zh) 等离子体处理系统中基片蚀刻的方法
TWI427684B (zh) 用於現場基底處理之方法及裝置
KR101896724B1 (ko) 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호
US20020074312A1 (en) High density plasma post-etch treatment for a dielectric etch process
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
KR20080106290A (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
JP7176106B2 (ja) 誘電体材料の堆積方法
US7572734B2 (en) Etch depth control for dual damascene fabrication process
JP2007110119A (ja) 半導体ウエハ上に設けられた配線ラインに付随する電気的分離の形成方法
KR20150014387A (ko) 금속화 패턴 프로파일링을 위한 건식 에칭 방법
US20070090531A1 (en) Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer
US11574814B2 (en) Substrate and substrate processing method
KR20010112115A (ko) 플루오로-오르가노실리케이트층
US20020192845A1 (en) Optical marker layer for etch endpoint determination
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
US20050287796A1 (en) Methods of fabricating metal lines in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091021

Termination date: 20101210