JP6620112B2 - 処理システムを使用した空隙構造の組込 - Google Patents

処理システムを使用した空隙構造の組込 Download PDF

Info

Publication number
JP6620112B2
JP6620112B2 JP2016559150A JP2016559150A JP6620112B2 JP 6620112 B2 JP6620112 B2 JP 6620112B2 JP 2016559150 A JP2016559150 A JP 2016559150A JP 2016559150 A JP2016559150 A JP 2016559150A JP 6620112 B2 JP6620112 B2 JP 6620112B2
Authority
JP
Japan
Prior art keywords
layer
dielectric constant
low dielectric
processing
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016559150A
Other languages
English (en)
Other versions
JP2017501591A (ja
JP2017501591A5 (ja
Inventor
メフル ビー. ナイク,
メフル ビー. ナイク,
ホー レン,
ホー レン,
ジェンジアン ツイ,
ジェンジアン ツイ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017501591A publication Critical patent/JP2017501591A/ja
Publication of JP2017501591A5 publication Critical patent/JP2017501591A5/ja
Application granted granted Critical
Publication of JP6620112B2 publication Critical patent/JP6620112B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

[0001] 本開示の実施形態は概して、一体型処理システムを使用した空隙構造を有する誘電体層構造の形成に関する。
[0002] 今日、集積回路は、単一チップ上に数百万個もの構成要素(例えば、トランジスタ、コンデンサ及び抵抗)が搭載される複雑なデバイスへと進化を遂げている。回路の高密度化に伴い、集積回路構成要素の寸法を小さくすること、例えばサブミクロンまで寸法を低減することが求められるほか、高速で電気性能の優れたデバイスの実現に向けて、デバイス製造の原材料として、たとえば、金属ラインの形成には高導電率の材料、絶縁層には低誘電率(低k)の材料など、様々な材料を使用することが必要となってくる。集積回路製造の場合、銅及びアルミニウムの配線などの低抵抗の金属配線は、集積回路素子上で集積回路構成要素間の導電性経路となる。一般的に、金属配線は誘電体バルク絶縁材料によって互いに電気絶縁される。サブミクロンの寸法では、隣接する金属配線間で容量性結合が起こる場合があり、これがクロストークや抵抗−容量(RC)遅延の要因となって集積回路全体の性能が低下する可能性がある。
[0003] 集積回路構成要素に対して垂直及び水平の配線を形成する方法として、ダマシン法、デュアルダマシン法がある。典型的に、ダマシン構造は、上下に積み上げられた低誘電率材料と導電性銅層などのように、誘電体バルク絶縁層と導電性金属層を有する。垂直な配線(すなわちビア)と水平な配線(すなわちトレンチ)は、誘電体バルク絶縁層内にエッチングされる。その後、導電性金属層がビア及び/又はトレンチに満たされ、導電性金属材料だけがビア及び/又はトレンチ内に残るように、化学的機械平坦化プロセス(CMP)などによって平坦化される。ダマシンアプローチでは、一連のハードマスク、低誘電率誘電体、エッチング停止層、空隙などを含む、かなり複雑な誘電体膜スタックが求められる。このようなスタックを得るには、ビアとトレンチを導電性金属材料で満たす前に、一般に、ビア/トレンチリソグラフィ、パターニング、及び湿式洗浄プロセスが必要である。
[0004] 図1は、従来の酸化物又は低誘電率材料のモールド湿式エッチング除去技術によって製造された一体型層スタック100を示したもので、この技術により、半導体基板101の表面上に配線構造の少なくとも一部が形成されている。一体型層スタック100は、基板101の上に配置された低誘電率誘電体材料の上に堆積されたブランケットモールド膜(図示せず)によって製造される。モールド膜は、そこにトレンチを形成するため、モールド膜層の一部を選択的に取り除くようにパターニングされる。バリア層104はパターニングされた低誘電率誘電体材料106の上に堆積され、導電性材料102はバリア層104の上のトレンチ内に堆積される。バリア層104は、導電性材料102と隣接する流動性低誘電率誘電体材料106との間の拡散を防止するように構成される。次に、化学的機械平坦化プロセスは、導電性材料102の上面を露出するため、モールド酸化物とバリア層104を研磨しなおすように実行される。湿式エッチング技術では残存モールド膜を取り除いて、図1に示す一体型層スタック100を形成する。その結果、空隙構造110が、第1の流動性低誘電率材料106と第2の流動性低誘電率材料108との間に形成される。
[0005] しかしながら、従来の湿式エッチング技術は、フッ化水素酸(HF)又は希釈HFなど、モールド層(図示せず)の除去に使用されるエッチャントが、領域112に示したようにバリア層104を損傷しうるため問題がある。しかも、湿式エッチングは、領域114に示したように、導電性材料102を過剰にエッチングし、或いは侵すことがあり、この例では導電性材料102はくぼんでいる。
[0006] 加えて、モールド湿式エッチングステップと、空隙形成などのその後の処理との間の周囲条件で長い待ち時間があるため、バリア層104及び導電性材料102が酸化することがある。したがって、導電性材料102及びバリア層104をエッチングすることや物理的に損傷することなく、形成処理中に導電性材料102及びバリア層104の酸化を更に防止するように、配線形成処理を改善する必要がある。
[0007] 一実施形態では、一体型層スタックに空隙構造を形成する方法は、真空下の処理システム内の一体型層スタック上に配置されたモールド層をドライエッチングするステップを含む。モールド層は一ないし複数の配線の間に配置され、モールド層のドライエッチング処理は、一ないし複数の配線の少なくとも一部を露出させる。本方法は更に、一ないし複数の配線の露出部分の上にライナー層を堆積するステップを含む。本書で開示の方法は一般的に、処理システムにおいて真空を遮断せずに実行される。
[0008] 別の実施形態では、一体型層スタックに空隙構造を形成する方法は、真空下の処理システム内の第1処理チャンバの一体型層スタック上に配置されたモールド層をドライエッチングするステップを含む。モールド層は一ないし複数の銅配線の間に配置され、モールド層のドライエッチング処理は、一ないし複数の配線の少なくとも一部を露出させる。本方法は更に、真空下の処理システム内の第2処理チャンバの一ないし複数の配線の露出部分の上に、約2ナノメートル未満の厚みを有する低誘電率材料ライナー層を堆積するステップを含む。本方法は、処理システムにおいて真空を遮断せずに実行される。
[0009] 更に別の実施形態では、処理システム内の基板上に誘電体構造を形成するための方法は、真空下で第1処理チャンバの基板上にモールド層をドライエッチングするステップを含む。モールド層は一ないし複数の銅配線の間に配置され、モールド層のドライエッチング処理は、一ないし複数の配線の少なくとも一部を露出させる。本方法は更に、真空下で基板を第1処理チャンバから第2処理チャンバまで移送するステップを含む。本方法は更に、真空下で第2処理チャンバの基板上の一ないし複数の配線の露出部分の上にライナー層を堆積するステップを含む。本方法はまた、真空下で第2処理チャンバの基板上に形成されたライナー層の上に、一ないし複数の誘電体層及び犠牲層を堆積するステップを含む。
[0010] かくして、本開示の特徴が詳細にわたり理解されるように、上記で要約した本開示について、以下、実施形態の詳細な説明をする。その際に参照する実施形態一部は添付図面に示される。もとより、本開示は他の等しく有効な実施形態も包含しうることから、添付図面は本開示の典型的な実施形態を例示しているのにすぎず、本発明の範囲を限定するものではないことは勿論である。
一体型層スタックの概略断面図である。 処理チャンバの概略断面図である。 処理チャンバの概略断面図である。 空隙構造を含む配線構造を形成するための方法のフロー図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 配線構造形成処理の異なるフェーズでの概略断面図である。 一体型層スタックの概略断面図である。 処理システムの平面図である。
理解を容易にするため、可能な限り、図面に共通する同一の要素を示すのに同一の参照番号を使用した。一実施形態の要素および特徴は、特記しない限り、他の実施形態にも有益に組み込むことができる。
[0018] 以下の説明では、便宜上、本開示の実施形態の完全な理解を促すために、詳細にわたって記載されている。ただし、場合によっては、本開示の実施形態が不明確にならないようにするため、周知の構造や装置については詳細には立ち入らずに、ブロック図の形態で示してある。これらの実施形態は、当業者が本開示の実施形態を実施することができるように詳細に説明されているが、他の実施形態も使用することができること、また、本開示の範囲を逸脱することなく、論理的、機械的、電気的、その他の変更を行いうることは明らかである。
[0019] 本明細書に記載の実施形態は概して、処理システムを使用する空隙構造の組込方法に関する。具体的には、本開示の実施形態は、改善された空隙構造形成処理に対して処理システム内で一体化されるモールド層エッチング処理及びライナー堆積処理に関する。本開示の実施形態は概して、一ないし複数の処理チャンバ200、300を有する処理システム800を使用する空隙構造を含む、誘電体含有層構造の形成に関する。処理システムは好都合なことに、処理システム800内で「真空を破る」ことなく誘電体含有層構造を形成し、その結果、誘電体含有層構造内の物質は形成処理中に酸化又は損傷されることがないため、電気的に堅牢な構造を形成する。処理システム800及び処理チャンバ200、300の詳細は、以下で更に説明される。
[0020] 図4は、本開示の一実施形態による空隙構造形成の方法400のフロー図を示している。図5A〜図5Dは、本開示の一実施形態による一体型層スタック500の概略的な断面図である。
[0021] 図4及び図5A〜図5Dを参照するに、本方法400は、ブロック402で、一体型層スタック500からモールド層502をドライエッチングすること又は除去することによって開始される。図5Aに示すように、一体型層スタック500は、第1低誘電率誘電体材料506に配置された導電性材料504を含むダマシン構造である。一実施形態では、導電性材料504は銅などの金属であり、第1低誘電率誘電体材料506は、炭素がドープされた酸化物又はその変形物、例えば、フッ素化炭素、ナノクラスタリングシリカ(NCS)、メソポーラス酸化物、又は有機「スピンオン」材料、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なBD1、BDII及びBD3などのBLACK DIAMOND(登録商標)膜層を含みうる。他の好適な低誘電率誘電体材料、例えば、約1.5〜約5の誘電率を有する材料も、本明細書に記載の実施形態により使用されうることが企図されている。
[0022] 第1低誘電率誘電体材料506は、スピンオン技術、プラズマ化学気相堆積(PECVD)、高密度プラズマCVD、ハイブリッド物理CVD(HPCVD)、中性ビーム化学気相堆積(NBECVD)、マイクロ波支援化学気相堆積(CVD)、又は他の任意の好適な低誘電率誘電体材料堆積技術によって堆積されうる。第1バリア層508は、導電性材料504の拡散を防止するため、第1低誘電率誘電体材料506の上に配置される。第1バリア層508は、窒化ケイ素、炭窒化ケイ素(SiCN)、又はSiOCNを含みうる。一実施形態では、バリア層508は、エッチング停止物質、例えば、窒化アルミニウム(AlN)、及び窒化ケイ素、炭窒化ケイ素(SiCN)又はSiOCNの組み合わせを含みうる。更に別の実施形態では、バリア層508は、選択的な金属キャップ、例えば、窒化ケイ素、炭窒化ケイ素(SiCN)又はSiOCNを含有するコバルト(Co)含みうる。
[0023] 第2低誘電率誘電体材料510は、バリア層508の上に配置される。一実施形態では、第2低誘電率誘電体材料510は、第1低誘電率誘電体材料510に対して既に列挙した任意の材料又は材料の組み合わせを含みうる。加えて、第2低誘電率誘電体材料510は、第1低誘電率誘電体材料510に対して既に列挙した任意の技術によって堆積されうる。導電性材料504は、第2低誘電率誘電体材料510の上に配置される一ないし複数の配線512を形成する。一実施形態では、第2バリア層534は、配線512と隣接する第2低誘電率誘電体材料510及びモールド層502との間に配置される。図5Aに示した第2バリア層534は、第1バリア層508又はバリア材料と同じ材料を含みうる。タンタル又は窒化タンタルなど、或いは他の任意の好適な材料、例えば、マンガン(Mn)、窒化マンガン(MnN)、タングステン(W)、又はこれらの組み合わせから形成される任意の材料は、銅に対するバリアとなりうる。一実施形態では、配線512は側壁514及び上面壁516を含む。
[0024] 図5Bに示したように、配線512(図5A)の間に配置された、パターン形成されたモールド層502は、選択的にエッチングされ、第1低誘電率誘電体材料506内に開放トレンチ524を形成する。一実施形態では、モールド層502は、二酸化ケイ素(SiO)(オルトケイ酸テトラエチル(TEOS)前駆体から形成される)、シラン(SiH)、又は、例えば有機前駆体から形成される他の任意のケイ素系酸化物などの犠牲酸化物である。別の実施形態では、モールド層は、炭素、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なBD1、BDII及びBD3などのBLACK DIAMOND(登録商標)膜層など、超低誘電率材料である。他の好適な低誘電率誘電体材料、例えば、約1.5〜約3の誘電率を有する材料も、本明細書に記載の実施形態により使用されうることが企図されている。
[0025] 一実施例では、ドライエッチング処理は、約2mTorrから約20mTorrの処理圧力、摂氏約10度から約80度の温度、及び約0.2kwから約2.0kwの出力を有する13.56MHzのRF電力で、NF、NH又はCガスを使用して、モールド層502を取り除くために実行される。従来の湿式エッチングと比較して、モールド層502のドライエッチングは等方的にエッチングするのではないため、隣接する構造を損傷する。すなわち、導電性材料504を過剰にエッチングする、或いは侵すため、第2バリア層534を損傷する。したがって、形成された隣接する膜への導電性材料504の拡散は低減又は防止され、一体型層スタック500の電気特性及び完全性は維持される。図5A〜図5Dには単層ビアが示されているが、一実施形態では、その後の次の層ビアに隣接する空隙形成を防止するため、モールド層502をドライエッチングする前にエクスクルージョンマスクが使用される。
[0026] 本明細書では特定の一体型層スタック構造が説明されているが、ブロック402で、その後の空隙構造形成に適した任意の一体型層スタック上でモールド層502のドライエッチングが実行されうることが企図されている。当業者であれば、ブロック402で使用されるエッチング化学物質が、モールド層502の組成及び厚みに基づいて選択され、調整されることが理解されよう。一般的に、モールド層502のエッチングに使用されるドライエッチング処理は、配線512の形成に使用される材料のエッチング又は酸化を実質的に低減又は防止し、配線512の完全性及び構造を維持するのに役立つように、選択される。
ドライエッチング処理チャンバの例
[0027] ブロック402で実施されるドライエッチング処理は、処理システム800のチャンバ200内で実行されうる(図8参照)。図2は、一体型層スタックから材料をドライエッチング又は除去するように構成された、例示的な処理チャンバ200の断面図である。ドライエッチングは、異方的エッチング、等方性エッチング、又はこれらの任意の組み合わせを含みうる。チャンバ200は、モールド層502のドライエッチングに特に適している。一ないし複数の実施形態の実行に適した処理チャンバ200は、限定するものではないが、FRONTIER(商標)処理チャンバ及びSiCoNi(商標)処理チャンバを含みうる。どちらもカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。他の製造業者から入手可能な他の真空処理チャンバも、本実施形態を実行するように適合されうることに留意されたい。
[0028] 処理チャンバ200は、真空を破ることなく、基板表面の熱処理とプラズマ処理の双方を提供する。一実施形態では、処理チャンバ200は、チャンバ本体212、リッドアセンブリ240、及び支持体アセンブリ280を含む。リッドアセンブリ240はチャンバ本体212の上端部に配置され、支持体アセンブリ280はチャンバ本体212内で少なくとも部分的に配置される。
[0029] チャンバ本体212は、処理チャンバ200の内部へのアクセスを可能にするため、その側壁に形成されたスリットバルブ開口部214を含む。スリットバルブ開口部214は、外部の基板ハンドリングロボット(図示せず)によって、チャンバ本体212内部へのアクセスを可能にするため、選択的に開閉される。
[0030] 一ないし複数の実施形態では、チャンバ本体212は、伝熱流体を中に流すために形成されたチャネル215を含む。伝熱流体は、加熱流体又は冷却材であってもよく、処理中にチャンバ本体212の温度を制御するために使用される。
[0031] チャンバ本体212は更に、支持体アセンブリ280を取り囲むライナー220を含む。ライナー220は、修理及び洗浄のために着脱可能である。ライナー220は、アルミニウムなどの金属、セラミック材料、又は他の任意の処理互換材料から作られうる。一ないし複数の実施形態では、ライナー220は、一ないし複数の開口225及び真空ポート231と流体連通するように形成されたポンピングチャネル229を含む。開口225は、ポンピングチャネル229へのガス流路を設け、これによって、処理チャンバ200内のガスの真空ポート231への出口を提供する。
[0032] 真空ポンプ230及びスロットルバルブ232を含む真空システムは、処理チャンバ200を通るガス流を調整するため、真空ポート231に連結されている。真空ポンプ230は、チャンバ本体212内に配置された真空ポート231に連結されており、その結果、ライナー220内に形成されたポンピングチャネル229と流体連通している。
[0033] リッドアセンブリ240は、少なくとも2つの積み重ねられた構成要素を含み、両者の間にプラズマ容積又は空洞を形成するように構成されている。一実施形態では、リッドアセンブリ240は、第2電極245(「下方電極」)の上方に垂直に配置された第1電極243(「上方電極」)を含み、両者の間にプラズマ容積又は空洞250を閉じ込める。第1電極243はRF電源などの電源252に接続され、第2電極245はアース端子に接続され、2つの電極243、245の間にキャパシタンスを形成する。
[0034] 一実施形態では、リッドアセンブリ240は、第1電極243の上部内に少なくとも部分的に形成される、一ないし複数のガス注入口254(1つのみを示す)を含む。ガス注入口254は、第1端部でプラズマ空洞250と流体連通されており、第2端部で一ないし複数の上流ガス源及び/又はガスミキサなどの他のガス供給構成要素に連結されている。
[0035] 一ないし複数の実施形態では、第1電極243は、プラズマ空洞250と境界を接する拡張部分255を有する。一ないし複数の実施形態では、拡張部分255は環状の部材である。一ないし複数の実施形態では、拡張部分255は倒立させた切頭円錐又は「漏斗」に似ている。一ないし複数の実施形態では、拡張部分255の内面257は、拡張部分255の上部から下部へ徐々に傾斜している。プラズマ空洞250内で生成されるプラズマは、支持体アセンブリ280上方の処理領域261に入る前にリッドアセンブリ240内で画定されるため、基板(図示せず)は処理中にそこに配置される。プラズマは処理領域261から離れたところで生成されるため、リッドアセンブリ240は遠隔プラズマ源とみなされうる。一ないし複数の実施形態では、様々な処理ガス/キャリアガスが、ガス源251を経由して処理領域261に供給されうる。処理ガス/キャリアガスはプラズマを形成するため励起されず、ガス源251を経由して処理領域261に導入されうる。
[0036] 拡張部分255はガス注入口254と流体連通しており、プラズマ空洞250内でのガスの混合を支援する旋回流量パターンすなわち「渦」流を拡張部分255内に作り出すため、2つのガス注入口254は拡張部分255の反対側に配置されうる。
[0037] リッドアセンブリ240は更に、分配プレート270及び第2電極245に隣接する遮蔽板275を含むことができる。第2電極245、分配プレート270及び遮蔽板275は、チャンバ本体212に接続されたリッドリム278上に積み重ねられ、配置される。リッドリム278は、伝熱媒体を循環させるための埋め込みチャネル又は通路279を含むことができる。
[0038] 一ないし複数の実施形態では、第2電極又は上部プレート245は、プラズマ空洞250からガスを流すことを可能にするため、プラズマ空洞250の下に形成される複数のガス通路又は開口265を含むことができる。分配プレート270はほぼ円板形状で、そこを通るガスの流れを分散するため、複数の開口272又は通路を含む。
[0039] 一ないし複数の実施形態では、分配プレート270は、リッドアセンブリ240の温度制御を行うため、ヒータ又は加熱流体を収容するための一ないし複数の埋め込みチャネル又は通路274を含む。
[0040] 遮蔽板275は、オプションにより、第2電極245と分配プレート270との間に配置されうる。遮蔽板275は、第2電極245の下面に着脱可能に取り付けられる。遮蔽板275は、第2電極245と熱的及び電気的に良好に接しうる。一ないし複数の実施形態では、遮蔽板275は、ボルト又は同様な締め具を使用して、第2電極245に連結されうる。遮蔽板275はまた、第2電極245の外径上にねじ込み又はねじ止め可能である。遮蔽板275は、第2電極245から分配プレート270まで複数のガス通路を提供するため、複数の開口276を含む。
[0041] 支持体アセンブリ280は、チャンバ本体212内での処理のために基板を支える支持部材285を含むことができる。支持部材285は、チャンバ本体212の底面に形成された中心に位置する開口部214を経由して延在するシャフト287を通って昇降機構283に連結されうる。昇降機構283は、シャフト287周囲からの真空漏れを防止するベローズ288によって、チャンバ本体212に柔軟に密閉されうる。昇降機構283により、支持部材285はチャンバ本体212内で処理位置と下方移送位置との間を垂直に移動することができる。一実施形態では、支持部材285は、基板の裏面汚染を低減するため、例えば、シリコン又はセラミック材料からなる着脱可能な上部プレート290を含む。
[0042] 一ないし複数の実施形態では、基板(図示せず)は、真空チャック又は静電チャックを利用して、支持部材285に固定されうる。静電チャックは典型的に、支持部材285の上に配置される、又は支持部材285の一体化された部分として形成される、電極281を取り囲む少なくとも誘電体材料を含む。一実施形態では、電極281は複数のRFバイアス電源284、286に連結されている。デュアルRFバイアス電源284、286は、整合回路289を経由して電極281に連結されている。RFバイアス電源284、286は一般的に、約50kHzから約200MHzまでの周波数と約0ワットから約5000ワットまでの電力を有するRF信号を生成することができる。必要に応じて、プラズマの特性を制御するため、付加的なバイアス電源が電極281に連結されうる。
[0043] 支持体アセンブリ280の温度は、支持部材285の本体内に埋め込まれた流体チャネル298を通って循環される流体によって制御可能である。
[0044] システムコントローラ(図示せず)は、処理チャンバ200の動作を調整するための使用可能である。システムコントローラは、コンピュータのメモリ上に保存されたコンピュータプログラムの制御下で動作可能である。コンピュータプログラムは、後述の処理を処理チャンバ200内で実行することを可能にする命令を含みうる。例えば、コンピュータプログラムは、処理順序及びタイミング、ガス混合、チャンバ圧力、RF電力レベル、サセプタ位置決め、スリットバルブ開閉、基板冷却及び特定の処理の他のパラメータを命令することができる。
[0045] 図4及び図5A〜図5Dを振り返ると、ブロック404では、方法400は、処理システム800のチャンバ300内の配線512上にライナー520を堆積することを含む。ライナー520は、原子層堆積(ALD)、CVD、HPCVD、高密度プラズマCVD、遠隔プラズマCVD、マイクロ波支援CVD、NBECVD又はプラズマ原子層堆積(PEALD)によって堆積されてもよく、ここで、ALD、CVD、HPCVD、NBECVD、PEALDという用語は、基板構造の上に層を堆積するための反応物質又は前駆体の順次導入、又は他の任意の好適な堆積処理を示している。図5Bに示した実施形態では、ライナー520は、配線512の側壁514及び上面壁516の上に堆積されるコンフォーマルライナーである。
[0046] 一実施形態では、ライナー520は、配線512の酸化を防止し、隣接する配線512(例えば、ダマシントレース)間の容量性結合を最小化するように構成されている誘電体ライナーである。一実施形態では、配線512間の所望のキャパシタンスは、低誘電率のライナー材料を選択することによって実現される。例えば、ライナーは、炭化物、窒化物及び炭窒化ケイ素(SiCN)などのシラン、又はこれらの任意の好適な組み合わせ、及び/又は他の有用な低誘電率材料を含む、誘電体材料から選択される。一実施例では、ブロック404で実行されるライナー堆積処理は、SiCN材料を約20オングストローム(A)堆積するため、約3.7Torrの処理圧力で、摂氏約350度の温度で、13.56MHzのRF電力の約700Wの出力で、約10秒間、トリメチルシランを供給することを含む。なお、低誘電率材料ライナー層は、約2ナノメートル未満の厚みを有するように堆積されてもよい。
[0047] 別の実施形態では、所望のキャパシタンスは、より大きな従来の誘電率を有するライナー520を、約4ナノメートル未満の厚みで、例えば、約2ナノメートル未満で、或いは約1ナノメートル未満で、但し、少なくとも単一層よりは大きな厚みで堆積することによって実現される。一実施形態では、ライナー520は、窒化ケイ素(SiN)又は窒化アルミニウム(AlN)などの従来の誘電体材料、或いはこれらの他の任意の好適な組み合わせ、及び/又は他の有用な誘電体材料から選択される。一実施例では、ブロック404で実行されるライナー堆積処理は、SiN材料を約20オングストローム(A)堆積するため、約3.5Torrの処理圧力で、摂氏約350度の温度で、13.56MHzのRF電力の約75Wの出力で、約5秒間、SiH又はNHガスを供給することを含む。更に別の実施形態では、所望のキャパシタンスは、最適なライナー材料と厚みを組わせることによって、実現されうる。
ライナー堆積チャンバの例
[0048] ブロック404で実行されるライナー堆積処理は、図3に示されるチャンバ300と同様のチャンバ内で実施されうる(図3参照)。一般的に、チャンバ300は、一体型層スタック上にライナーを堆積することに適しており、ALD、CVD、HPCVD、PEALD、PECVD、マイクロ波支援CVD又はNBECVDなど、循環堆積に適合されたガス供給装置330を含む。所望の厚みでコンフォーマル層を形成するためには、複数の薄層を堆積するため、前駆体の順次導入が反復されうる。
[0049] 処理チャンバ300は、側壁84及び底部86を有するチャンバ本体82を含む。側壁84は、側壁を加熱及び冷却するための流体源303に連結された流体チャネル301を含みうる。処理チャンバ300のスリットバルブ88は、ロボット(図示せず)が基板90を供給し、処理チャンバ300から基板90を回収できるよう、アクセスを可能にしている。
[0050] 基板支持体92は、処理チャンバ300内の基板受容面91上で基板90を支持する。基板支持体92は、基板支持体92及びその上に配置された基板90を上げ下げするための昇降モータ314に取り付けられている。基板支持体92は、その上に配置された基板90の温度を上げるため、加熱されうる。ガス供給装置330は、処理ガス及び/又はパージガスなどのガスをチャンバ80に供給するため、チャンバ本体82の上部に配置されている。真空システム378は、任意の所望のガスを処理チャンバ300から排気し、処理チャンバ300内を所望の圧力に維持するよう支援するためのポンピングチャネル379と連通している。
[0051] 一実施形態では、処理チャンバ300によって、処理ガス及び/又はパージガスは、ガス供給装置330を介して、基板90の平面に対して垂直に(すなわち、90度で)処理チャンバ300に入ることができる。したがって、基板90の表面はガスに対して対称に露出され、基板上での均一な膜形成を可能にする。
[0052] 一実施形態では、ガス供給装置330は処理チャンバリッド370を備える。チャンバリッド370は、チャンバリッド370の中心部分から延在する拡張チャネル334、及び拡張チャネル334からチャンバリッド370の周辺部分まで延在する底面360を含む。拡張チャネル334は、2つの同様なバルブ対342A/352A、342B/352Bからガス流を供給するガス注入口336A、336Bを有する。
[0053] 1つの構成では、バルブ342A、342Bは別々の前駆体ガス源に連結されているが、同一のパージガス源に連結されてもよい。例えば、バルブ342Aは第1前駆体ガス源338に連結されており、バルブ342Bは第2前駆体ガス源339に連結されており、両バルブ342A、342Bはパージガス源340に連結されている。各バルブ342A、342Bは、バルブシートアセンブリ344A、344Bを有する供給ライン343A、343Bを含み、各バルブ352A、352Bは、バルブシートアセンブリ346A、346Bを有するパージライン345A、345Bを含む。供給ライン343A、343Bは、第1前駆体ガス源338、及び第2前駆体ガス源339と連通しており、拡張チャネル334のガス注入口336A、336Bと連通している。供給ライン343A、343Bのバルブシートアセンブリ344A、344Bは、前駆体ガス源338、339から拡張チャネル334までの前駆体ガスの流れを制御する。パージライン345A、345Bはパージガス源340と連通しており、バルブ342A、342Bのバルブシートアセンブリ344A、344B下流の供給ライン343A、343Bと交差する。パージライン343A、343Bのバルブシートアセンブリ344A、344Bは、パージガス源340から供給ライン343A、343Bまでのパージガスの流れを制御する。バルブ342A、342Bの供給ライン343A、343Bは、ガス導管350A、350Bを経由してガス注入口336A、336Bに連結されうる。ガス導管350A、350Bは、バルブ342A、342Bと一体化されてもよく、或いはバルブ342A、342Bから分離されてもよい。
[0054] 拡張チャネル334は、キャップ372の上部から処理チャンバリッド370の底面360に隣接する拡張チャネル334の下部まで、増大する直径を有するチャネルを備える。一般的に、ガス流を増やすには、拡張チャネルの直径を大きくすることが必要になる。
[0055] 上述の処理チャンバ300は、コントローラ380などのプロセッサベースのシステムコントローラによって制御可能である。コントローラ380は、コンピュータのメモリ上に保存されたコンピュータプログラムの制御下で動作可能である。コンピュータプログラムは、処理チャンバ300内で実行される後述の処理を可能にする命令を含みうる。
[0056] 図4及び図5A〜図5Dを振り返ると、ブロック406では、方法400は、一体型層スタック500内で形成されるライナー520の上に空隙構造526を形成することを含む。一実施形態では、空隙構造526は、一ないし複数の流動性CVD処理を用いることによって、第1の流動性低誘電率材料528、犠牲膜530、及び第2の流動性低誘電率材料532を含む層スタックを、ライナー520及びブロック402で形成されるトレンチ524内に堆積することによって形成される。一実施形態では、第1の流動性低誘電率材料528及び第2の流動性低誘電率材料532は、炭素がドープされた酸化物又はこれらの変形物、例えば、フッ素化炭素、NCS、メソポーラス酸化物、又は有機「スピンオン」材料、及び炭素(C)又は高分子炭素−水素膜を含む犠牲膜530である。一実施形態では、ライナー520は、好都合なことに、犠牲炭素膜530から銅配線512を保護する。一実施形態では、空隙構造526は、一ないし複数の流動性CVD処理を用いることによって、犠牲膜530及び第2の流動性低誘電率材料532のみを含む層スタックを、ライナー520及びブロック402で形成されるトレンチ524内に堆積することによって形成される。
[0057] 一実施形態では、次に、犠牲膜530を取り除き、これによって空隙構造526を形成するため、第1の流動性低誘電率材料528及び第2の流動性低誘電率材料532、並びに犠牲膜530がUV処理又は熱処理によって硬化される。しかしながら、層スタックが犠牲膜530及び第2の流動性低誘電率材料532のみを含む実施形態では、犠牲膜530を取り除き、これによって空隙構造526を形成するため、犠牲膜530及び第2の流動性低誘電率材料532のみがUV処理又は熱処理によって硬化される。一実施形態では、第1の流動性低誘電率材料528及び第2の流動性低誘電率材料532、並びに犠牲膜530は、He又はArなどの不活性ガスを使用して、UV光の下で摂氏約400度未満の温度で硬化されうる。
[0058] 一実施形態では、ライナー520の一部を研磨して、配線512の上面壁516を露出するため、第2の流動性低誘電率材料532は、例えば、化学機械研磨処理によって研磨しなおされる。バリア層(図示せず)は、その後の処理、例えば、ブランケット低誘電率材料堆積を実行する前に、上面壁516の上に堆積されうる。別の実施形態では、その後の処理、例えば、ブランケット低誘電率材料堆積を実行する前に、流動性低誘電率材料532のみが部分的に研磨しなおされ、ライナー520は研磨されない。したがって、上面壁516の上の研磨されていないライナー520は、配線512とブランケット低誘電率材料との間の拡散を防止するバリア層として使用可能である。
[0059] 図6A〜図6Bは、本明細書に記載の別の実施形態による一体型層スタック600の概略的な断面図である。一体型層スタック600は、一体型層スタック500に類似している。一体型層スタック600を形成する処理は、上述のように操作402〜404を実行することを含む。しかしながら、本方法400のこの実施形態では、ブロック406は、一体型層スタック600に空隙構造602を形成することを含む。空隙構造602は、犠牲膜530及びキャッピング層604を含む層スタックを堆積することによって形成される。層スタックは、CVDプロセス、例えば、PECVD(プラズマ化学気相堆積)によって、ライナー520の上及びトレンチ524内に犠牲膜530を堆積することによって、形成される。犠牲膜530は、一体型層スタック500を参照して上述されたように、材料を含みうる。その後、キャッピング層604は、CVDプロセス、例えば、PECVD(プラズマ化学気相堆積)によって、犠牲膜530の上に堆積される。一実施形態では、キャッピング層はSiOCHなどの多孔性材料である。次に、犠牲膜530を取り除き、これによって空隙構造602を形成するため、キャッピング層604及び犠牲膜530はUV処理又は熱処理によって硬化される。
[0060] 図7は、別の実施形態による一体型層スタック700の概略的な断面図である。一体型層スタック700は一体型層スタック500と同様であるが、ブロック402でモールド層502のドライエッチング後、非コンフォーマルライナー702はブロック404でトレンチ524内に配置される。非コンフォーマルライナー702は、材料はライナー520と同様であるが、非コンフォーマルライナー702は、ライナー702内に無効な空隙構造704を形成するように堆積される。無効な空隙構造704は、上面壁516近くでは高速で、また、配線512の側壁514近くでは低速で、ライナー702を堆積すること、並びにトレンチ524が満たされる前に上面壁516近くで堆積を「摘み取る」ことによって、従来の技術で形成される。
処理システムの例
[0061] 図8は処理システム800の平面図を示している。一実施形態では、ブロック402及び404、並びに方法400のブロック406の少なくとも一部は、処理システム800内で実行される。処理システム800は、チャンバ200及び300を含む一体型クラスタツールである。一実施形態では、処理システム800は、第1移送チャンバ804に連結された複数の第1処理チャンバ802を含む。一実施形態では、第1処理チャンバ802はチャンバ200すなわちドライエッチングのためのもので、方法400のブロック402におけるドライエッチングはチャンバ200内で実行される。第1移送チャンバ804はまた、一ないし複数のロードロックチャンバ806に連結されている。第1移送チャンバ804は、処理チャンバ802と第1ロードロックチャンバ806との間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。処理システム800はまた、第2移送チャンバ810に連結された複数の第2処理チャンバ808を含む。一実施形態では、第2処理チャンバ808は、チャンバ300などのライナー堆積のためのチャンバを含み、ブロック404で実行されるライナー520の堆積、及びブロック406で実行される層スタック堆積処理は、チャンバ300内で実施される。このような好適なチャンバの1つは、カリフォルニア州サンタクララのアプライドマテリアルズ社のCENTINEL(商標)チャンバであってもよい。
[0062] 第2の処理チャンバ808はまた、層スタック堆積のためのチャンバを含む。例えば、第1の流動性低誘電率材料528及び第2の流動性低誘電率材料532は第1処理チャンバ802内で堆積され、犠牲膜530は第2処理チャンバ808内で堆積される。別の実施形態では、キャッピング層604及び犠牲膜530は、同一処理チャンバ808内で堆積される。このような好適なチャンバの1つは、カリフォルニア州サンタクララのアプライドマテリアルズ社のETERNA(商標)チャンバであってもよい。第2移送チャンバ810はまた、処理チャンバ808と第1ロードロックチャンバ806との間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。ファクトリインターフェース812は、第2ロードロックチャンバ814によって、第1移送チャンバ804に接続されている。ファクトリインターフェース812は、第2ロードロックチャンバ814の反対側で、一ないし複数のポッド816に連結されている。ポッド816は典型的に、洗浄室側からアクセス可能な前方開口型統一ポッド(FOUP)である。第1処理チャンバ802はチャンバ200のように示されており、第2処理チャンバ808はチャンバ300のように示されているが、最小の待ち時間で基板のスループットを最大にするように、チャンバの任意の組み合わせが使用されうるように企図されている。
[0063] 一実施形態では、処理システム800はCENTURA(登録商標)又はENDURA(登録商標)プラットフォームで、どちらもカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。他の製造業者から入手可能な他の処理システムも、本明細書に記載の一ないし複数の実施形態を実行するように適合されうることに留意されたい。
[0064] ブロック402及び404でのドライエッチング及びライナー堆積処理、並びにブロック406での層スタック堆積処理は、「真空を破ることなく」処理システム800内で実行される。本明細書で使用されているように、「真空を破ることなく」という表現は、1つのチャンバ、例えばチャンバ200の真空環境と、第2のチャンバ、例えばチャンバ300の真空環境との間で、真空圧を維持しつつ、一体型層スタック500を周囲環境に曝露することなく、一体型層スタック500を移送する処理について言及している。周囲環境では、一体型層スタック500は、粒子、湿気、酸素などのように、製造される誘電体層構造を損傷しうる、また、場合によっては望ましくない界面層、例えば、移送中の各層間の自然酸化物を形成しうる、機械的及び化学的汚染物質に曝露されることがある。したがって、真空を破ることなく、処理システム800内で方法400を実行することは、好都合なことに、(i)一体型層スタック500に対する待ち時間を最小限にし、また、(ii)ブロック402でのドライエッチングとブロック404でのライナー520堆積との間の配線512の酸化を防止し、更に、ブロック404でのライナー堆積とブロック406での空隙構造形成における層スタックの堆積との間のライナー520の酸化を防止する。
[0065] 上述事項は、本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに他の更なる実施形態を考案することができ、本開示の範囲は下記の特許請求の範囲によって規定される。

Claims (11)

  1. 一体型層スタック内に空隙構造を形成する方法であって、
    真空下の処理システムにおいて前記一体型層スタック上に配置されたモールド層をドライエッチング処理するステップであって、前記モールド層は一ないし複数の銅配線の間に配置され、前記モールド層のドライエッチング処理は前記一ないし複数の銅配線の少なくとも一部を露出させる、ステップと、
    前記ドライエッチング処理によって露出された前記一ないし複数の銅配線の露出部分の上にコンフォーマルなライナー層を堆積するステップであって、前記ドライエッチング処理と前記コンフォーマルなライナー層の堆積とが前記処理システムにおいて真空を遮断せずに実行される、ステップと、
    前記処理システムにおいて真空を遮断せずに、真空下で前記一ないし複数の銅配線の上に、犠牲膜層及び一ないし複数の流動性低誘電率材料層を含む層スタックを堆積するステップと、
    前記層スタックを硬化し、前記犠牲膜層を取り除いて空隙構造を形成するステップと、
    を含む、方法。
  2. 前記モールド層は、シリコンベース酸化物又は低誘電率材料である、請求項1に記載の方法。
  3. 前記コンフォーマルなライナー層は、炭化物及び窒化物からなるグループから選択される誘電体材料を含む、請求項1に記載の方法。
  4. 前記一ないし複数の流動性低誘電率材料層は、炭素がドープされた酸化物、フッ素化炭素、ナノクラスタリングシリカ、又はメソポーラス酸化物を含む、請求項に記載の方法。
  5. 前記犠牲膜層は、炭素又は高分子炭素−水素材料を含む、請求項に記載の方法。
  6. 一体型層スタック内に空隙構造を形成する方法であって、
    真空下の処理システムにおいて第1処理チャンバの前記一体型層スタック上に配置された酸化物モールド層をドライエッチング処理するステップであって、前記酸化物モールド層は一ないし複数の銅配線の間に配置され、前記酸化物モールド層の前記ドライエッチング処理は一ないし複数の前記銅配線の少なくとも一部を露出させる、ステップと、
    真空下で前記処理システム内の第2処理チャンバの前記一ないし複数の銅配線の露出部分の上に約2ナノメートル未満の厚みを有する低誘電率材料ライナー層をコンフォーマルに堆積することによって、前記一ないし複数の銅配線に堆積されたコンフォーマルな低誘電率材料ライナー層を形成するステップであって、前記ドライエッチング処理と前記低誘電率材料ライナー層の堆積とが前記処理システムにおいて真空を遮断せずに実行される、ステップと、
    前記処理システムにおいて真空を遮断することなく、真空下で前記一ないし複数の銅配線の上に、犠牲膜層及び一ないし複数の流動性低誘電率材料層を含む層スタックを堆積するステップと、
    前記層スタックを硬化し、前記犠牲膜層を取り除いて空隙構造を形成するステップと、
    を含む、方法。
  7. 前記低誘電率材料ライナー層は炭窒化ケイ素(SiCN)を含み、前記犠牲膜層は炭素を含む、請求項に記載の方法。
  8. 前記銅配線の上部を露出するため、前記一ないし複数の流動性低誘電率材料層の一部及び前記低誘電率材料ライナー層を研磨するステップを更に含む、請求項に記載の方法。
  9. 前記ドライエッチングは、約2mTorr〜約20mTorrの圧力で、NF、NH又はCガスを使用する、請求項に記載の方法。
  10. 処理システム内で基板上に誘電体構造を形成する方法であって、
    真空下で第1処理チャンバの前記基板上にモールド層をドライエッチング処理するステップであって、前記モールド層は一ないし複数の銅配線の間に配置され、前記モールド層の前記ドライエッチング処理は前記一ないし複数の銅配線の少なくとも一部を露出させるステップと、
    真空下で前記第1処理チャンバから、一ないし複数の第2処理チャンバまで前記基板を移送するステップと、
    真空下で前記一ないし複数の第2処理チャンバの前記基板上の前記一ないし複数の銅配線の露出部分の上にコンフォーマルにライナー層を堆積することによって、前記一ないし複数の銅配線に堆積されたコンフォーマルなライナー層を形成するステップと、
    真空下で前記一ないし複数の第2処理チャンバの前記基板上に形成される前記ライナー層の上に一ないし複数の誘電体層及び犠牲層を堆積するステップと
    前記一ないし複数の誘電体層及び前記犠牲層を硬化し、前記犠牲層を取り除いて空隙構造を形成するステップと、
    を含む方法。
  11. ライナー層の堆積と一ないし複数の誘電体層の堆積は、別々の第2処理チャンバにおいて実行される、請求項10に記載の方法。
JP2016559150A 2013-12-16 2014-12-03 処理システムを使用した空隙構造の組込 Active JP6620112B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361916726P 2013-12-16 2013-12-16
US61/916,726 2013-12-16
US14/523,523 2014-10-24
US14/523,523 US9312168B2 (en) 2013-12-16 2014-10-24 Air gap structure integration using a processing system
PCT/US2014/068344 WO2015094667A1 (en) 2013-12-16 2014-12-03 Air gap structure integration using a processing system

Publications (3)

Publication Number Publication Date
JP2017501591A JP2017501591A (ja) 2017-01-12
JP2017501591A5 JP2017501591A5 (ja) 2018-01-18
JP6620112B2 true JP6620112B2 (ja) 2019-12-11

Family

ID=53369385

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016559150A Active JP6620112B2 (ja) 2013-12-16 2014-12-03 処理システムを使用した空隙構造の組込

Country Status (6)

Country Link
US (1) US9312168B2 (ja)
JP (1) JP6620112B2 (ja)
KR (1) KR102308047B1 (ja)
CN (1) CN105814678B (ja)
TW (1) TWI626688B (ja)
WO (1) WO2015094667A1 (ja)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052540A1 (en) * 2015-09-23 2017-03-30 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ild processes
US9449871B1 (en) 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9553019B1 (en) 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
EP3539154A4 (en) 2016-11-08 2020-06-03 Applied Materials, Inc. GEOMETRIC CONTROL OF PRESSURE COLUMNS FOR SAMPLE APPLICATIONS
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10403542B2 (en) * 2017-06-10 2019-09-03 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7225211B2 (ja) * 2017-08-18 2023-02-20 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 低温流体を噴霧するための装置
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US20190206718A1 (en) 2018-01-02 2019-07-04 Globalfoundries Inc. Back-end-of-line structures with air gaps
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11004687B2 (en) 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI819233B (zh) * 2019-08-15 2023-10-21 美商應用材料股份有限公司 非共形膜的選擇性蝕刻臨界尺寸控制
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
US11482447B2 (en) * 2020-07-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated chip having a cavity between metal features
CN111933688B (zh) * 2020-09-18 2021-02-09 晶芯成(北京)科技有限公司 一种半导体结构及其制备方法
TWI801058B (zh) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 一種複合式電漿源及其運作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6642151B2 (en) 2002-03-06 2003-11-04 Applied Materials, Inc Techniques for plasma etching silicon-germanium
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
EP1398831A3 (en) * 2002-09-13 2008-02-20 Shipley Co. L.L.C. Air gaps formation
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
KR100817088B1 (ko) 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
US7879683B2 (en) 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7666754B2 (en) * 2007-10-18 2010-02-23 Tokyo Electron Limited Method and system for forming an air gap structure
US20100051578A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010165864A (ja) * 2009-01-15 2010-07-29 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2011165876A (ja) * 2010-02-09 2011-08-25 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置、及びその製造方法
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8288268B2 (en) * 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
KR20120053799A (ko) * 2010-11-18 2012-05-29 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
JP5898991B2 (ja) * 2012-02-10 2016-04-06 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
KR20130092884A (ko) 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
KR102002815B1 (ko) * 2012-09-05 2019-07-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Also Published As

Publication number Publication date
TW201526106A (zh) 2015-07-01
CN105814678B (zh) 2019-06-14
TWI626688B (zh) 2018-06-11
US9312168B2 (en) 2016-04-12
JP2017501591A (ja) 2017-01-12
KR102308047B1 (ko) 2021-09-30
CN105814678A (zh) 2016-07-27
KR20160098483A (ko) 2016-08-18
WO2015094667A1 (en) 2015-06-25
US20150170956A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
JP6620112B2 (ja) 処理システムを使用した空隙構造の組込
KR102360220B1 (ko) 듀얼 다마신 구조에서 유전체 배리어 층을 에칭하기 위한 방법들
US7618889B2 (en) Dual damascene fabrication with low k materials
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
US20090104774A1 (en) Method of manufacturing a semiconductor device
US5861674A (en) Multilevel interconnection in a semiconductor device and method for forming the same
JP6793711B2 (ja) 選択的にエッチングされた自己整合ビアプロセス
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
TW201017819A (en) Semiconductor device manufacturing method and storage medium
TWI769611B (zh) 半導體結構及其製造方法
US7718543B2 (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
KR100684905B1 (ko) 다마신 공정의 방법
KR20220166338A (ko) 유전체 재료 충전 및 처리 방법들
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US7393795B2 (en) Methods for post-etch deposition of a dielectric film
US20110300706A1 (en) Method for fabricating interconnection structure
KR20230030536A (ko) 완전히 자가 정렬된 비아 통합 공정들
WO2013123679A1 (zh) 扩散阻挡层、金属互连结构及其制造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191118

R150 Certificate of patent or registration of utility model

Ref document number: 6620112

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250