JP5134363B2 - プラズマ加工システムによる基板エッチング法 - Google Patents

プラズマ加工システムによる基板エッチング法 Download PDF

Info

Publication number
JP5134363B2
JP5134363B2 JP2007503965A JP2007503965A JP5134363B2 JP 5134363 B2 JP5134363 B2 JP 5134363B2 JP 2007503965 A JP2007503965 A JP 2007503965A JP 2007503965 A JP2007503965 A JP 2007503965A JP 5134363 B2 JP5134363 B2 JP 5134363B2
Authority
JP
Japan
Prior art keywords
hard mask
layer
etchant
barrier layer
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007503965A
Other languages
English (en)
Other versions
JP2007529905A (ja
Inventor
キム,ジスー
ウォーシャム,ビネット
イェン,ビー−ミング
ケイ. ロエウェンハーズ,ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007529905A publication Critical patent/JP2007529905A/ja
Application granted granted Critical
Publication of JP5134363B2 publication Critical patent/JP5134363B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は一般的に基板製造技術に関し、特に、プラズマ加工システムにおける基板エッチングの改良方法に関する。
半導体基板あるいはフラットパネルディスプレー製造で使用されるもののごときガラスパネルなどの基板の加工においてはプラズマが多用される。例えば基板加工の一部として、基板はそれぞれが集積回路に加工される複数のダイス、すなわち方形体に分割される。続いて基板は一連のステップで処理され、搭載する電気コンポーネントを形成するために材料が選択的に除去(エッチング)され、着層(デポジション)される。
プラズマ加工の1例では、基板はエッチングに先立って硬化エマルジョン(フォトレジストマスク等)の薄膜でコーティングされる。硬化エマルジョンの領域は選択的に除去され、下側の層のコンポーネントを露出させる。次に基板はチャックまたはペデストラルと呼称される単極電極あるいは双極電極を含んだ基板支持構造体に載せられてプラズマ加工チャンバーに入れられる。適したエチャントソースがチャンバー内に送られ、基板の露出領域をエッチングするプラズマを形成する。
図1はプラズマ加工システムコンポーネントの概略図である。一般的に、気体供給システム122から適当なガス(気体)のセットがインレット108を通じてチャンバー102に導入される。これらプラズマ加工ガスはその後にイオン化されてプラズマ110を形成し、静電チャック116上にエッジリング115によって配置された半導体基板またはガラス板のごとき基板114の露出領域を加工(エッチングまたは着層処理)する。さらに、ライナー117はプラズマとプラズマ加工チャンバーとの間に熱バリアーを提供し、基板114上でプラズマ110を最良化する手助けをする。
ガス供給システム122は一般的にプラズマ加工ガス(C48、C46、CHF3、CH23、CF4、HBr、CH3F、C24、N2、O2、Ar、Xe、He、H2、NH3、SF6,BCl3、Cl2、WF6、等々)を収納する圧縮ガスシリンダー124aから124fを含んでいる。ガスシリンダー124aから124fを局部的排気を提供する容器128でさらに保護することもできる。質量流量コントローラー126aから126fは普通は自己完結式装置(トランスジューサー、コントロールバルブ、コントロール及び信号処理電子機器で成る)であり、プラズマ加工システムへのガスの質量流量を測定してコントロールするために半導体産業で普通に利用されている。インジェクター109はプラズマ加工ガス124をエアゾール形態でチャンバー102に供給する。
インダクションコイル131は誘電ウィンドー104でプラズマから分離されており、普通はプラズマ110を発生させるためにプラズマ加工ガス内に変動電流を誘導する。ウィンドーはインダクションコイルをプラズマ110から保護し、発生するRF界をプラズマ加工チャンバー内に侵入させる。リード130aと130bでインダクションコイル131にさらにカップリングされているのはマッチングネットワーク132であり、さらにRF発生器138にカップリングさせることができる。マッチングネットワーク132はRF発生器138のインピーダンスをプラズマ110のインピーダンスとマッチングさせるように試みる。RF発生器138は典型的には13.56MHzと50Ωで作動する。
一般的に、プラズマが発生したとき熱均衡を達成するために冷却システムがチャックにカップリングされている。大抵の冷却システムはチャック内でキャビティを通してクーラントを循環させるチラーと、チャックと基板との間に送り込まれるヘリウムガスとを含んでいる。発生する熱の放熱に加えて、ヘリウムガスは冷却システムに迅速な熱放散制御もさせる。すなわちヘリウムガス圧を増加させることで熱移動率を増加させる。大抵のプラズマ加工システムはオペレーションソフトウェアプログラムを含んだ複雑なコンピューターによってコントロールされている。典型的なオペレーション環境では、製造パラメータ(電圧、混合ガス流、ガス流速、圧力、等々)は一般的に特定のプラズマ加工システムと特定の処理プログラムのために設定される。
デュアルダマシン型として知られる普通の基板の製造方法では誘電層同士はバイアホールを充填する導電プラグによって電気的に接続されている。一般的には1誘電層に開口部が形成される。開口部は普通、TaNまたはYiNバリアーと整合しており、その後に2セットの導電パターン同士を電気接続させる導電材料(アルミニウム(Al)、銅(Cu)、等々)で充填される。これで基板上の2活性領域(ソース/ドレーン領域等)間に電気接続が確立される。誘電層の表面の余剰導電材料は典型的には化学機械式研磨(CMP)で除去される。続いて窒化ケイ素のブランケット層が着層され、銅部分を覆う。
一般的にデュアルダマシン型基板には3つの一般的な製造法であるバイアファースト法、トレンチファースト法及びセルフアライン法が存在する。バイアファースト法の1例では、基板はまずフォトレジストでコーティングされ、続いてバイアがリトグラフ技術でパターン化される。次に異方性エッチングで表面キャップ材料がカット処理され、基板の低k層にまでエッチングされ、下側金属層の直上の窒化ケイ素バリアーで停止する。続いてバイア保護層が剥離され、トレンチフォトレジストが提供されてリトグラフ技術でパターン化される。典型的には、トレンチエッチングプロセスで下方部分のバイアがオーバーエッチングされることを防止するためにフォトレジストの一部はバイアの底部に残る。あるいは有機ARCプラグでバイアをカバーしてもよい。次に第2異方性エッチングで表面のキャップ材料をカットし、低k材料を望む深度にまでエッチングする。その後にフォトレジストは剥離され、バイアの底部の窒化ケイ素バリアーは下側の銅がバイア内にスパッタリングしないように非常にソフトで低エネルギーのエッチングによって開加工される。上述したように、トレンチとバイアは導電材料(アルミニウム、銅、等)で充填され、化学機械式研磨によって研磨される。バイアファースト法は、ずれをカバーするように提供された大きなウィンドーのため、小型装置では広く採用されているが、フォトレジストポイズニング及びバイア上でのクラウンフェンス現象の悪影響が受ける。
別な方法はトレンチファースト法である。デュアルハードマスク手法の1例では、基板はフォトレジストでコーティングされ、トレンチのリトグラフパターンが提供される。次に異方性ドライエッチングで表面のハードマスク(典型的にはSiN、TiN、TaN)がカットされ、フォトレジストの剥離が続く。別なフォトレジストがトレンチハードマスクに提供され、バイアはリトグラフ技術でパターン化される。第2の異方性エッチングでキャップ層がカットされ、低k材料内にまで部分的にエッチングされる。このエッチングでバイアの一部が形成される。ハードマスクを有したバイア上をトレンチエッチングするためにフォトレジストは剥離される。続いてトレンチエッチングでキャップ層がカットされ、望む深度にまで低k材料が部分的にエッチングされる。同時にこのエッチングによってバイアホールはクリアな状態となり、バイアの底部に位置する最終バリアーでエッチングは停止する。底部バリアーは特殊エッチングによって開加工される。しかし、トレンチファースト法もバイアを適切にエッチングするためにはほぼ完全なトレンチ−バイア整合を必要とする。
さらに別な方法はセルフアライン法と呼称される。この方法は複数の酸化物エッチングステップを組み合わせるが、介在窒化物マスクとエッチングステップによる2つの別々のILD(レベル間誘電)着層を必要とする。上下両方に窒化物エッチングストップを配して下方(バイア)誘電材料が着層される。この上方窒化物はマスク処理され、エッチングされてバイアハードマスクが形成される。この処理には特殊な窒化物エッチングを必要とする。次に上方(ライン)誘電材料が着層される。最後に、トレンチマスクが窒化物にエッチングで提供されているバイア開口部と整合される。トレンチとバイアの両方は両方の酸化物層に1エッチングステップで提供される。しかし、セルフアライン法はしばしば高い窒化物−酸化物エッチング選択度を必要とし、トレンチファースト法と同様に、バイアを適正にエッチングするにはほぼ完璧なトレンチ−バイア整合を必要とする。
説明のため、図2Aはリトグラフステップに先立った例示用の半導体ICの層を表す層スタックの断面図を示す。本明細書では層の上下位置関係を“上”または“上方”あるいは“下”または“下方”等で説明するが、説明していない他の層がさらに上下に存在し、あるいは中間に存在することもある。また説明する全ての層が必ずしも必要ではなく、他の異なる層で置換することも可能である。
層スタックの底部で層208が示されている。この層はSiO2のごとき半導体を含んでいる。層208の上方にはバリアー層204が配置される。層204は典型的には窒化物または炭化物(SiN、SiC等)を含んでいる。デュアルダマシン型基板はアルミニウムあるいは銅を含んだM1 209aと209bを含有する金属層セットをさらに含んでいる。バリアー層204の上方には低k材料(SiOC等)を含んだ中間誘電(IMD)層206が提供される。IMD層206の上方には典型的にはSiO2であるキャップ層203が提供される。キャップ層203の上方には典型的にはTiN、SiNあるいはTaNであるトレンチマスク層202が提供される。
図2Bはフォトレジスト層220とBARC層222がさらに追加された後の 図2Aで示す層スタックの断面図である。
図2Cはフォトレジスト層220とBARC層212がリトグラフ技術で加工された後の図2Bで示す層スタックの断面図である。この例ではフォトレジストマスクパターンはトレンチセット214aと214bで提供されている。
図2Dはトレンチマスク層201がプラズマシステムで加工され、トレンチ214aと214bをキャップ層203にまで延ばした後の図2Cで示す層スタックの断面図である。
図2Eはフォトレジスト層220とBARC層212を除去した後の図2Dで示す層スタックの断面図である。
図2Fは第2金属層と、第2金属層を第1金属層209aと209bに接続するバイアを提供するために、第2フォトレジスト層216とBARC層218を積層した後の図2Eで示す層スタックの断面図である。
図2Gはフォトレジスト層が開処理され、バイアを提供するために部分的にIMD層206内にエッチングされた後の図2Fで示す層スタックの断面図である。
図2Hはフォトレジスト層216とBARC層218が剥離され、トレンチを望む深度にまで延ばし、バリアー層204のバイアストップを通ってエッチングするために追加のエッチングプロセスが実施された後の図2Gで示す層スタックの断面図である。
図2Iではバリアー層204は、例えばCH22、CH3F等を使用してエッチングされている。図2Jでは、化学機械式研磨処理が実施され、層スタックはキャップ層203にまで研磨され、導電材料(アルミ、銅等)が着層されて、存在するM1金属材料と接触している。
しかし、サブミクロンバイアコンタクトとトレンチが高アスペクト比を有する現在のプラズマ加工技術を使用して基板で高回路密度のための要求をエスカレートさせることは困難であろう。新規な低kフィルムと複雑なフィルムスタックの利用は、誘電エッチング加工及び装置を得るための新規なチャレンジを提起する。
例えば、これら及び他の基板製造方法において、フォトレジストとBARC(底部の反射防止コーティング)の除去プロセスはしばしば基板の低k材料にダメージを与える。一般的に、低k材料は高い濃度の炭素と水素を含む。これは電流に対する機械的強度を改善し、導電線間のクロストークを最小限にするためである。しかし、従来のフォトレジスト剥離プロセスで使用される酸素はフォトレジスト内の炭素と反応して揮発性CO2を発生させ、露出領域の炭素濃度を相当に減少させるであろう。炭素濃度の減少は対応するk値を相当に増加させることがあり、フォトレジスト除去はRC時間遅延を不都合に増大させる可能性がある。
さらに、酸素への曝露はバイアコーナー侵食とフェンス現象を悪化させ、トレンチ及びバイアの寸法変化を引き起こすであろう。基板製造者が非常に低いk値(<2)で非常に高い炭素濃度を有した次世代の誘電物質を求めているいため、このことは一層大きな問題である。これら材料は、CMP(化学機械式研磨)プロセス時に相当程度の物理的接着問題を提供することがあることに加えて、多量の酸素を利用するフォトレジスト剥離等の処理時にピット形成現象や大きな寸法変化を引き起こす可能性がある。図3Aはフェンス現象302が発生している層スタックの断面(図2)を図示する。図3Bではコーナー侵食304が発生している図2の層スタックの断面図が示されている。
前述の観点から、プラズマ加工システムの基板エッチング加工を改良する技術が求められている。
本発明は、1実施例においてプラズマ加工システムによる基板エッチング方法に関する。基板は半導体層、その半導体層上方に提供された第1バリアー層、その第1バリアー層上方に提供された低k層、その低k層上方に提供された第3ハードマスク層、その第3ハードマスク層上方に提供された第2ハードマスク層、並びにその第2ハードマスク上方に提供された第1ハードマスク層を有している。この方法は第1エチャントと第2エチャントで基板を交互にエッチングするステップを含んでいる。第1エチャントは第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料及び第1バリアー層の第1バリアー層材料に対して低選択度を有している。一方、第2エチャントは第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料及び第1バリアー層の第1バリアー層材料に対して高選択度を有している。第1エチャントは第2ハードマスク層の第2ハードマスク材料に対して低選択度を有している。
本発明のそれら及び他の特徴は図面を利用した以下の詳細な説明で理解されよう。
本発明を添付図面を利用して以下において詳細に説明する。本発明は様々な特定要素を使用した特定の態様にて解説されているが、本発明の範囲内でのそれらの細部の変更は可能である。
前述したように、フォトレジストとBARCの除去は基板の低k材料にダメージを与えることが多い。例えば、一般的に、下側の基板をリトグラフ技術でパターン化するためにデュアルダマシン型基板の製造法はフォトレジストをハードマスク上に提供し、低k材料を酸素に曝露する。
理論に縛られるのは望まないが、ハードマスクのセットの低k材料上への提供で、低k材料を、従来のフォトレジスト剥離プロセスに使用される酸素へ曝露させずにバイアまたはトレンチをエッチングすることができる。さらに、ハードマスクセットの検査で、トレンチ-ファースト法とデュアルハードマスクデュアルダマシン法で発生する不整合問題をさらに緩和することができる。
1実施例では、マスクは実質的に金属材料で成る。別の実施例では、3つのマスクとキャップ層(または4つのマスク全部)のセットが使用される。別の実施例では、マスクのセットは交互に異なるマスク材料を含んでいる。別の実施例では、複数マスクのセットを基板製造のためのデュアルダマシン法に使用してもよい。
本明細書では層の上下位置関係を“上”または“上方”あるいは“下”または“下方”等で説明するが、説明していない他の層がさらに上下に存在し、あるいは中間に存在することもある。また説明する全ての層が必ずしも必要ではなく、他の異なる層で置換することも可能である。
本発明において、基板には、ハードマスクのセットと低k誘電材料との間に配置されたマスクまたはキャップ層(例:TEOS等)が提供されている。キャップ層とハードマスクのセットは交互に異なるバリアー材料をさらに含んでおり、エチャントのセットが選択される。 個々のエチャントは特定のタイプのバリアー材料への低選択度を有するが、残りの材料に対しては高選択度を有する。
例えば、C44及びC48等のエチャントはTEOSに対して低選択度を有するが(すなわちエッチング可能性大)、SiNまたはSiCに対しては高選択度を有する(すなわちエッチング可能性小)。同様に、CF4、CHF3,CH3F及びCH22等のエチャントはSiNあるいはSiCに対して低選択度であるが、TEOSに対しては高選択度である。その後ハードマスクのセットは従来のフォトレジスト法でパターン化されてバイアまたはトレンチのホール(孔)を提供する。その後、前述のフッ素化学に基づいたもの等の適切なエチャントのセットを用いてその誘電体での異方性エッチングが達成される。
説明のため、図4Aから図4Fは層スタックの理想化された断面図を示しており、例示用半導体ICの層を表わしている、そこでバイアまたはトレンチのホールを提供するため、本発明の1実施例ではデュアルダマシン製造法で使用されるようなハードマスクのセットを使用している。
図4Aは層スタックを示し、2つの異なるバリアー材料で成る3つのハードマスクのセットが、本発明の1好適実施例に従ってキャップ層上に着層及びパターン化されている。層スタックの底部には、SiO2を含む層408が示されている。層408の上には、典型的には窒化物または炭化物(例:SiN、SiC等)を含んだバリアー層404aが提供され、約700Åの厚みを有する。デュアルダマシン基板は、典型的にはアルミニウムまたは銅を含んだM1409a-M1409bを含む金属層のセットをさらに含んでいる。バリアー層404aの上には、低k材料(例:SiOC等)を含んだ約10,000Åの厚みを有する中間誘電(IMD)層406が提供される。IMD層406の上には、典型的にはTEOSを含んだ約500オングストロームの厚みを有するキャップ層403が提供される。キャップ層403の上には、適した方法でパターン化されたハードマスクのセットを提供できる。マスク3(404b)はSiCまたはSiNを含んでおり、約500Åの厚みを有する。マスク2(403b)はTEOSを含んでおり、約2000Åの厚みを有する。マスク1(404c)はSiCまたはSiNを含んでおり、約500Åの厚みを有する。
図4Bは、図4Aの層スタックを示しており、マスク2(403b)とキャップ層403aを含んだTEOS材料に対しては低選択度を有するが、マスク1(404c)及びマスク3(404b)を含んだSiNまたはSiCに対しては高選択度を有するC44及びC48等の適したエチャントでバイア410がエッチングされている。従ってバイア410の当初プロファイルはマスク3(404b)のパターンによって定義される。
図4Cは、図4Bの層スタックを示しており、SiNまたはSiCを含んだマスク1(404c)が、SiNまたはSiCに対しては低選択度を有するが、TEOSに対しては高選択度を有するCF4及びCHF3等の適したエチャントで除去されている。さらに、除去プロセスはさらにトレンチパターンをマスク3(404b)上に転写する。低k材料406の厚み(10,000Å)は、マスク1(404c)の厚み(500Å)よりもかなり大きいので、エチャントのイオン衝撃で少量の低k材料のみが除去される。
図4Dは、図4Cの層スタックを示しており、TEOSを含んだマスク2(403b)は、マスク2(403b)とキャップ層403aを含んだTEOSに対しては低選択度を有するが、マスク3(404b)とバリアー404aを含んだSiNまたはSiCに対しては高選択度を有するC46及びC48等の適したエチャントで除去されている。エチャントのイオン衝撃によって低k材料406内でバリアー404aで停止するトレンチ410及びバイア411等のデュアルダマシン構造を提供することもできる。
図4Eは、図4Dの層スタックを示しており、SiNまたはSiCに対しては低選択度を有するが、TEOSに対しては高選択度を有するCF4及びCHF3等の適したエチャントでバリアー404がエッチングされている。さらに、SiNまたはSiCを含むマスク3の大部分が除去されている。
図4Fは、図4Eの層スタックを示しており、プラズマ蒸着法等の適したプロセスで、TaNまたはTiNバリアー414がトレンチまたはバイアに追加されている。
図4Gは、図4Eの層スタックを示しており、トレンチまたはバイアが銅あるいは他の適した導電材料で充填されており、最上層スタックが化学機械式研磨等の適したプロセスで研磨されている。
図5Aはプラズマ加工システムの簡易プロセスを説明したもので、本発明の1実施例により、ハードマスクのセットで基板がエッチングされる。先ず、ステップ502で、半導体層、その半導体層上方に提供された第1バリアー層、その第1バリアー層上方に提供された低k層、その低k層上方に提供された第3ハードマスク層、その第3ハードマスク層上方に提供された第2ハードマスク層、及びその第2ハードマスク層上方に提供された第1ハードマスク層を有する基板がプラズマ加工システムに導入される。その後、ステップ502で、第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して低選択度を有するが、第2ハードマスク層の第2ハードマスク材料に対しては高選択度を有する第1エチャントと、第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対しては高選択度を有する第2エチャントとで基板を交互にエッチングする。 第1エチャントは第2ハードマスク層の第2ハードマスク材料に対して低選択度を有する。
図5Bは、プラズマ加工システムの簡易プロセスを説明しており、本発明の1実施例によって、ハードマスクのセットで基板がエッチングされる。先ず、ステップ506で、半導体層、その半導体層上方に提供された第1バリアー層、その第1バリアー層上方に提供された低k層、その低k層上方に提供された第2バリアー層、その第2バリアー層上方に提供された第3ハードマスク層、その第3ハードマスク層上方に提供された第2ハードマスク層、及びその第2ハードマスク層上方に提供された第1ハードマスク層を有する基板がプラズマ加工システムに導入される。その後、ステップ508で、第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して低選択度を有するが、第2ハードマスク層の第2ハードマスク材料には高選択度を有する第1エチャントと、第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して高選択度を有する第2エチャントとで基板を交互にエッチングする。 第1エチャントは第2ハードマスク層の第2ハードマスク材料と第2バリアー層に対して低選択度を有する。
本発明を数々の好適実施例で説明したが、本発明の範囲内で変形が可能である。例えば、本発明を、ラム リサーチ(Lam Research)プラズマ加工システム(例:エクセラン(Exelan)TM、エクセランTMHP,エクセランTMHPT,2300TM,バーシス(Versys)TM,スター(Star)等)に関して説明したが、その他のプラズマ加工システムを利用してもよい。本発明を様々な長さの直径(例:200mm、300mm等)の基板に使用してもよい。酸素以外の気体を含んだフォトレジストプラズマエチャントも使用できる。本発明を実施する方法は他にも多くある。
本発明の利点には、プラズマ加工システム内での基板エッチングの最良化が含まれる。その他の利点には、酸素ベースのエチャントへの曝露によるフォトレジストの悪影響の緩和、基板上のRC時間遅延の緩和、デュアルダマシン製造プロセス中のプラグステップの排除、エッチング加工中のトレンチ及びバイアファセットとフェンス現象の緩和、及びエッチング加工中のトレンチ-バイア不整合の緩和が含まれるであろう。
本発明の例示的好適実施例について説明したが、請求の範囲で定義された本発明の範囲内で変形が可能である。
図1はプラズマ加工システムの概略図である。 図2Aはリトグラフステップに先立つ半導体ICの層の1例を表す層スタックの断面図である。 図2Bは第1フォトレジスト層と第1BARC層が追加された後の図2Aで示す層スタックの断面図である。 図2Cは第1フォトレジスト層と第1BARC層がリトグラフ技術で加工された後の図2Bで示す層スタックの断面図である。 図2Dはトレンチマスク層が加工された後の図2Cで示す層スタックの断面図である。 図2Eは第1フォトレジスト層と第1BARC層が除去された後の図2Dで示す層スタックの断面図である。 図2Fは第2フォトレジスト層と第2BARC層が着層された後の図2Eで示す層スタックの断面図である。 図2Gは第2フォトレジスト層が開処理され、部分的にIMD層内にエッチングされてバイアが提供された後の図2Fで示す層スタックの断面図である。 図2Hは第2フォトレジスト層とBARC層が剥離処理された後の図2Gで示す層スタックの断面図である。 図2Iはバリアー層がエッチングされている図2Hで示す層スタックの断面図である。 図2Jは化学機械式研磨処理が実施され、層スタックがキャップ層にまで研磨された図2Hで示す層スタックの断面図である。 図3Aはフェンス現象が発生した層スタックの断面図である。 図3Bはコーナー侵食が発生した層スタックの断面図である。 図4Aは本発明の1実施例に従った、2つの異なるバリアー材料で成る3つのハードマスクのセットがキャップ層上に着層されてパターン化された層スタックを図示する。 図4Bは本発明の1実施例に従って、バイアが適したエチャントを用いてエッチング加工された図4Aで示す層スタックを図示する。 図4Cは本発明の1実施例に従ってマスク1が適したエチャントを用いて剥離された図4Bで示す層スタックを図示する。 図4Dは本発明の1実施例に従ってマスク2が適したエチャントを用いて剥離された図4Cで示す層スタックを図示する。 図4Eは本発明の1実施例に従ってバリアーが適したエチャントを用いてエッチング加工された図4Dで示す層スタックを図示する。 図4Fは本発明の1実施例に従ってバリアーがトレンチあるいはバイアに追加された図4Eで示す層スタックを図示する。 図4Gは本発明の1実施例に従ってトレンチあるいはバイアが充填され、最上層スタックが研磨された図4Eで示す層スタックを図示する。 図5Aは本発明の1実施例に従って基板をハードマスクのセットでエッチング加工する方法を示す。 図5Bは本発明の1実施例に従って第2バリアー層を有した基板をハードマスクのセットでエッチング加工する方法を示す。

Claims (34)

  1. プラズマ加工システムにおいて、半導体層、該半導体層上方に提供された第1バリアー層、該第1バリアー層上方に提供された低k層、該低k層上方に提供された第3ハードマスク層、該第3ハードマスク層上方に提供された第2ハードマスク層、及び該第2ハードマスク層上方に提供された第1ハードマスク層を含んだ基板を第1エチャントと第2エチャントとによりエッチングする方法であって、
    第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して前記第2エチャントに比べエッチング可能性大であり、第2ハードマスク層の第2ハードマスク材料に対しては前記第2エチャントに比べエッチング可能性小である第1エチャントと、
    第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して前記第1エチャントに比べエッチング可能性小である第2エチャントとで前記基板を交互にエッチングするステップを含んでおり、
    上記交互のエッチングするステップは、前記第1エチャントと前記第2エチャントを交互に使う少なくとも4つのサイクルを含んでおり、
    前記第2エチャントは第2ハードマスク層の第2ハードマスク材料に対して前記第1エチャントに比べエッチング可能性大であり、
    更に、第2バリアー材料で成る第2バリアー層を第3ハードマスク層と低k層との間に提供するステップを含んでおり、第2エチャントは第2バリアー材料に対して前記第1エチャントに比べエッチング可能性大であり、第1エチャントは第2バリアー材料に対して前記第2エチャントに比べエッチング可能性小であり、
    第2バリアー層と第2ハードマスク層は、TEOSで形成されており、前記第1エチャントは、CF及びCHFの内の少なくとも一つであり、前記第2エチャントは、C及びCの内の少なくとも一つであることを特徴とする方法。
  2. 交互にエッチングするステップは、低k層を第2エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項1記載の方法。
  3. 交互にエッチングするステップは、第1バリアー層を第1エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項2記載の方法。
  4. 交互にエッチングするステップは、第1ハードマスク材料を実質的に除去するステップを含んでいることを特徴とする請求項3記載の方法。
  5. 交互にエッチングするステップは、第2バリアー層を第2エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項4記載の方法。
  6. 第2エチャントは第2ハードマスク層、低k層及び第2バリアー層の少なくとも1部を実質的同時にエッチングすることを特徴とする請求項5記載の方法。
  7. 第1ハードマスク材料、第2ハードマスク材料、及び第3ハードマスク材料をデュアルダマシン製造法のためにリトグラフ技術でパターン化することを特徴とする請求項1記載の方法。
  8. 第1ハードマスク材料はSiNであることを特徴とする請求項1記載の方法。
  9. 第1ハードマスク材料はSiCであることを特徴とする請求項1記載の方法。
  10. 第3ハードマスク材料はSiNであることを特徴とする請求項1記載の方法。
  11. 第3ハードマスク材料はSiCであることを特徴とする請求項1記載の方法。
  12. 第1バリアー層はSiNであることを特徴とする請求項1記載の方法。
  13. 第1バリアー層はSiCであることを特徴とする請求項1記載の方法。
  14. 第1エチャントはCFであることを特徴とする請求項1記載の方法。
  15. 第1エチャントはCHFであることを特徴とする請求項1記載の方法。
  16. 第2エチャントはCであることを特徴とする請求項1記載の方法。
  17. 第2エチャントはCであることを特徴とする請求項1記載の方法。
  18. プラズマ加工システムにおいて、半導体層、該半導体層上方に提供された第1バリアー層、該第1バリアー層上方に提供された低k層、該低k層上方に提供された第2バリアー層、該第2バリアー層上方に提供された第3ハードマスク層、該第3ハードマスク層上方に提供された第2ハードマスク層、及び該第2ハードマスク層上方に提供された第1ハードマスク層を含んだ基板を第1エチャントと第2エチャントとによりエッチングする方法であって、
    本方法は、第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対しては前記第2エチャントに比べエッチング可能性大であり、第2ハードマスク層の第2ハードマスク材料に対しては前記第2エチャントに比べエッチング可能性小である前記第1エチャントと、
    第1ハードマスク層の第1ハードマスク材料、第3ハードマスク層の第3ハードマスク材料、及び第1バリアー層の第1バリアー層材料に対して前記第1エチャントに比べエッチング可能性小である第2エチャントとで前記基板を交互にエッチングするステップを含んでおり、
    上記交互のエッチングするステップは、前記第1エチャントと前記第2エッチャントを交互に使う少なくとも4つのサイクルを含んでおり、
    前記第1エチャントは第2ハードマスク層の第2ハードマスク材料と第2バリアー層に対して前記第2エチャントに比べエッチング可能性大であり、
    第2バリアー層と第2ハードマスク層は、TEOSで形成されており、前記第1エチャントは、CF及びCHFの内の少なくとも一つであり、前記第2エチャントは、C及びCの内の少なくとも一つであることを特徴とする方法。
  19. 交互にエッチングするステップは、低k層を第2エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項18記載の方法。
  20. 交互にエッチングするステップは、第1バリアー層を第1エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項19記載の方法。
  21. 交互にエッチングするステップは、第1ハードマスク材料を実質的に除去するステップを含んでいることを特徴とする請求項20記載の方法。
  22. 交互にエッチングするステップは、第2バリアー層を第2エチャントで部分的にエッチングするステップを含んでいることを特徴とする請求項18記載の方法。
  23. 第2エチャントは、第2ハードマスク層、低k層、及び第2バリアー層の少なくとも1部を実質的同時にエッチングするステップを含んでいることを特徴とする請求項18記載の方法。
  24. 第1ハードマスク材料、第2ハードマスク材料、及び第3ハードマスク材料をデュアルダマシン製造法のためにリトグラフ技術でパターン化することを特徴とする請求項18記載の方法。
  25. 第1ハードマスク材料はSiNであることを特徴とする請求項18記載の方法。
  26. 第1ハードマスク材料はSiCであることを特徴とする請求項18記載の方法。
  27. 第3ハードマスク材料はSiNであることを特徴とする請求項18記載の方法。
  28. 第3ハードマスク材料はSiCであることを特徴とする請求項18記載の方法。
  29. 第1バリアー層はSiNであることを特徴とする請求項18記載の方法。
  30. 第1バリアー層はSiCであることを特徴とする請求項18記載の方法。
  31. 第1エチャントはCFであることを特徴とする請求項18記載の方法。
  32. 第1エチャントはCHFであることを特徴とする請求項18記載の方法。
  33. 第2エチャントはCであることを特徴とする請求項18記載の方法。
  34. 第2エチャントはCであることを特徴とする請求項18記載の方法。
JP2007503965A 2004-03-19 2005-03-09 プラズマ加工システムによる基板エッチング法 Expired - Fee Related JP5134363B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/804,430 2004-03-19
US10/804,430 US7078350B2 (en) 2004-03-19 2004-03-19 Methods for the optimization of substrate etching in a plasma processing system
PCT/US2005/007886 WO2005091974A2 (en) 2004-03-19 2005-03-09 Methods for the optimization of substrate etching in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2007529905A JP2007529905A (ja) 2007-10-25
JP5134363B2 true JP5134363B2 (ja) 2013-01-30

Family

ID=34985096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007503965A Expired - Fee Related JP5134363B2 (ja) 2004-03-19 2005-03-09 プラズマ加工システムによる基板エッチング法

Country Status (6)

Country Link
US (1) US7078350B2 (ja)
JP (1) JP5134363B2 (ja)
KR (1) KR101221158B1 (ja)
CN (1) CN1997771B (ja)
TW (1) TWI352388B (ja)
WO (1) WO2005091974A2 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
KR100698103B1 (ko) * 2005-10-11 2007-03-23 동부일렉트로닉스 주식회사 듀얼 다마센 형성방법
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
WO2008047715A1 (fr) * 2006-10-12 2008-04-24 Nissan Chemical Industries, Ltd. procédé de fabrication d'un dispositif semi-conducteur à l'aide d'un stratifié à quatre couches
US8084357B2 (en) 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7998873B2 (en) 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
US20090314743A1 (en) * 2008-06-20 2009-12-24 Hong Ma Method of etching a dielectric layer
JP5391594B2 (ja) * 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US20100022091A1 (en) * 2008-07-25 2010-01-28 Li Siyi Method for plasma etching porous low-k dielectric layers
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
DE102010038736A1 (de) * 2010-07-30 2012-02-02 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
CN102446814A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
US8796150B2 (en) 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8859418B2 (en) * 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
DE102012103777A1 (de) * 2012-05-22 2013-11-28 Reinhausen Plasma Gmbh Verfahren und vorrichtung zur beständigkeitsprüfung eines werkstoffs
CN103021934B (zh) * 2012-12-20 2015-10-21 中微半导体设备(上海)有限公司 一种通孔或接触孔的形成方法
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9355893B1 (en) * 2015-01-20 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing extreme low-K (ELK) dielectric layer from being damaged during plasma process
US10332790B2 (en) 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US10985055B2 (en) * 2015-12-30 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with anti-adhesion layer
CN109804463B (zh) * 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
KR20220024406A (ko) * 2019-06-04 2022-03-03 램 리써치 코포레이션 패터닝시 반응성 이온 에칭을 위한 중합 보호 라이너

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1000246A (en) * 1910-05-24 1911-08-08 Emil Erikson Railroad-spike.
US3190807A (en) * 1960-09-07 1965-06-22 Combustion Eng Pressure tube reactor
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6518174B2 (en) * 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
JP3780189B2 (ja) * 2001-09-25 2006-05-31 富士通株式会社 半導体装置の製造方法及び半導体装置
CN100375265C (zh) * 2002-04-02 2008-03-12 陶氏环球技术公司 用于图形化双波纹互连的三层掩膜结构
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
JP3757213B2 (ja) * 2003-03-18 2006-03-22 富士通株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
TW200601452A (en) 2006-01-01
KR20060127209A (ko) 2006-12-11
CN1997771A (zh) 2007-07-11
CN1997771B (zh) 2010-11-10
JP2007529905A (ja) 2007-10-25
WO2005091974A3 (en) 2006-09-21
WO2005091974A9 (en) 2005-11-24
TWI352388B (en) 2011-11-11
KR101221158B1 (ko) 2013-01-18
US20050205519A1 (en) 2005-09-22
US7078350B2 (en) 2006-07-18
WO2005091974A2 (en) 2005-10-06

Similar Documents

Publication Publication Date Title
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
US7125806B2 (en) Etching method
US6001538A (en) Damage free passivation layer etching process
JP2002124568A (ja) デュアルダマシン構造のエッチング方法
US6187666B1 (en) CVD plasma process to fill contact hole in damascene process
US7276450B2 (en) Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride
US5792672A (en) Photoresist strip method
US6355572B1 (en) Method of dry etching organic SOG film
KR20140095031A (ko) 다중-층 필름 스택에서 자기-정렬 비아 및 트렌치를 에칭하는 방법
KR100493486B1 (ko) 개선된 전도층 엣칭방법 및 장치
TWI784183B (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
US6271115B1 (en) Post metal etch photoresist strip method
JP2005116801A (ja) 半導体装置の製造方法
US7479458B1 (en) Methods and apparatus for the optimization of highly selective process gases
CN1661799B (zh) 半导体器件
US20060134921A1 (en) Plasma etching process
JP2003332337A (ja) 半導体装置の製造方法
US7538025B2 (en) Dual damascene process flow for porous low-k materials
US6586324B2 (en) Method of forming interconnects
JP2001284327A (ja) ドライエッチング方法、半導体装置の製造方法及び半導体装置
US6979579B1 (en) Methods and apparatus for inspecting contact openings in a plasma processing system
US6949469B1 (en) Methods and apparatus for the optimization of photo resist etching in a plasma processing system
KR100641483B1 (ko) 반도체 소자의 다마신 패턴 형성 방법
JP2010114255A (ja) 半導体装置の製造方法
KR19990002278A (ko) 반도체소자의 배선형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080307

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110510

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110613

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110713

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121015

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121109

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151116

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5134363

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees