CN107689318B - 监测等离子体处理系统和工艺与工具控制的方法和系统 - Google Patents

监测等离子体处理系统和工艺与工具控制的方法和系统 Download PDF

Info

Publication number
CN107689318B
CN107689318B CN201710657101.XA CN201710657101A CN107689318B CN 107689318 B CN107689318 B CN 107689318B CN 201710657101 A CN201710657101 A CN 201710657101A CN 107689318 B CN107689318 B CN 107689318B
Authority
CN
China
Prior art keywords
chamber
substrate
plasma processing
tool
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710657101.XA
Other languages
English (en)
Other versions
CN107689318A (zh
Inventor
理查德·艾伦·戈特舍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Publication of CN107689318A publication Critical patent/CN107689318A/zh
Application granted granted Critical
Publication of CN107689318B publication Critical patent/CN107689318B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Arc Welding In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本发明提供了监测等离子体处理系统和工艺与工具控制的方法和系统。示例方法包括在真空处理等离子体处理室中的衬底。衬底的处理产生粘附到等离子体处理室的内部区域内的表面的颗粒残余物。方法包括表征衬底的处理性能,且在不破坏真空下在处理衬底后检测等离子体处理室的内部区域。检测被配置为识别等离子体处理室的内部区域的一或多个表面上的颗粒残留物的特性。检测包括捕获一或多个表面的光学数据。方法还包括产生工具模型以将衬底的处理的表征性能与表征的颗粒残留物关联。在一配置中,通过多次重复检测优化工具模型。然后,工具模型可用于以后检测室的内表面并提供闭环控制,以根据从工具模型识别的配方的预期性能调整配方的至少一个参数。

Description

监测等离子体处理系统和工艺与工具控制的方法和系统
优先权要求
本申请要求于2016年8月3日提交的名称为“Methods and Systems forMonitoring Plasma Processing Systems and Advanced Process and Toll Control”的美国临时申请No.62/370,658的优先权,该申请通过引用并入本文。
技术领域
所提供的实施方式涉及用于构建用于检测处理工具的内部区域的工具模型并且使用捕获的信息来主动地对由处理工具执行的工艺的一个或多个参数进行调整的方法和计算机实现的工艺;以及使用工具模型数据预测处理性能并执行主动调整的方法。本文所述的方法进一步涉及可用于在工具湿式清洁操作之间扩展处理工具的有用操作的检测技术。
背景技术
长期以来已经使用等离子体来处理衬底(例如,晶片或平板)以形成电子产品(例如集成电路或平板显示器)。半导体晶片通常放置在具有光致抗蚀剂掩模层的蚀刻室中,以引导下层材料的蚀刻。蚀刻工艺除去未被光致抗蚀剂覆盖的下层材料。蚀刻工艺也可以从等离子体室内的部件的表面除去材料。随着时间的推移,处理室内的部件可能积聚可能改变蚀刻性能的颗粒物质和/或蚀刻残留物。为此,除了需要更换可消耗部件之外,还需要周期性地执行(即,室的内表面的)湿式清洁操作。
在湿式清洁之后,在允许室进行生产晶片处理之前,室必须通过各种步骤/工艺(即,用于湿式清洁回收处理)进行修整。因此,湿式清洁恢复是有问题的。匹配工具也很困难并变得越来越困难。工具匹配不仅仅是匹配蚀刻率监视器的问题,而且是轮廓、轮廓的一致性、CD、缺陷等问题。因此,即使执行顺利,湿式清洁也需要很长时间,等待测量的空闲时间也很长。在这段时间内浪费能源消耗。也丢失了生产时间。
湿式清洁恢复工艺的一部分涉及调节室。该工艺试图产生模拟稳态的表面状态。当达到稳定状态时,方案趋向于不可靠,即方案在逐个工艺或在逐个室(fromprocess toprocess or chamberto chamber)不总是通用的。这需要昂贵的重新开发程序。也许更糟糕的是调节本身可能是整个工具利用的很大一部分,例如调节40小时,而工艺只运行150-250小时。显然,当它们仅仅磨损而为了调节它们时,这是对生产力的打击,更不用说还有浪费的能源消耗,调节晶片的成本,以及消耗品的高成本。
无晶片自动清洗(WAC)和预涂面临着类似的挑战。系统有时被过度清洁和过度预涂,以实现混合运行、稳定运行、低缺陷、低金属污染。这些程序的优化必须在开发循环的后期完成,因为由于配方和晶片堆在变化,因此优化它们太复杂。
在这种情况下,产生了所述实施方式。
发明内容
呈现了方法、装置、系统和计算机程序,用于构建用于检测等离子体室内的状态的工具模型,检测表面状态,以及提供闭环控制以调整配方的参数以将处理性能维持在期望的性能窗口内。下面描述几个实施方式。
在一个实施方式中,提供了一种用于操作等离子体处理室的方法。该方法包括在真空下处理等离子体处理室中的衬底。所述衬底的处理产生粘附到等离子体处理室的内部区域内的表面的颗粒残余物。该方法包括表征衬底的处理性能,并且在处理所述衬底之后在不破坏所述真空的情况下检测等离子体处理室的内部区域。检测被配置为识别等离子体处理室的内部区域的一个或多个表面上的所述颗粒残留物的特性。检测包括捕获所述一个或多个表面的光学数据。该方法还包括产生工具模型以将衬底的处理的表征性能与表征的颗粒残留物相关联。在一种配置中,通过多次重复检测来优化工具模型。然后,工具模型可用于以后检测室的内表面,并提供闭环控制,以根据从工具模型识别的配方的预期性能来调整配方的至少一个参数。
在另一个实施方式中,提供了一种用于操作等离子体处理室的方法。该方法包括识别用于处理等离子体处理室中的衬底的配方。配方识别待供应的化学物质的参数和用于所述处理的等离子体处理室的状态,并且所述处理被配置为产生预先设定水平的性能。该方法包括用视野影像仪(scope)来检测等离子体处理室的内部区域,以确定内部区域内的表面的物理状态。然后,参考等离子体处理室的工具模型。该工具模型与用于处理衬底的配方相关联。该方法还包括基于由基于检测确定的物理状态对应的工具模型提供的信息来调整配方的所述参数中的至少一个。调整被配置为基本上防止在超出预先设定水平的性能的性能的度量中的漂移。
在另一个实施方式中,公开了等离子体处理系统。该系统包括具有在处理衬底时暴露于等离子体的内部区域的室。内部区域具有室的结构表面和室的可消耗部件的表面。控制器与室连接,用以控制在处理衬底时要使用的配方的处理,并且配方限定了设置用于供应化学物质和设定室的状态的多个参数。检测器模块与室连接以实现视野影像仪的控制。该视野影像仪被配置为插入室中以在不破坏室的真空的情况下检测室的内部区域。检测器模块包括用于控制光朝向室的内部区域的表面的施加并检测反射光的光学处理器。反射光由光学处理器处理以识别通过该视野影像仪检测的表面上存在的材料的特性。提供一种定位系统,用于将视野影像仪移动到室的内部区域的一个或多个位置。定位系统被配置为在衬底的处理之间将视野影像仪移动到内部区域中,并且在衬底的处理期间将视野影像仪移出内部区域。提供了一种工具模型处理器来接收关于表面上存在的材料的识别特征的信息以及关于从一个或多个衬底的检测获得的配方的处理性能的信息以构建工具模型。工具模型保持了表面上存在的材料的特性和有关配方的处理性能的信息之间的相关性。当处理一个或多个附加衬底时,工具模型由工具模型处理器更新。
工具模型一旦被构造便可进一步用于在处理过程中周期性地检查一个或多个衬底,并且能够对配方的一个或多个参数进行闭环控制调节。调整配方可以使衬底的处理能够保持在预定级别的性能或窗口内,即使室内的表面条件不断变化也如此。有利地,使用工具模型进一步使得能够在进行下一次湿清洗之前延长室的操作持续更长时间。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于操作等离子体处理室的方法,其包括:
(a)在真空下在所述等离子体处理室处理衬底,所述衬底的所述处理产生粘附到所述等离子体处理室的内部区域内的表面上的颗粒残留物;
(b)表征所述衬底的所述处理的性能;
(c)在处理所述衬底之后在不破坏所述真空的情况下检测所述等离子体处理室的内部区域,所述检测被配置为识别所述等离子体处理室的所述内部区域的一个或多个表面上的所述颗粒残留物的特性,所述检测包括捕获所述一个或多个表面的光学数据;以及
(d)产生工具模型以将所述衬底的所述处理的表征性能与表征的颗粒残留物相关联,通过重复(a)-(c)多次来更新所述工具模型。
2.根据条款1所述的方法,其中所述检测还包括捕获所述一个或多个表面的图像数据。
3.根据条款1所述的方法,其中所述工具模型跟踪被检测的所述一个或多个表面中的变化的进展,以改变所述处理的性能。
4.根据条款3所述的方法,其还包括:
识别衬底的所述处理的性能的偏移,所述偏移在能接受的性能的窗口之外;
识别用于处理所述衬底的配方的一个或多个参数;
处理环路控制以改变所述配方的所识别的一个或多个参数,以影响所述处理的所述性能以偏移回到可接受的性能的所述窗口内。
5.一种操作等离子体处理室的方法,其包括:
识别用于处理所述等离子体处理室中的衬底的配方,所述配方识别待供应的化学物质的参数和待用于所述处理的所述等离子体处理室的状态,所述处理被配置为产生预先确定的水平的性能;
用视野影像仪检测所述等离子体处理室的内部区域,以确定所述内部区域内的表面的物理状态;
参考所述等离子体处理室的工具模型,该工具模型进一步与用于处理所述衬底的配方相关联;以及
基于由所述工具模型提供的对应于基于所述检测确定的所述物理状态的信息来调整所述配方的所述参数中的至少一个参数,所述调整被配置为基本上防止在所述预先确定的水平的性能之外的性能的度量中的漂移。
6.根据条款5所述的方法,其还包括:
重复用所述视野影像仪检测所述等离子体处理室的所述内部区域以确定所述表面的所述物理状态;
为了重复检测,
参考所述工具模型;以及
确定是否需要调整所述参数中的一个或多个以基本上防止所述性能的所述度量或另一个度量中的所述漂移;以及
处理控制环路以指示控制器调整所述配方的所述参数中的一个或多个。
7.根据条款6所述的方法,其中,在不破坏所述等离子体处理室的真空的情况下,进行所述室的所述内部的所述重复检测。
8.根据条款6所述的方法,其中,在不破坏所述等离子体处理室的真空的情况下,所述室的所述内部的所述重复检测是在处理一个或多个衬底之间进行的。
9.根据条款5所述的方法,其中,检测所述室的内部包括确定所述等离子体处理室的所述内部区域中的两个或更多个表面的物理状态。
10.根据条款9所述的方法,其中,所述物理状态
量化沉积在所述两个或更多个表面上的材料的特性,或
量化暴露于所述内部区域的室部件的磨损程度;或
量化沉积的材料的特性和所述室部件的磨损程度两者。
11.根据条款10所述的方法,其中,沉积在所述表面上的材料的所量化的所述特性或所述室部件的所量化的磨损程度通过所述工具模型与使用所述配方在所述等离子体处理室中处理所述衬底的预测性能相关联。
12.根据条款5所述的方法,其中,所述工具模型是基于所述等离子体处理室的校准而产生的,所述校准包括,
(a)处理所述等离子体处理室中的衬底,所述衬底的所述处理产生粘附到所述等离子体处理室的所述内部区域内的表面的颗粒残留物;
(b)表征所述衬底的所述处理的性能;[计量]
(c)在处理之后检测所述等离子体处理室的所述内部区域以表征所述内部区域的物理属性,所述物理属性涉及粘附到所述表面上的颗粒残余物和/或所述等离子体处理室内的可消耗部件的状态;以及
(d)利用所述表征的性能与所述表征的物理属性之间的相关性来更新所述工具模型,其中,所述(a)-(d)重复多次以优化所述工具模型中的相关性。
13.根据条款12所述的方法,其中,所述表征所述处理的所述性能包括使用计量工具从衬底的表面特性检测所述处理的属性。
14.一种等离子体处理系统,其包括:
室,其具有在处理衬底时暴露于等离子体的内部区域,所述内部区域包括所述室的结构的表面和所述室的可消耗部件的表面;
控制器,其与所述室连接,用于控制待在处理所述衬底时使用的配方的处理,所述配方定义待设置用于提供化学物质和设置所述室的状态的多个参数;
检测器模块,其与所述室连接以能够控制视野影像仪,所述视野影像仪被配置为在不破坏所述室的真空的情况下插入到所述室中以检测所述室的所述内部区域,所述检测器模块包括用于控制光朝向所述室的所述内部区域的表面的施加并且检测反射光的光学处理器,所述反射光由光学处理器处理以识别经由所述视野影像仪检测的所述表面上存在的材料的特性;
定位系统,其被配置为将所述视野影像仪移动到所述室的所述内部区域的一个或多个位置,所述定位系统构造成在所述衬底的处理之间将所述视野影像仪移动到所述内部区域中,并且在所述衬底的处理期间将所述视野影像仪移出所述内部区域;
工具模型处理器,其被配置为接收关于所述表面上存在的所述材料的所识别的所述特性的信息以及关于从一个或多个衬底的检测获得的所述配方的处理性能的信息以构建工具模型,所述工具模型保持所述表面上存在的材料的所述特性和有关所述配方的所述处理性能的信息之间的相关性;
其中,当处理一个或多个附加衬底时,所述工具模型通过所述工具模型处理器进行更新。
15.根据条款14所述的等离子体处理系统,其中所述检测器模块还包括:
与照相机连接的图像处理器,所述照相机与所述视野影像仪集成,所述照相机被配置为捕获所述室的所述内部区域的表面的图像,并且关于所述表面的捕获的所述图像的信息被添加到所述工具模型,所述工具模型处理器被配置为执行所捕获的所述图像中的两个或更多个的比较,以识别所述室的区域内部的表面的进一步的特性,从捕获的图像识别的所述特性与关于所述配方的所述处理性能的信息相关联。
16.根据条款14所述的等离子体处理系统,其中,所述光学处理器被配置为施加在紫外-红外范围内的光,并且所述反射光被所述视野影像仪的传感器捕获,光学检测器用于对所述反射光进行采样以用于由所述光学处理器进行处理。
17.根据条款16所述的等离子体处理系统,其中,由所述光学处理器处理的所述反射光被配置为提供对应于预先设定的表面状态的信息。
18.根据条款14所述的等离子体处理系统,其中,所述视野影像仪经由隔离阀与所述室连接。
19.根据条款14所述的等离子体处理系统,其中,所述控制器被配置为用所述工具模型处理器进行处理或与所述工具模型处理器连接。
20.根据条款14所述的等离子体处理系统,其还包括:
用于识别衬底的处理何时漂移在所述配方的可接受的窗口之外的处理漂移分析器。
从以下结合附图的详细描述中,其它方面将变得显而易见。
附图说明
通过参考结合附图的以下描述可以最好地理解实施方式。
图1提供了根据一个实施方式的框图,其中半导体工具是等离子体处理系统的一部分。
图2示出了根据一个实施方式的限定半导体工具的一部分的室的示例性图。
图3示出了由室的处理操作导致的材料颗粒和/或聚合物残留物积累的示例性室部分。
图4A-4D示出了其中定位系统被配置为移动视野影像仪以捕获室部件的表面数据的实施方式。
图5示出了根据一些实施方式的将视野影像仪移动到室内的各个位置的定位系统的示例。
图6示出了根据一些实施方式的可以被执行以构造和使用工具模型的处理操作的流程图。
图7A-7B示出了根据一个实施方式的在清洁操作之间的衬底处理期间使用的闭环控制的示例。
图8示出了根据一个实施方式的可用于构建或改进工具模型的操作的流程图。
图9示出了根据一个实施方式的针对特定配方产生全局工具模型的示例。
图10示出了根据一个实施方式的用户接口的示例,其可以由与等离子体处理系统连接的控制器或计算机产生。
图11示出了可用于主动地改变由半导体工具使用的处理参数以优化处理并延长湿式清洁操作之间的时间的环路控制的框图。
图12是用于实现实施方式的计算机系统的简化示意图。
具体实施方式
以下实施方式描述了用于监测等离子体处理系统的方法、装置、系统和计算机程序,特别是用于处理半导体衬底(例如晶片)的等离子体处理工具。
在一个实施方式中,针对在湿式清洁和生产晶片进行之后在室内发生的事件,构造了物理模型(即,工具模型)。在一个示例中,工具模型用于表征表面,表面可以是新的和/或干净的,或放置的部件或使用的部件。工具模型考虑了衬底经过等离子体处理后表面的变化。例如由于材料积累,在表面上积聚的反应性副产物和表面特征变化,例如由于磨损和/或纹理,引起变化发生。此外,可发生表面反应,从而确定反应物质(例如离子、自由基、电子)到晶片的通量。
在一个实施方式中,工具模型可以简化成将是表面的初始状态、处理化学、反应器几何形状和其它特性的函数的一组集总参数。然后可以使用由插入室中的视野影像仪收集的大量数据来校准工具模型以进行检测。随着时间的推移,可以收集更多的数据,例如在处理越来越多的晶片之后,其可以帮助优化工具模型。在一些实施方式中,室的其它传感器也可用于收集额外的数据。这些传感器可以包括例如大口径阀、光发射光谱(OES)光谱仪、电流/电压(IV)特性通过探针、谐波含量等。这些数据测量可以是实时的,并且可以被馈送到工具模型来改善其性能。在一些实施方式中,可以实现机器学习算法以最小化校准努力和/或简化物理室的工具模型。
在一些实施方式中,如果工具被校准以限定工具模块,则该工艺优选比当前的工具调整程序较少耗时。如下所述,如本文所述校准的工具模型可以用于飞快调整配方,使得在短的校准/调整之后,可以以最小的WAC/预涂层/调整进行生产,并且实现可接受的处理性能。
在一些实施方式中,使用视野影像仪来检测处理工具的物理特性,以识别残留物(例如,等离子体处理聚合物)在处理工具内的表面上的材料积累,识别这些残留物的均匀性或非均匀性,识别处理工具内部可消耗部件的磨损程度,以及物理工具特性。在一个实施方式中,这种信息在衬底处理操作之间,例如在衬底被移除时并在下一个衬底被引入到处理工具中之前被检测到。随着衬底在处理工具中继续进行处理,表征残留物积累的物理变化、残余物的均匀性、残余物的不均匀性、零件磨损等的度量被保存到数据库中以用于分析。
在一个实施方式中,数据库还被配置用于收集与处理性能相关的度量,例如蚀刻速率均匀性、关键尺寸(CD)性能以及随时间推移的性能相关漂移以及其它参数。与工具和处理性能中的物理变化相关的度量的分析将使得能够构建工具模型。工具模型被配置为通过了解特定表面、零件、磨损、残留物积聚、残留特征等的变化将如何影响处理性能,从而产生随时间推移加强的关系。随着越来越多的衬底被处理,工具模型将继续改善,从而将使得某些物理变化与导致处理性能的相关性更加准确。在一个实施方式中,工具模型用于动态反馈以调整处理参数。
作为示例,可以自动地进行或推荐处理参数调整,以便引起处理性能的调整。处理参数可以是例如某一蚀刻剂的流量、或压力设定、或功率水平或温度设置等。因此,随着时间的推移,通过利用组装为工具模型的一部分的信息,可以动态地调整处理工具的处理参数,使得处理性能保持在期望的范围内持续更长的操作时间段(例如,在需要下次清洁操作和/或可消耗部件更换之前能够处理更多的衬底)。
在一个实施方式中,使用视野影像仪来检测等离子体室的内部,而不是像如今在半导体制造中常规地进行的那样将该室向大气开放。如果零件的使用寿命和在清洁之间的时间(TBC)不同步,则将室向大气开放会导致生产力下降,并且往往会导致过早更换耗材零件。此外,当室向大气开放时,室壁上的沉积物通常通过与大气成分(例如氧气、氮气和水)的反应来改变。如上所述,本文描述的实施方式描述了工具模型,其表征了等离子体室在多个衬底的处理过程中(例如在湿式清洁循环和/或无晶片自动清洁(WAC)之间)如何演化。在一个实施方式中,在不将处理室向大气开放的情况下,工具模型表征处理室的内部。
如上所述,监测室在湿式清洁循环之间如何演化可以产生表征或识别颗粒物质(例如,蚀刻副产物/残留物)如何粘附到等离子体室的内部的某些部件和/或位置,这种颗粒物质的附着在哪里进行,室中的几何形状如何可能积聚更多或更少的颗粒物质,和/或室中某些几何形状如何有助于残留物的积累的度量。此外,本文所述的实施方式能够在处理每个衬底之后使用视野影像仪来精确地跟踪颗粒物积聚、可消耗部件磨损或损坏等的进展。在其他实施方式中,可以在处理一定数量的衬底之后周期性地使用该视野影像仪。在其他实施方式中,可以在处理大量衬底之后使用该视野影像仪。在另一个实施方式中,视野影像仪可以按需使用,例如当操作者需要表征室的内部物理表面时使用视野影像仪。
在一个实施方式中,将该视野影像仪插入处理室中,同时处理室仍然处于真空状态(例如,在衬底转移进和出期间)。在另一个实施方式中,可以以各种角度、视图方向、扫描、离散放置、光栅扫描、调整、转动等插入和定位视野影像仪,以捕获处理工具中的一个或多个预先设定义表面、部件、对象或区域。在一个实施方式中,视野影像仪可以(例如,经由光纤)配备有光源。光源可以包括产生由光学处理器处理的数据的光学检测器。在一个实施方式中,该视野影像仪还可以包括产生由图像处理器处理的数据的图像源(例如,通过光纤耦合的相机)。在一些实施方式中,该视野影像仪可以包括光学照相机、成像相机、SIM(扫描电子显微镜)、RGB相机、红外相机、深度感测相机或能够检测颜色或反射差的任何其它相机中的一种。
在一个实施方式中,视野影像仪可以由定位系统通过电缆或无线信号进行远程控制。在一个实施方式中,隔离阀用于使得视野影像仪能够在等离子体关断并且正在更换晶片的同时进入处理工具。如上所述,可以通过视野影像仪获得丰富的信息,并且该信息可以用于控制室状态以确保最小的变化性和湿式清洁或服务之间的最大时间。
在一个实施方式中,所述视野影像仪被配置为使用光源发射光波信号,并使用光学传感器收集表面的反射。光学传感器将感测的信息传送到光学检测器,以便由光学处理器进行处理。光学处理器被配置为分析来自表面的聚焦光的区域的归一化成入射辐射强度和光谱的反射光强度与波长的关系。使用该信息,一个实施方式包括估计颗粒物质的膜厚度和膜组成。在一个实施方式中,所使用的波长可以跨越电磁光谱。
在一个具体示例中,波长是紫外线(UV-IR)波长。据信UV-IR波长提供关于膜性质的综合信息,例如室壁上的化学组成。通过这样的数据,可以在下一次湿式清洁之前在衬底处理将结束时进行优化。广义而言,工具模型用于得知和预测在由于颗粒物质积聚和消耗性零件磨损而导致室表面的物理特性发生变化时,工艺配方在处理性能方面的功效(behavior)。这些信息进而可用于提供与处理偏移和漂移相关的信号。然后可以通过调整配方参数来补偿导致相应的处理偏移和/或漂移的壁上残留物累积的影响在闭环控制方案中使用这样的信号。以这种方式,可以扩展工艺窗口,并可以延长清洁之间的时间。
除了从室壁反射的光中的光谱信息之外,可以针对不均匀的涂层磨损、残留物积聚、不均匀的预涂层等收集和分析室的每个暴露区域的图像。如上所述,视野影像仪还可以包括收集由图像处理器处理的图像数据的相机。图像处理器可以收集在多次成像会话期间收集的图像,然后可以将其合并成残留物的积聚和/或可消耗部件磨损中的变化的基于时间的历史。在一种配置中,通过平移并同时旋转光源和检测器,室的部件、特定区域、特定部分或室的整个内部可以被成像。在一个实施方式中,这样的信息也可用于修改设计(例如,几何形状、材料、位置、尺寸等)以延长可消耗部件寿命。
在另外的实施方式中,还可以预测室故障,从而通过关于哪个部件发生故障的特定信息来触发预防性维护。这种可能性通过图案缺陷检测来实现,即将原始图像与后续图像进行比较,并且如果出现差异,则可以设置报警或跟踪趋势。
当这样的成像数据与上述光谱数据和其他传感器和设备监测数据(例如,压力控制阀位置、压力、光发射数据、来自晶片的实时反射计数据、反射功率、壁温度、晶片温度等)时,则可以使用多变量算法或自学习程序,例如神经网络。在一个实施方式中,工具模型被配置为随着时间推移而改善和/或被训练以确定或识别与工艺规范的偏差。然后可以应用闭环控制算法来针对室状态的变化进行调整,从而减少晶片与晶片,批次与批次,室与室之间的变化,增加湿清洁之间的时间,并增加工艺窗口,这些数据也可以与来自上游和下游工具和计量站的工厂范围(fab-wide)的数据相结合,以增强多变量工艺控制的控制能力。
显而易见的是,可以在没有这些具体细节中的一些或全部的情况下实践本实施方式。在其他情况下,为了不会不必要地模糊本实施方式,没有详细描述公知的处理操作。
图1提供了根据一个实施方式的框图,其中半导体工具102是等离子体处理系统100的一部分。在该示例中,半导体工具102被配置成用于处理衬底104,衬底104通过控制衬底往来于半导体工具102的输送的机械手引入半导体工具102。通常,负载锁和闸阀用于使在保持系统中的真空的同时,能将衬底104输送进出半导体工具102。在各种配置中,半导体工具102是可以包括真空传输模块的集群工具的一部分。真空传输模块通常具有半导体工具102可以附接到的各个侧面。
取决于要在衬底104上进行的制造操作,半导体工具102的类型可以变化。作为示例,半导体工具102可以是可用于蚀刻操作的等离子体处理系统。在其他实施方式中,等离子体处理系统可用于沉积操作。通常,根据待执行的等离子体处理操作,室配置针对该处理进行优化。例如,存在各种类型的蚀刻室,其可以针对待被蚀刻的材料的类型进行优化。
优化了一些蚀刻室,以用于蚀刻设置在衬底上的金属材料,而其它蚀刻室被优化用于蚀刻设置在衬底上的介电材料。在任何一种配置中,无论半导体工具102是否是蚀刻工具、沉积工具或其他类型的处理工具,半导体工具102的内表面将经历颗粒残余物的积聚,颗粒残余物当进行更多的衬底104的处理时将随时间的推移而增加。此外,半导体工具102内的某些结构也将经历磨损并且可能需要周期性的更换。这些结构通常被称为可消耗部件,可消耗部件通常以一定间隔更换。更换可消耗部件的间隔可以变化,但是通常基于可消耗部件的预期寿命周期性地进行更换,和/或在半导体工具102打开以进行检测或清洁时的物理检测之后进行更换。
继续图1,示出了半导体工具102与处理参数/设施106连接。这些设施通常包括用于供应蚀刻气体、惰性气体、沉积气体、真空、冷却流体、的气体供应源,温度控制器,电源和其他结构和机械系统。控制器109通常是等离子体处理系统100的一部分,等离子体处理系统100控制处理参数和设施106的操作,并且还与半导体工具102连接。控制器可以与等离子体处理系统100的其它部件进行通信,以例如根据设定的处理配方确保进行操作处理。
在一个实施方式中,半导体工具102配置有视野影像仪156,其可以通过隔离阀103插入到半导体工具102中。视野影像仪156连接到臂150,臂150可以到达半导体工具102内部以检测半导体工具102内的表面。臂150可以配置有多个机械接头,这将使得可以将视野影像仪156以各种角度和有利位置放置在半导体工具102内。视野影像仪156耦合到定位系统107,定位系统107可以经由隔离阀103将臂150驱动到半导体工具102中。定位系统107可以帮助旋转视野影像仪156,转向视野影像仪156,使视野影像仪156成角度,扫描视野影像仪156,光栅扫描视野影像仪156,使用视野影像仪156进行点检以及其他位置功能。还示出了检测器模块108,检测器模块108通过臂150耦合到视野影像仪156。
如下面将描述的,检测器模块108被配置成通过视野影像仪156收集一种或多种类型的数据。例如,数据收集可以包括捕获从表面和附着到半导体工具102的室内的表面的材料所反射的光谱数据。在另一个实施方式中,数据收集还可以包括捕获半导体工具102的室内的表面和结构特征的图像数据。
半导体工具102对衬底104的处理也可以通过一个或多个分析工具来分析,以产生处理性能105。作为示例,可以针对在形成具有某些关键尺寸的特征(例如作为蚀刻操作的结果)中的性能分析由半导体工具102处理的衬底。其他性能分析可以包括确定蚀刻操作的均匀性。其他性能分析可以包括确定跨衬底表面的蚀刻速率的偏差。其他性能分析可以包括确定蚀刻操作是否实现期望的深宽比。其他性能分析可以包括确定在执行某些蚀刻操作之后材料层的厚度。其他性能分析可以包括确定是否已经实现了某些特征的蚀刻深度。其他性能分析可以包括确定特征崩塌是否发生。其他性能分析可以包括比较晶片中心与晶片的边缘相比的蚀刻性能。其他性能分析可以包括检测靠近晶片的边缘排除区域的特征。
如果半导体工具102是沉积工具,则可以执行其他类型的性能分析来表征沉积操作的效率。如本领域技术人员将理解的,处理参数可以以许多方式分类,并且可以基于预期结果进行分类。上述性能分析特征和分析结果仅作为示例,因为更多不同类型的性能分析度量可以被定义,并且可以根据特定配方或期望的结果进行定制。
仍然参考图1,检测器模块108在检测半导体工具102之后获得的结果以及由半导体工具102实现的处理性能105可以被传送到工具模型处理器。工具模型处理器112配置成针对由检测器模块108在半导体工具102的表面内物理检测的特性来跟踪处理参数105。例如,检测器模块108可以产生与在半导体工具102内的物理结构相关联的特征数据,以及相关联的这种结构上的颗粒物质和残留物的积累。
在一种实施方式中,由于较多的衬底104由半导体工具102处理,因此例如在处理特定的衬底之后,检测器模块108可以检测。如上所述,使用视野影像仪156的检测可以在处理衬底104之后(例如,在晶片输送操作期间),并且在下一个衬底被引入半导体工具102之前进行。
在一个实施方式中,检测器模块108可以在处理每个衬底104之后检测半导体工具102。在另一个实施方式中,检测器模块108可以在处理多个衬底104之后检测半导体工具102。在另一个实施方式中,检测器模块108可以在处理大量晶片之后检测半导体工具102。此外,检测器模块108可替代地在预先设定数量或批次的晶片被处理之后检测半导体工具102。
在一些实施方式中,半导体工具102可以由检测器模块108按需检测。例如,如果操作者检测到处理性能105中的偏移或漂移,则操作者可以指示检测器模块108对半导体工具102进行检测,而不使半导体工具102真空减弱。
继续由工具模型处理器112执行的处理,工具模型处理器被配置为将处理的性能参数和度量与半导体工具102内的特定状态相关联。例如,衬套通常存在于蚀刻工具中,并且已知这种衬垫可以接收蚀刻副产物和残留物的积累。随着蚀刻副产物和残留物的积累发生,可以识别和关联处理性能105的变化。
在一个实施方式中,工具模型处理器112可以以较细粒度的级别关联处理性能105,例如根据所使用的处理参数关联半导体工具102的性能。例如,蚀刻配方可以与特定处理参数相关联,特定处理参数如RF功率、偏置功率、气体压力、温度、蚀刻剂气体的流速、惰性气体的流速等。这些类型的参数中的每一个都与特定的蚀刻配方相关联。在一个实施方式中,可以跟踪与蚀刻配方的参数相关和关联的处理性能105。举例来说,由于聚合物、颗粒和其它材料的积聚发生在室部件的表面上,因此可以检测处理偏移或漂移。随着时间的推移,工具模型112将对半导体工具102内的表面的变化与所得到的处理性能105进行分类和关联。
因此,通过工具模型处理器112针对半导体工具102产生工具模型。工具模型被配置为具有在给定的通过检测器模块108检测的某些状态下会预测处理性能105中未来的通过半导体工具102进行的处理变化的信息。在一个实施方式中,半导体工具102内的检测到的状态与处理性能105之间的相关性将随着越来越多的晶片被处理而延续。当半导体工具102内的部件、表面和材料的状态被检测时,通过继续进行这种相关性,可以对工具模型进行细化,以针对特定工艺配方提供对发生的事件或影响处理参数105的事件的更准确的表示。
处理漂移分析器114可用于从工具模型处理器112接收信息,工具模型处理器112能够识别处理性能105中何时发生处理漂移,并将该处理漂移与半导体工具102内的特定状态相关联。在一个实施方式中,参数调整模块116用于识别工艺配方的特定参数,工艺配方可以被调整以便补偿检测到的处理漂移。例如,参数调整模块116可以识别增大室压强以便补偿检测到的处理参数105中的漂移的需要。
与处理参数/设备106以及半导体工具102通信的控制器109可以对提供给半导体工具102的压强进行调节。返回控制器109的该控制被认为是闭环控制110。因此,闭环控制110被配置为连续地监测或接收来自检测器模块108以及处理参数105的信息。由工具模型处理器112处理的工具模型将用于通过处理漂移分析器114识别处理漂移,然后使用参数调整模块116来识别用于调整、用于补偿处理参数105中的漂移的特定参数。在一些实施方式中,当在处理许多衬底104之后已经对工具模型进行了细化时,工具模型本身可以是用于关联和检测由检测器模块108提供的半导体工具102的表面中的变化,并且识别参数以甚至在分析处理参数105之前进行调整。
在一个实施方式中,可以经过一段时间识别处理参数105,以实现工具模型的构造。一旦工具模型被构造并被认为是稳定的,则系统将仅需要检测器模块108来检测半导体工具102的内表面,然后识别和预测处理参数105将是什么。处理漂移分析器104可以简单地识别从由工具模型处理器112处理的工具模型所预期的处理漂移,并且可以识别应该由参数调整模块116调整的一个或多个参数。因此,应当理解,一旦构造了工具模型,工具模型就可以与检测器模块108结合使用,以预测处理性能的变化,并且提供闭环控制110,以用于针对特定的配方对一个或多个参数进行调整。
在一些实施方式中,可以使用多个测试衬底来构造工具模型,其可以被分析以识别处理性能105。然后,处理性能105将与半导体工具102的内部部分的检测状态相关联。通过运行这些测试衬底104,可以针对特定的配方构建用于半导体工具102的工具模型。作为示例,工具模型可以被配置为包括对于特定工艺配方是特定的的特征化信息。如果需要将新的工艺配方表征为工具模型,则可以使用衬底以校准工具模型,以包含该新配方的信息。在其他实施方式中,可以针对每个特定配方构建单独的工具模型。应当理解,在针对特定配方处理实际生产衬底时,工具模型可以原位构造。工具模型也可以在实际的生产衬底被处理之前例如使用测试衬底或校准衬底被构造。在任一配置中,产生的工具模型可用于预测当半导体工具102的内表面发生变化时的处理的性能。因此,检测器模块108可以周期性地、按需地或甚至在每个衬底被处理之后提供该检测。因此,可以将检测器模块108收集的信息与工具模型进行比较或相关联以产生预测的处理参数105,并且如果需要的话,则确定参数调整。
作为优点,使用针对半导体工具102的工具模型和检测器模块108的处理可以有助于延长半导体工具102的湿式清洁操作之间的时间段。例如,在过去,当检测到处理漂移时,系统将被简单地关断以进行清洁或检测。有时,系统将以编程方式关断以进行清洁或检测,这可能会是过早的,并且会导致湿式清洁循环的缩短。通过能够在不破坏真空的情况下检测半导体工具102内的表面的状态,可以识别状态并对工艺配方的参数进行调整,以补偿处理参数中的预测漂移。结果是,在需要开始湿式清洁循环之前,可以对更多的晶片进行通过半导体工具102的处理。此外,使用视野影像仪156的检测器模块108还可以有助于防止在操作者遇到处理漂移或怀疑可消耗部件磨损时系统的不必要的停机。结果,本发明的实施方式还有助于用实时数据确认可消耗部件正常运行或实际上需要更换(即防止误报)。
在一个实施方式中,图2示出了定义半导体工具102的一部分的室120的示例性示意图。如图所示,室120包括下电极122和上电极124、射频(RF)电源126、匹配件128和连接到上电极124的接地125。为了示例的目的,还示出了围绕室120的室壁的内表面的衬垫130。还示出了布置在下电极122上方的聚焦环131。衬底(未示出)被配置为位于下电极122的表面122a上并且被聚焦环131包围。在本实施例中,室120是电容耦合等离子体(CCP)室。室的类型仅作为示例,并且其它类型的蚀刻室,例如感应耦合等离子体(ICP)室也可受益于本文所述的实施方式。根据室的类型,内表面、部件和组件将会有所不同。因此,应该理解,室120内的示例性表面仅仅是示例性的,并且视野影像仪156可用于检测室内部区域内的任何表面,无论是用于蚀刻、沉积、检测或其他处理功能。
考虑到这一点,当产生等离子体时,上电极124的示例性表面124a将暴露于等离子体,其也可以接收和产生材料颗粒和/或蚀刻残留物。衬垫130的表面130a,聚焦环131的表面131a和下电极122的表面122a将类似地经受至少一些材料颗粒和/或蚀刻残留物。在一个实施方式中,视野影像仪156通过隔离阀103被引入室120的内部。隔离阀103确保将保持室120内的真空,因此可以在不打开室120的情况下进行检测。在一个实施方式中,视野影像仪156可以通过隔离阀103延伸和缩回。臂150可以包括多个机械接头152,这将使得视野影像仪156能够在室120内在各个方向、角度、旋转视角和/或位置移动。为了说明的目的,视野影像仪156可以在方向158a上插入和缩回,可以在方向158b上垂直升高并垂直降低,可沿方向158c沿水平轴线旋转,可沿方向158d沿竖直轴线旋转。
因此,定位系统107被示出包括扩展控制装置140和旋转控制装置142。这些控制装置可用于在期望的位置移动和操纵视野影像仪156。在一个实施方式中,定位系统107可以被配置成以特定模式扫描室的内部。可以以编程方式定义模式,使得视野影像仪156的扫描和定位捕获来自特定区域、部件、位置、区域等的信息。
在一个实施方式中,检测器模块108包括光学处理器144,光学处理器144通过管道127、通过臂150耦合到视野影像仪156。在一个实施方式中,光学处理器144被配置为控制传送光波到视野影像仪156上,用于投影到要检测的期望表面上。光波被配置为传输到期望的表面上并被反射。反射光谱然后被传感器捕获并传送回到光学处理器144。如上所述,光波优选地在紫外红外光谱中传播,当从表面以及存在于表面上的材料反射时将产生可以分类并与被检测材料类型相关联的信息。在另一个实施方式中,检测器模块108还可以包括图像处理器146,图像处理器146也通过管道127、臂150与视野影像仪156进行通信。在该实施方式中,来自设置在视野影像仪156上的相机的图像数据可以用于捕获正在被检测的表面的图像数据。如上所述,可以使用图像数据来比较表面中的相对于先前捕获的图像数据的变化。因此,比较可以确定何时发生材料积累的变化,或者何时某些残留物以可能产生过多的颗粒产生的形式发生。例如,图像数据可以识别何时某些残留物不适当粘附,并且较易于剥落,从而导致较多的颗粒产生。因此,通过使用图像处理器146的光学处理器144的组合,可以表征室120内的材料和表面,并且识别将指示需要清洁、维修或可消耗部件更换的条件。
图3示出了具有由室120的处理操作导致的材料颗粒和/或聚合物残留物累积的示例性室部分170。在一个实施方式中,视野影像仪156可以被配置为放置在将要检测室120的内部的特定部分、区域、部分或区域的位置。在该示例中,视野影像仪156已经被引导到室部分170的表面170a。在一种配置中,视野影像仪156可以具有可以指向表面170a的表面。在一个实施方式中,视野影像仪156被放置在足以允许光波朝向表面170a入射并且通过视野影像仪156的传感器184收集反射的数据的距离处。作为示例,可以放置视野影像仪156的表面在1厘米和50厘米之间,优选在2厘米和20厘米之间的距离处。
在一个实施方式中,视野影像仪156包括终止于视野影像仪156的前表面的光纤线路186。在一个实施方式中,透镜或其它聚焦机构188可用于引导光传播通过光纤线路186离开视野影像仪156的前表面。在一个实施方式中,引导指向表面170a的光的波长可以相对于视野影像仪156的前表面垂直定向聚焦。在另一个实施方式中,光可以相对于视野影像仪156的前表面以特定角度聚焦。在任一配置中,光聚焦到表面170a的区域200上。如图所示,使得从表面170a反射的光分散并产生可以跨越电磁光谱的波长,最佳地在UV-IR波长范围内,因为该波长范围将提供关于膜性质和/或表面170a上的化学成分的最多信息。如图所示,视野影像仪156将优选地包括一个或多个传感器184,一个或多个传感器184被配置为捕获从表面170a发出的光的反射波长,表面170a可以具有在其上积累的颗粒物质和/或蚀刻残留物。
在一个实施方式中,如果颗粒物质和/或蚀刻残留物具有粗糙或多孔结构;表面纹理将起作用以产生所施加的光的色散,其然后被传感器184收集。传感器184收集的数据然后可以传送到光学检测器192,以便由光学处理器144进行处理。在一个实施方式中,视野影像仪156还可以包括被配置为捕获表面170a的图像数据的照相机180。在又一个实施方式中,视野影像仪156可以包括LED灯182,LED灯182可用于照亮由照相机180成像的区域。LED光182可由光源183和图像处理器146控制。
图像处理器146可以控制相机180的激活,并且可以检索图像数据以供检测器模块108进行处理。如图所示,定位系统107被配置为在室120内的各个位置移动视野影像仪156,以收集关于表面、部件、可消耗部件磨损和其他可识别度量的状态的信息。虽然视野影像仪156已经被示出为包括用于捕获光学数据和图像数据的能力,但是可以将视野影像仪156配置为仅捕获光学数据或仅捕获图像数据,或者两者。另外,根据期望的结构配置,可以修改由光纤线路186提供的光的发射器的放置和几何形状、视野影像仪156的形状传感器184、照相机180、和LED灯182。
图4示出了根据一个实施方式的定位系统107配置成移动视野影像仪156以捕获室部分170的数据的实施方式。在该图示中,示出了可以通过定位系统107在室部分170的各种位置、部分、区域、部件等定位视野影像仪156。例如,室部分170的不同区域可以被预先定义用于检测检测区域204。作为示例,为了收集与颗粒材料和/或残余物的累积相关联的准确数据,视野影像仪156可以被编程为在后续检测中收集来自相同位置的数据。这样,当较多的衬底被处理时,针对改变可以跟踪相同的位置。在另一个实施方式中,可以水平地扫描视野影像仪156或者移动位置(movedposition-wise)以从其它检测区域204捕获信息,其可以被预先定义或编程用于重新检测以保持特定材料积累的准确的时间数据。图4B示出了视野影像仪156如何被配置为具有检测区域工具,该检测区域工具可以包括图像区域202和光学区域200,图像数据使用照相机180从图像区域202收集,从光学区域200收集光学数据。在另一个实施方式中,光学区域200可以被包含在图像区域202内。例如,光学区域200可以在图像区域202内居中。这可以通过将光学区域200引导到由相机180捕获的区域来实现。
图4C示出了对室部分170的表面170a上的离散位置选择检测区域204的示例。例如,可以提供编程,使得视野影像仪156从一个位置移动并且然后到下一个位置。图4D示出了其中视野影像仪156连续接通并且可以逐个位置扫描以具有重叠的检测区域204的示例。应当理解,数据收集的位置和方式可以变化,只要可以重新检测相同的区域,使得可以在越来越多的衬底被处理时或者在处理多个衬底之后在训练或校准操作期间可以精确地构造工具模型。
图5示出了将视野影像仪156移动到室120内的各种位置的定位系统107的示例。如图所示,可以定位视野影像仪156-1以扫描衬垫130的检测区域204,以捕获衬套130的表面130a。然后可以将视野影像仪156-2定位成在外周附近捕获聚焦环131的表面131a。然后,当通过定位系统107移动视野影像仪156-3时,可以设置编程以捕获聚焦环131的顶部内圆周附近的表面131a。然后可以以一定角度移动视野影像仪156-4,使得靠近倾斜部分的聚焦环的表面可以通过检测区域204成像。已经提供了该示例,以示出臂150的各种机械接头可以被编程为移动以将视野影像仪156放置在室内的不同的可编程位置中以便在衬底的处理期间捕获材料积累的状态和/或进展。
图6示出了可以执行的处理操作的流程图,以构建工具模型,并利用工具模型来预测半导体工具所需的维护操作和/或对工艺配方的参数进行调整,在清洁循环之间有效优化和/或扩展工具的功能。在一个示例实施方式中,在操作302中,在半导体工具中处理衬底。一旦操作的处理完成,例如,已经执行了蚀刻操作,则该方法移动到操作304,在操作304衬底从半导体工具移除,准备接收下一个衬底的处理。
在一个实施方式中,在衬底的处理之后,可以在操作308中表征处理性能。可以在处理每个衬底之后,在处理大量衬底之后,周期性地或按需执行处理性能的表征。在一个实施方式中,可以执行操作308中的处理性能的表征作为半导体工具的初始校准,其中处理多个衬底以构建半导体工具的工具模型。
一旦建立了工具模型,并且其功能基于在室内检测的检测到的物理特性令人满意地预测处理性能,则在处理每个衬底之后,衬底将不需要在操作308中进行表征。在操作306中,将视野影像仪插入到半导体工具中以捕获用于表征衬底处理之间工具表面的变化的表面数据。
如上所述,可以在不降低真空度的情况下将视野影像仪插入到半导体工具中,使得利用半导体工具的处理可以继续处理更多的晶片。具体来说,可以在不需要打开半导体工具的情况下利用视野影像仪进行检测,打开半导体工具可能导致重新校准并使工具重新进入真空和操作状态的显著延迟。在操作310中,利用工具表面的变化来更新数据库。工具表面的变化可以包括例如材料积累的类型,材料积累的量,积累物的质地,材料是否片状或一致地粘附,以及其它特征。
如上所述,可以使用光学分析以及可选的图像分析来识别工具表面的变化。操作312显示有关处理性能的数据也可以更新到数据库。在操作308在捕获处理性能期间记录的信息以及使用视野影像仪的检测可以在操作314中被添加到半导体工具的工具模型中。通过执行校准处理来产生工具模型,该校准处理将获得有关工具表面的变化的信息与特征化的处理性能相关联。因此,工具模型将具有可用于基于物理工具表面的检测特征来预测处理性能的相关性。
随着时间的推移,在处理较多的衬底期间或当在训练或校准操作期间处理多个衬底以产生工具模型时,工具模型将继续使其相关数据符合要求,直到工具模型基于检测到的表面特性的变化准确地预测处理性能,如通过视野影像仪156所分析的。在操作316中,可以使用处理器来识别处理性能中是否发生任何漂移,或者模型是否基于检测到的工具表面或表面的特性预测处理性能中的漂移。在操作318中,确定是否应当根据所识别的漂移或预测漂移来调整处理参数。如果确定不需要调整,则该方法将返回到操作316。如果确定应该调整一个或多个特定参数,则该方法移动到操作312,在操作312基于工具模型调整一个或多个处理参数以便修正检测到的漂移或预测得漂移。
在这时,该方法返回到操作302,其中可以使用经调整的参数来处理下一个衬底。如上所述,经调整的参数可以根据工艺配方而变化。这些参数可以包括调节压力、调节温度、调整功率水平、调整偏置电压、调整上电极与下电极之间的距离、调整频率和/或调整一个或多个参数。在一个实施方式中,可以基于已知的效应来选择针对调整识别的参数,已知的效应可以补偿处理参数中的特定漂移。该信息可以存储在可由控制器访问的存储器中,以便可以调整适当的一种或多种参数。如图所示,在操作306中,在将视野影像仪插入半导体工具之后,确定是否应处理另一个衬底。如果另一个衬底被处理,则在操作321中将下一个衬底插入工具中。如果调整322在下一个衬底被处理之前被设置,那么下一个衬底将利用用于配方的经调整的参数进行处理。
图7A示出了根据一个实施方式的在清洁操作之间的衬底处理期间使用的闭环控制的示例。在操作330中,清洁半导体工具。清洁操作通常需要半导体工具被打开,并进行湿式清洁。湿式清洁操作可以包括从室中去除部件,以便除去颗粒积聚物和/或残留物。在其他实施方式中,当打开工具时,还可以检测工具以检测可消耗部件的磨损。如果可消耗部件需要更换,则在此时进行更换。如上所述,期望尽可能地延长湿式清洁操作之间的时间,以便处理较多的衬底。然而,还期望在湿式清洁循环之间的整个周期期间半导体工具的操作是最佳的。
通过提供闭环控制334,可以动态地调整处理参数以补偿工艺中的任何漂移。因此,在操作332中,处理衬底。在处理衬底之后,或者在处理多个衬底之后,可以执行闭环控制334。闭环控制334可以包括工具和处理分析336。如果执行了工具和处理分析336,则可以在操作337中更新工具模型。
在另一个实施方式中,可以使用视野影像仪156来简单地执行工具分析。然后可以将从工具分析收集的信息与半导体工具的工具模型进行比较,工具模型可以识别处理中的预测漂移。参考工具模型,可以在操作338中针对任何处理参数识别处理中的漂移。
在操作339中,可以调整一个或多个处理参数以补偿所识别的处理漂移。在操作340中,确定是否应该处理下一个衬底。可能已经处理了多个衬底,并且在每个衬底被处理之后处理闭环控制334。如上所述,仅在已经处理了一定数量的晶片之后,也可以操作闭环控制334。
如果确定下一个衬底应该被处理,则该方法返回到操作332中以处理衬底。如果在操作340中确定不应该处理下一个衬底,则该方法返回到操作330,其中在半导体工具上进行湿式清洁。如果确定不对处理进行进一步的调整,则可能会发生这种情况,并建议该工具进入湿式清洁处理。
图7B示出了另一实施方式,其中执行工具分析336',之后是查找工具模型337'。在本实施方式中,闭环控制334被配置为省略该处理的分析,并且简单地使用已校准的工具模型。因此,查找工具模型以基于工具模型中存在的信息来确定是否预测到有任何处理漂移已经发生。在操作中,可以在处理每个晶片之后或在处理一定数量的晶片之后,或者在系统处理或程序确定应当执行回路控制时,处理闭环控制334。例如,可以提供程序设置以在经过一定数量的小时操作之后或当一个或多个其他传感器数据指示需要执行闭环控制334时执行环路控制。
当闭环控制334被处理时,系统可以使用检测器模块108检测处理模块内的一个或多个区域,并且基于检测到的数据/信息,可将该信息用于对工具模型进行索引。然后,工具模型将产生信息,例如指示半导体工具的预期性能的信息。然后可以执行一个或多个处理参数的主动调整,以优化有效正在进行的处理和/或进行调整以补偿处理性能的任何预期的或实际的漂移或偏移。
图8示出了流程图400,其示出了能如何构造工具模型408。当在半导体工具中处理衬底(1-N)时,可以随着时间的推移产生如上所述的工具模型408的构造。例如,可以用限定处理参数组402的特定配方来处理衬底。处理参数组402可以包括例如待使用的气体的类型、流率、温度、功率设置,室的结构设置、点检测处理、压力设置等。在操作404中,监测室的内表面的物理特性。在一个实施方式中,可以监测室内的一个或多个区域的物理特性。
可以基于材料积聚或聚合物积聚的未知区域来预先设定待监测的区域。此外,还可以通过分析执行处理的良好程度来从衬底监测处理性能406。可以使用各种分析工具来测量性能,例如蚀刻性能、均匀性性能、沉积性能和其他性能属性。因此,对于特定配方和参数设置402,所监测的物理特征404与处理性能406相关,然后被添加到工具模型408。对于许多衬底可以重复该处理,并且每次将信息添加到工具模型408,从而引起对处理性能与室内监测的表面特性之间的相关性的细化和更准确的估计。
如上所述,构建工具模型408可以利用用于评估若干衬底的处理性能406的测量信息在处理衬底的寿命期间产生,或者可以在工具模型产生步骤期间产生。作为示例,可以针对特定配方产生半导体工具的工具模型。也可以产生工具模型以针对多个配方对数据建模。因此,当正在处理非生产性晶片时,工具模型的产生可以离线进行。在任一配置中,工具模型408随着时间的推移构建,并且随着更多检测与处理参数相关联而被细化。
图9示出了针对特定配方产生全局工具模型404的示例。在一些制造设施中,相同的制造工具以多种方式或相同的集群架构安装。通常,半导体工具用于执行相同的蚀刻操作,以增加产量。在一个实施方式中,半导体工具可以具有相同类型,例如,类型A,并且可以作为工具-1至工具-N安装。半导体工具中的每个可以产生工具模型420-1,420-N。如果建模用于相同的配方,则可以将从每个工具模型420产生的信息传送到工具模型校准单元430。工具模型校准单元430被配置为将检测到的性能和测量的半导体工具的特性合成,以改进工具模型。
由于针对相同配方对多个室进行建模,该处理可以加速包括更多数据点的工具模型的产生。因此,可以针对类型A的半导体工具建立全局工具模型440。因此,如果将类型A的新半导体工具联机以处理与产生全局工具模型440所针对的配方相同的配方,则预测的处理性能可以从全局工具模型440立即产生,以便产生用于调整新安装的半导体工具上的配方的参数的准确和快速的闭环控制。在使用视野影像仪156(其通过检测器模块108收集信息)执行物理检测之后,进行对参数的这些调整。因此,由检测器模块108收集的信息被馈送到全局工具模型440中,然后该全局工具模型440提供处理参数的预测并对一个或多个处理参数提供调整的建议和/或主动设置。
图10示出了可由等离子体处理系统100的控制器109产生的用户界面500的示例。用户界面500可以提供关于特定半导体工具的处理性能的信息。在该示例中,半导体工具被识别为工具类型A。在该示例中,示出了处理1是由半导体工具执行的配方。一个实施方式将提供与半导体工具的特定部件有关的信息。
这通过由室部分502、504和506提供的不同屏幕或数据来说明。例如,室部分502是聚焦环,并且工具模型已经示出已经使用视野影像仪156监视聚焦环,而且工具模型预测当处理较多的衬底时,处理性能将会偏移。还示出了环路控制是接通的,这意味着系统将自动使用工具模型来识别一个或多个配方参数进行调整,以补偿预测的由聚焦环表面的变化产生的漂移。室部分504用于衬垫,这也表明环路控制是关断的。这意味着系统已被校准,不补偿衬垫中材料积累的变化。
如果操作者确定对于衬垫中检测到的表面改变应当使用回路控制,则该设置可以简单地设置为接通。室部分506被定义为限制环。基于工具模型预测限制环中材料积累的变化不会导致处理性能发生任何变化或漂移的事实,环路控制也被设置为关断。
已经示出了这些示例性图形用户界面控制和设置来说明如何可以由工具操作者使用用于特定工艺的工具模型中包含的信息来控制在衬底的主动处理期间何时应用环路控制。也就是说,知晓工具模型显示由特定组件部件对处理性能造成最小的影响的操作者可能会选择防止系统应用环路控制和更改参数。在室的其它部分,例如聚焦环502中,当聚焦环表现出聚合物聚集和/或磨损时,因为工具模型预测在处理性能上大幅度增加的漂移,所以操作者可以主动地设置环路控制接通。
图11示出了闭环控制110的框图,其可用于主动地改变由半导体工具600使用的处理参数。在该实施方式中,示出了检测器模块108可以从半导体工具600的表面收集信息,并将该信息提供给工具模型处理器112。工具模型处理器112被配置为产生工具模型408。工具模型408包括与处理性能105相关联的相关信息,处理性能105已从处理在半导体工具600中的一个或多个衬底收集。在操作中,可以基于对半导体工具600内的特定部分的检测到的改变来简单地使用工具模型408,然后可以预测处理漂移。
因此,处理性能105不需要每次都执行,并且可以简单地执行以产生工具模型408。一旦产生或使用或获得权重的工具模型,检测器模块108被简单地用于收集室的内部的特性,然后使用工具模型来预测处理参数会是什么。该预测的处理性能由处理漂移分析器114分析,处理漂移分析器114然后与参数调整模块116进行交互。参数调整模块116可以识别处理配方的一个或多个参数以进行调整,因此将该信息作为闭环控制函数(function)提供。
在一个实施方式中,闭环控制110以及检测器模块108和处理性能105可由控制器109控制。在一些实施方式中,控制器109可以以软件或硬件的形式处理这些模块。在其他实施方式中,控制器109简单地与诸如检测器模块108等其他模块连接,以指示从半导体工具600内收集信息。在一些实施方式中,从另一个工具(例如计量工具)检索处理性能105。
可以理解,一旦构造了工具模型,检测器模块108可以用于周期性地或基于一些编程速率检测半导体工具102。基于检测器模块108收集的信息,可以使用工具模型来预测处理性能将是什么。如果处理性能被预测为漂移或可能出现漂移或偏移,则可以对配方的具体参数以编程方式进行调整,以补偿预期的漂移或偏移。以这种方式,可以扩展处理,以使半导体工具102处理更多衬底104,并且衬底104的处理可以在湿式清洁之间整个或基本上整个全部时间段内保持期望的处理性能水平。
在一个实施方式中,参考上述图1描述的控制器109可以包括处理器、存储器、软件逻辑、硬件逻辑以及与等离子体处理系统100通信,监视和控制等离子体处理系统100的输入和输出子系统。控制器109还可以处理一个或多个配方,一个或多个配方包括例如用于操作等离子体处理系统的各种操作参数(例如,电压、电流,频率、压强、流速、功率、温度等)的多个设定点。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的多种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片传送进出工具和其他传送工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为接收指令、发布指令、控制操作、启用清洗操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以多种单独设置(或程序文件)的形式传送到控制器的指令,该设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是工厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室内的工艺。
示例性系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
图12是用于实现实施方式的计算机系统的简化示意图。应当理解,本文描述的方法可以用诸如常规的、通用计算机系统的数字处理系统来执行。在一种替代方案中也可以使用被设计或编程为仅执行一种功能的专用计算机。计算机系统包括中央处理单元(CPU)804,CPU804通过总线810耦合到随机存取存储器(RAM)806,只读存储器(ROM)812和大容量存储设备814。系统控制器程序808驻留在随机访问存储器(RAM)806中,但也可以驻留在大容量存储器814中。
大容量存储设备814表示诸如软盘驱动器或固定盘驱动器之类的持久数据存储设备,其可以是本地的或远程的。网络接口830通过允许与其他设备通信的网络832提供连接。应当理解,CPU 804可以体现在通用处理器,专用处理器或专门编程的逻辑器件中。输入/输出(I/O)接口提供与不同外设的通信,并通过总线810与CPU 804、RAM 806、ROM 812和大容量存储设备814连接。采样外设包括显示器818、键盘822、光标控制824、可移动媒体设备834等。
显示器818被配置为显示本文所述的用户界面。键盘822,光标控制824,可移动媒体设备834以及其它外围设备耦合到I/O接口820,以便将命令选择中的信息传达给CPU804。应当理解,往来于外围设备的数据可以通过I/O接口820传输。实施方式还可以在其中任务由通过有线或无线网络链接的远程处理设备执行的分布式计算环境中实现。
实施方式可以通过包括手持设备,微处理器系统,基于微处理器或可编程消费电子设备、小型计算机、大型计算机等的各种计算机系统配置来实现。实施方式还可以在其中任务由通过网络链接的远程处理设备执行的分布式计算环境中实践。
考虑到上述实施方式,应当理解,实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是需要物理量的物理操纵的操作。构成实施方式一部分的本文描述的任何操作都是有用的机器操作。实施方式还涉及用于执行这些操作的设备或装置。该装置可以特殊构造用于所需用途,例如专用计算机。当定义为专用计算机时,计算机还可以执行不属于特殊用途的部分的其他处理、程序执行或例程,同时仍然能够为特殊用途而运行。替代地,可以由通过计算机存储器、高速缓存中存储的或通过网络获得的一个或多个计算机程序选择性地激活或配置的通用计算机来处理操作。当通过网络获得数据时,数据可以由网络(例如计算资源的云)上的其他计算机处理。
一个或多个实施方式也可以被制造为计算机可读介质上的计算机可读代码。计算机可读介质是可以存储数据的任何数据存储设备,之后数据可以被计算机系统读取。计算机可读介质的示例包括硬盘驱动器,网络连接存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带和其他光学和非光学数据存储设备。计算机可读介质可以包括分布在网络耦合计算机系统上的计算机可读有形介质,使得计算机可读代码以分布式方式被存储和执行。
尽管以特定顺序描述了方法操作,但是应当理解,其他内务操作可以在操作之间执行,或者可以调整操作使得它们以稍微不同的时间发生,或者可以分布在允许以与处理相关联的不同间隔进行处理操作的系统中,只要以期望的方式执行重叠操作的处理即可。
关于用于检测处理室和可消耗部件的方法的更多信息,可以参考于2015年12月7日提交的名称为“Estimation of Lifetime Remaining for Consumable Part in aSemiconductor Manufacturing Chamber”的美国专利申请No.14/961,756,其通过引用并入本文。通过参考申请并入的上述实施方式和特征可以与本文所述的一个或多个特征组合以限定特定实施方式。
尽管前面的实施方式已经为了清楚的理解而被详细描述,但显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。因此,本实施方式被认为是说明性的而不是限制性的,并且实施方式不限于本文给出的细节,而是可以在所附权利要求的范围和等同内容中进行修改。

Claims (18)

1.一种用于操作等离子体处理室的方法,其包括:
(a)在真空下在所述等离子体处理室处理衬底,所述衬底的所述处理产生粘附到所述等离子体处理室的内部区域内的表面上的颗粒残留物;
(b)表征所述衬底的所述处理的性能;
(c)在处理所述衬底之后在不破坏所述真空的情况下检测所述等离子体处理室的内部区域,所述检测被配置为识别所述等离子体处理室的所述内部区域的一个或多个表面上的所述颗粒残留物的特性,所述检测包括捕获所述一个或多个表面的光学数据;以及
(d)产生工具模型以将所述衬底的所述处理的表征性能与表征的颗粒残留物相关联,通过重复(a)-(c)多次来更新所述工具模型;
其中所述工具模型跟踪被检测的所述一个或多个表面中的变化的进展,以改变所述处理的性能;
识别衬底的所述处理的性能的偏移,所述偏移在能接受的性能的窗口之外;
识别用于处理所述衬底的配方的一个或多个参数;
处理环路控制以改变所述配方的所识别的一个或多个参数,以影响所述处理的所述性能以偏移回到可接受的性能的所述窗口内。
2.根据权利要求1所述的方法,其中所述检测还包括捕获所述一个或多个表面的图像数据。
3.一种操作等离子体处理室的方法,其包括:
识别用于处理所述等离子体处理室中的衬底的配方,所述配方识别待供应的化学物质的参数和待用于所述处理的所述等离子体处理室的状态,所述处理被配置为产生预先确定的水平的性能;
用视野影像仪检测所述等离子体处理室的内部区域,以确定所述内部区域内的表面的物理状态;
参考所述等离子体处理室的工具模型,该工具模型进一步与用于处理所述衬底的配方相关联;以及
基于由所述工具模型提供的对应于基于所述检测确定的所述物理状态的信息来调整所述配方的所述参数中的至少一个参数,所述调整被配置为基本上防止在所述预先确定的水平的性能之外的性能的度量中的漂移。
4.根据权利要求3所述的方法,其还包括:
重复用所述视野影像仪检测所述等离子体处理室的所述内部区域以确定所述表面的所述物理状态;
为了重复检测,
参考所述工具模型;以及
确定是否需要调整所述参数中的一个或多个以基本上防止所述性能的所述度量或另一个度量中的所述漂移;以及
处理控制环路以指示控制器调整所述配方的所述参数中的一个或多个。
5.根据权利要求4所述的方法,其中,在不破坏所述等离子体处理室的真空的情况下,进行所述室的所述内部的所述重复检测。
6.根据权利要求4所述的方法,其中,在不破坏所述等离子体处理室的真空的情况下,所述室的所述内部的所述重复检测是在处理一个或多个衬底之间进行的。
7.根据权利要求3所述的方法,其中,检测所述室的内部包括确定所述等离子体处理室的所述内部区域中的两个或更多个表面的物理状态。
8.根据权利要求7所述的方法,其中,所述物理状态
量化沉积在所述两个或更多个表面上的材料的特性,或
量化暴露于所述内部区域的室部件的磨损程度;或
量化沉积的材料的特性和所述室部件的磨损程度两者。
9.根据权利要求8所述的方法,其中,沉积在所述表面上的材料的所量化的所述特性或所述室部件的所量化的磨损程度通过所述工具模型与使用所述配方在所述等离子体处理室中处理所述衬底的预测性能相关联。
10.根据权利要求3所述的方法,其中,所述工具模型是基于所述等离子体处理室的校准而产生的,所述校准包括,
(a)处理所述等离子体处理室中的衬底,所述衬底的所述处理产生粘附到所述等离子体处理室的所述内部区域内的表面的颗粒残留物;
(b)表征所述衬底的所述处理的性能;[计量]
(c)在处理之后检测所述等离子体处理室的所述内部区域以表征所述内部区域的物理属性,所述物理属性涉及粘附到所述表面上的颗粒残余物和/或所述等离子体处理室内的可消耗部件的状态;以及
(d)利用所述表征的性能与所述表征的物理属性之间的相关性来更新所述工具模型,其中,所述(a)-(d)重复多次以优化所述工具模型中的相关性。
11.根据权利要求10所述的方法,其中,所述表征所述处理的所述性能包括使用计量工具从衬底的表面特性检测所述处理的属性。
12.一种等离子体处理系统,其包括:
室,其具有在处理衬底时暴露于等离子体的内部区域,所述内部区域包括所述室的结构的表面和所述室的可消耗部件的表面;
控制器,其与所述室连接,用于控制待在处理所述衬底时使用的配方的处理,所述配方定义待设置用于提供化学物质和设置所述室的状态的多个参数;
检测器模块,其与所述室连接以能够控制视野影像仪,所述视野影像仪被配置为在不破坏所述室的真空的情况下插入到所述室中以检测所述室的所述内部区域,所述检测器模块包括用于控制光朝向所述室的所述内部区域的表面的施加并且检测反射光的光学处理器,所述反射光由光学处理器处理以识别经由所述视野影像仪检测的所述表面上存在的材料的特性;
定位系统,其被配置为将所述视野影像仪移动到所述室的所述内部区域的一个或多个位置,所述定位系统构造成在所述衬底的处理之间将所述视野影像仪移动到所述内部区域中,并且在所述衬底的处理期间将所述视野影像仪移出所述内部区域;
工具模型处理器,其被配置为接收关于所述表面上存在的所述材料的所识别的所述特性的信息以及关于从一个或多个衬底的检测获得的所述配方的处理性能的信息以构建工具模型,所述工具模型保持所述表面上存在的材料的所述特性和有关所述配方的所述处理性能的信息之间的相关性;
其中,当处理一个或多个附加衬底时,所述工具模型通过所述工具模型处理器进行更新。
13.根据权利要求12所述的等离子体处理系统,其中所述检测器模块还包括:
与照相机连接的图像处理器,所述照相机与所述视野影像仪集成,所述照相机被配置为捕获所述室的所述内部区域的表面的图像,并且关于所述表面的捕获的所述图像的信息被添加到所述工具模型,所述工具模型处理器被配置为执行所捕获的所述图像中的两个或更多个的比较,以识别所述室的区域内部的表面的进一步的特性,从捕获的图像识别的所述特性与关于所述配方的所述处理性能的信息相关联。
14.根据权利要求12所述的等离子体处理系统,其中,所述光学处理器被配置为施加在紫外-红外范围内的光,并且所述反射光被所述视野影像仪的传感器捕获,光学检测器用于对所述反射光进行采样以用于由所述光学处理器进行处理。
15.根据权利要求14所述的等离子体处理系统,其中,由所述光学处理器处理的所述反射光被配置为提供对应于预先设定的表面状态的信息。
16.根据权利要求12所述的等离子体处理系统,其中,所述视野影像仪经由隔离阀与所述室连接。
17.根据权利要求12所述的等离子体处理系统,其中,所述控制器被配置为用所述工具模型处理器进行处理或与所述工具模型处理器连接。
18.根据权利要求12所述的等离子体处理系统,其还包括:
用于识别衬底的处理何时漂移在所述配方的可接受的窗口之外的处理漂移分析器。
CN201710657101.XA 2016-08-03 2017-08-03 监测等离子体处理系统和工艺与工具控制的方法和系统 Active CN107689318B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662370658P 2016-08-03 2016-08-03
US62/370,658 2016-08-03

Publications (2)

Publication Number Publication Date
CN107689318A CN107689318A (zh) 2018-02-13
CN107689318B true CN107689318B (zh) 2019-12-06

Family

ID=61071444

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710657101.XA Active CN107689318B (zh) 2016-08-03 2017-08-03 监测等离子体处理系统和工艺与工具控制的方法和系统

Country Status (5)

Country Link
US (2) US10269545B2 (zh)
JP (1) JP7068784B2 (zh)
KR (1) KR102448687B1 (zh)
CN (1) CN107689318B (zh)
TW (1) TWI799385B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019010312A1 (en) * 2017-07-07 2019-01-10 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND METHOD OF OPERATION
KR20200123480A (ko) * 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
US10957521B2 (en) 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
US10818482B2 (en) * 2018-09-27 2020-10-27 Tokyo Electron Limited Methods for stability monitoring and improvements to plasma sources for plasma processing
KR101965605B1 (ko) 2018-11-02 2019-08-13 주식회사 아이브이웍스 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체
US11036202B2 (en) 2018-12-13 2021-06-15 Lam Research Corporation Real-time health monitoring of semiconductor manufacturing equipment
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
GB201916079D0 (en) 2019-11-05 2019-12-18 Spts Technologies Ltd Apparatus and method
US11499869B2 (en) * 2019-11-13 2022-11-15 Applied Materials, Inc. Optical wall and process sensor with plasma facing sensor
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
WO2021150331A1 (en) * 2020-01-23 2021-07-29 Lam Research Corporation Protective coating for a semiconductor reaction chamber
US11894250B2 (en) * 2020-03-31 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for recognizing and addressing plasma discharge during semiconductor processes
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
CN114446748B (zh) * 2020-10-30 2024-05-10 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
US11587765B2 (en) * 2020-11-22 2023-02-21 Applied Materials, Inc. Plasma ignition optimization in semiconductor processing chambers
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
WO2023286142A1 (ja) * 2021-07-13 2023-01-19 株式会社日立ハイテク 診断装置及び診断方法並びにプラズマ処理装置及び半導体装置製造システム
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230185255A1 (en) * 2021-12-14 2023-06-15 Applied Materials, Inc. Post preventative maintenance chamber condition monitoring and simulation
WO2023154663A1 (en) * 2022-02-08 2023-08-17 Lam Research Corporation Evaluation of plasma uniformity using computer vision

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101551834A (zh) * 2008-03-31 2009-10-07 东京毅力科创株式会社 多层/多输入/多输出(mlmimo)模型和使用方法
CN102160164A (zh) * 2008-09-19 2011-08-17 应用材料公司 自我诊断的半导体设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5746835A (en) * 1994-06-02 1998-05-05 Texas Instruments Incorporated Retractable probe system with in situ fabrication environment process parameter sensing
JP3543947B2 (ja) * 2000-05-16 2004-07-21 株式会社日立製作所 リアクタ内堆積膜厚モニタ装置およびドライプロセス処理方法
JP2004529485A (ja) * 2000-10-06 2004-09-24 アプライド マテリアルズ インコーポレイテッド 自動処理検査と階層型基板試験を規定するための方法と装置
US6855929B2 (en) * 2000-12-01 2005-02-15 Ebara Corporation Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
DE60135563D1 (de) * 2001-12-07 2008-10-09 Infineon Technologies Sc300 Anordnung zur Überwachung der Dicke einer Schicht, die an der Innenseite einer Prozesskammer abgeschieden wird
US7084369B2 (en) * 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US7313262B2 (en) * 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20070242269A1 (en) * 2004-03-06 2007-10-18 Michael Trainer Methods and apparatus for determining characteristics of particles
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
JP4344674B2 (ja) * 2004-10-18 2009-10-14 株式会社日立製作所 プラズマ処理装置
JP2006196716A (ja) * 2005-01-14 2006-07-27 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体装置の製造方法
US7750818B2 (en) * 2006-11-29 2010-07-06 Adp Engineering Co., Ltd. System and method for introducing a substrate into a process chamber
JP5117818B2 (ja) * 2007-10-30 2013-01-16 ルネサスエレクトロニクス株式会社 表面加工処理装置又は成膜処理装置の異物検査・解析のための管理装置及び方法
KR101708077B1 (ko) * 2009-06-30 2017-02-17 램 리써치 코포레이션 프로세싱 챔버의 예측 예방 보전을 위한 방법 및 장치
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
SG11201600244XA (en) * 2013-08-12 2016-02-26 Univ Nanyang Tech An apparatus and method for diagnostics of neutral radicals in plasma
KR20150106974A (ko) * 2014-01-29 2015-09-23 세메스 주식회사 기판처리장치 및 방법
KR102410526B1 (ko) * 2015-01-22 2022-06-20 삼성디스플레이 주식회사 플라즈마 발생장치의 오염측정장비

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101551834A (zh) * 2008-03-31 2009-10-07 东京毅力科创株式会社 多层/多输入/多输出(mlmimo)模型和使用方法
CN102160164A (zh) * 2008-09-19 2011-08-17 应用材料公司 自我诊断的半导体设备

Also Published As

Publication number Publication date
CN107689318A (zh) 2018-02-13
KR102448687B1 (ko) 2022-09-28
TWI799385B (zh) 2023-04-21
US20190252163A1 (en) 2019-08-15
KR20180015582A (ko) 2018-02-13
JP7068784B2 (ja) 2022-05-17
US20180040460A1 (en) 2018-02-08
US11276564B2 (en) 2022-03-15
US10269545B2 (en) 2019-04-23
JP2018026558A (ja) 2018-02-15
TW201820937A (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
JP6598745B2 (ja) 半導体製造機器内の消耗部品の摩耗検出
US10847430B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
EP3512977B1 (en) Method and process of implementing machine learning in complex multivariate wafer processing equipment
US20220344184A1 (en) Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2016154224A (ja) 半導体製造チャンバ内の消耗部品の余寿命の推定
US10895539B2 (en) In-situ chamber clean end point detection systems and methods using computer vision systems
US20070201016A1 (en) Method And Apparatus For Seasoning Semiconductor Apparatus Of Sensing Plasma Equipment
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
TW202123353A (zh) 用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統
JP2004207703A (ja) プロセス制御システム及びプロセス制御方法
JP2007088497A (ja) プロセス制御システム、プロセス制御方法およびプロセス処理装置
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
CN116802780B (zh) 自主基板处理系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant