CN101551834A - 多层/多输入/多输出(mlmimo)模型和使用方法 - Google Patents

多层/多输入/多输出(mlmimo)模型和使用方法 Download PDF

Info

Publication number
CN101551834A
CN101551834A CNA2009101336080A CN200910133608A CN101551834A CN 101551834 A CN101551834 A CN 101551834A CN A2009101336080 A CNA2009101336080 A CN A2009101336080A CN 200910133608 A CN200910133608 A CN 200910133608A CN 101551834 A CN101551834 A CN 101551834A
Authority
CN
China
Prior art keywords
data
substrate
etching
subsystem
relevant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101336080A
Other languages
English (en)
Other versions
CN101551834B (zh
Inventor
麦里特·法克
拉哈·桑达拉拉简
李俊华
丹尼尔·帕格
山下朝夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101551834A publication Critical patent/CN101551834A/zh
Application granted granted Critical
Publication of CN101551834B publication Critical patent/CN101551834B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明公开一种多层/多输入/多输出(MLMIMO)模型和使用方法。本发明提供的方法使用多层处理程序和多层/多输入/多输出(MLMIMO)模型和库处理衬底,该方法可以包括一个或者多个掩膜层形成工序、一个或者多个预处理测量工序、一个或者多个局部蚀刻(P-E)工序、一个或者多个最终蚀刻(F-E)工序以及一个或者多个后处理测量工序。

Description

多层/多输入/多输出(MLMIMO)模型和使用方法
技术领域
本发明涉及衬底处理,更具体地,使用优化的多层/多输入/多输出(MLMIMO)模型、工序和子系统来改进衬底处理。
背景技术
蚀刻处理行为固有地是非线性和互相作用的从步骤到步骤(层)或者伴随着处理堆叠被编译(蚀刻/CVD/注入)。利用基于Tokyo ElectronLimited(TEL)室和基本处理的物理建模与来自处理细化的英制数据和测量并调节临界尺寸(CD)的控制相互作用的知识,可以使用多输入多输出非线性模型以递归的方式计算和优化侧壁角度(SWA)、深度、膜厚度、过蚀刻、底切、表面清洁和损坏控制。当前低成本产品使用块硅技术。由于晶体管持续收缩,通道深度的影响正变成临界(超浅源/漏极延伸)。随着SOI膜收缩,栅和/或者间隔的厚度以及SOI膜的厚度的更小的变化可以影响晶体管的性能。当蚀刻工序没有受到控制时,去除栅附近的材料影响电气性能。
当前高性能微处理器使用PD SOI(部分耗尽的硅绝缘体膜上硅)-假定阈值电压为0.2伏特。在栅和/或者间隔减少量可以是总栅/或者间隔厚度的大百分比(10%)的同时PD SOL膜约为50nm。未来一代的SOI膜将称为FD SOL(全耗尽,假定阈值电压为0.08伏特并且厚度为~25nm)。当前,这些膜由于厚度控制均匀性的限制和缺陷不没有生产。通道的移动性随着SOI的厚度降低而下降。利用更薄的SOI膜,栅和/或者间隔隔壁厚度的控制变得更关键。
发明内容
本发明可以提供一种设备和方法,其使用多层/多输入/多输出(MLMIMO)处理程序MLMIMO和评估库实时处理衬底,以控制栅和/或者间隔的厚度以控制栅和/或者间隔的均匀性,并消除对晶体管结构的损坏。
本发明的其它方面将从以下描述和从附图中变得明显。
附图说明
现在将参照示意性附图仅仅通过示例描述本发明的实施例,其中相应的参考标号表示相应的部件,其中:
图1示出根据本发明实施例的处理系统的示例性框图;
图2A-2F示出了根据本发明实施例的蚀刻子系统的示例性框图;
图3A-3F示出了根据本发明实施例的附加蚀刻子系统的示例性框图;
图4图示了根据本发明实施例的负通道场效应晶体管(nFET)结构和正通道场效应晶体管(pFET)结构的示例性视图;
图5示出了根据本发明实施例的示例性多层/多输入/多输出(MLMIMO)模型优化和控制方法的简化框图;
图6图示了根据本发明实施例的多层处理程序的简化框图;
图7图示了根据显影根据本发明实施例的多层/多输入/多输出(MLMIMO)的工序的示例性流程图;
图8图示了根据本发明实施例的示例性实验设计(DOE)表;
图9图示了用于使用根据本发明实施例的MLMIMO的工序的运行流程图;以及
图10图示了与图9的运行流程图有关的示例性模型。
具体实施方式
本发明提供了一种用于处理其上具有大量半导体器件并具有更大量的晶体管栅和/或者间隔结构的衬底的设备和方法。在各种实施例中,提供设备和方法,用于形成和/或者使用MLMIMO评估库,执行可以包括一个或者多个测量工序、一个或者多个沉积工序、一个或者多个局部蚀刻(局部蚀刻)工序、一个或者多个全蚀刻(多蚀刻)工序的MLMIMO处理程序、以及/或者用于验证MLMIMO模型和相关处理程序。
一个或者多个特征可以设置在衬底的各个位置处并可以用来评估和/或者验证MLMIMO模型和相关处理程序。衬底可以具有与其相关的衬底数据,并且衬底数据可以包括实时和历史的数据。此外,衬底可以具有与其相关的其它数据,并且其它数据可以包括栅结构数据、所需位置号、访问位置号、用于一个或者多个位置的置信数据和/或者风险数据、位置排列数据、转移程序数据或者有关处理数据或者评估/验证有关数据或者它们的任何组合。与MLMIMO有关衬底相关的数据可以包括可以用来建立转移衬底的时间和地点的转移程序数据,并且可以使用操作状态数据改变转移程序。
MLMIMO模型可以基于应用需要分成间隔尺寸有限的层。每层可以是物理材料,且层分开由材料变化或者层尺寸界线来表示。各层可以是各层的层组合,诸如金属栅堆叠层,随后对覆盖金属栅层的层进行间隔沉积和蚀刻。
各层可以利用时间或者用来分开步骤的端点数据(EPD)映射到蚀刻步骤。此外,持续实时控制器可以以来自计量数据、传感器和蚀刻模型的组合的实时更新来运行。
在一些实施例中,二次编程(QP)能用于一个或者多个模型。例如,二次编程能用来如下所示建模中心蚀刻偏差(CEB);
CEB=0.480832332*DI(CD)-1.1216477*PhotoSWA+0.173238994*u(1)
+0.00448732*U(1)2-0.04*U(2)2-1.99*u(3)+1.3*u(4)+81.935
其中,u(1)是局部蚀刻时间,u(2)是用于局部步骤的O2流量,并且u(3)是用于蚀刻步骤的O2流量,u(4)是用于局部蚀刻步骤的边缘衬底温度。
当使用二次编程时,如下所示确定目标函数和约束:
Figure A20091013360800101
( t arg etECDB - ECDB t arg etECDB ) 2 w 3 + ( t arg etESWAB - ESWAB t arg etESWAB ) 2 w 4 }
其中[w1,w2,w3,w4=[0.6,0.1.0.2.01]]
并且,以下示出不等式约束:
5≤u(1)≤50
5≤u(2)≤20
2≤u(3)≤10
45≤u(4)≤65
在一些实施例中,可以执行DOE’s以建模每个潜在控制旋纽的处理增益和每层的输入和输出的相互作用,以及处理控制环层到层的相互作用和增益。确定每个控制旋纽和输出之间的相互作用的方法能用来评估和优化诸如相对增益阵列的模型稳定性。此信息还可以驱动非相互作用的个别反馈环。
例如,MATLAB能用来计算一个或者多个相对增益阵列(RGA)(Skogestad and Postlethwaite,2005),其中 RGA = G ⊗ ( G - 1 ) T , 并且
Figure A20091013360800112
表示项与项相乘。此外,可以使用以下配对规则:
(1)选择RGA项以使其最接近1,并且
(2)避免负RGA项上的配对。
基于单环控制与多变量控制的比较,在处理控制多变量应用中使用分析装置;其表示为输入输出变量对之间的的单环行为的测量与在一些多变量控制的理想化的情况下相同输入输出对的行为的有关测量的比率的阵列(对于所有可能的输入输出对)。
针对一组目标(或者目标输出)使用MLMIMO建模来计算最佳输入。约束可以是诸如时间、气体流量和层温度的处理参数的范围。对于MLMIMO,可以在给定的时间应用一组权重以引导优化器用最值将输出进行区分优先次序到当前处理计算。可以在方程式应用到权重计算的情况下使用目标权重,目标可以是中心目标或者限制目标(例如,对于SWA,高于给定值)。
反馈可以采取多环的形式,反馈用于每个目标输出,且基于实际较小的预定误差来计算反馈误差。对于MLMIMO,每个预定输出误差需要被计算并与反馈测量匹配以确定实际误差。可以使用反馈滤波方法(诸如指数权重移动均值(EWMA)或者卡尔曼滤波器)来滤去噪声。层控制器的输出可以包括适合度(goodness of fit,GOF),并且此GOF然后用作层叠层控制器的输入。
衬底可以被分割到一个或者多个上边缘区域、一个或者多个中心区域和一个或者多个下边缘区域。
随着处理步骤被执行,层控制器可以包括不同时间点的更新,这允许控制器基于过去的计算、计算的误差、工具状态或者材料状态的改变进行新的更新,然后结合到最近的更新中。
在一些示例中,接收到的衬底可以具有通过之前的处理或者外部系统而沉积在上面的图案化光刻胶层,并且MLMIMO处理程序可以包括一个或者多个转移工序、一个或者多个计量工序、一个或者多个局部蚀刻工序、一个或者多个全蚀刻工序、一个或者多个数据评估工序以及一个或者多个建模程序。例如,可以基于需要局部蚀刻处理的衬底的数量、需要全蚀刻处理的衬底的数量、可用处理元件的数量以及需要评估的衬底的数量、可用评估元件的数量以及用于一个或者多个转移子系统的加载数据来建立转移程序。
随着特征尺寸下降到65nm节点以下,精确的处理和/或者测量数据变得更重要和更难以获得。MLMIMO模型和相关处理程序可以用来更精确地处理和/或者测量这些超小器件和特征。来自MLMIMO工序的数据可以与告警和/或者控制限制比较,当违反运行规则时,产生警报以表示处理问题,并且可以实时地处理校正工序。
图1示出了根据本发明实施例的处理系统的示例性框图。在图示性实施例中,处理系统100包括光刻子系统110、扫描器子系统120、蚀刻子系统130、沉积子系统140、检测子系统150。计量子系统160、转移子系统170、制造执行子系统(MES)180、系统控制器190和存储/数据库195。单个子系统(110、120、130、140、150、160和170)示出在图示的实施例中,但是这不是本发明必需的。在一些实施例中,多个子系统(110、120、130、140、150、160和170)可以用在处理系统100中。此外,子系统(110、120、130、140、150、160和170)中的一个或者多个可以包括一个或者多个可以用在MLMIMO模型和相关处理程序中的处理元件。
系统控制器190可以使用数据转移子系统191连接到光刻系统110、扫描器子系统120、蚀刻子系统130、沉积子系统140、检测子系统150、计量子系统160和转移子系统170。系统控制器190可以使用数据转移子系统181连接到MES180。可选地,可以使用其它构造。例如,蚀刻子系统130、沉积子系统140、计量子系统160和一部分转移子系统170可以是从Tokyo Electron Limited购买的TactrasTM的部件。
光刻子系统110可以包括一个或者多个转移/存储元件112、一个或者多个处理元件113、一个或者多个控制器114以及一个或者多个评估元件115。转移/存储元件112中的一个或者多个可以连接到处理元件113中的一个或者多个以及/或者评估元件115中的一个或者多个,并且可以连接111到转移子系统170。转移子系统170可以连接111到光刻子系统110,并且一个或者多个衬底105可以实时在转移子系统170和光刻子系统110之间转移。例如,转移子系统170可以连接到转移/存储元件112中的一个或者多个、连接到处理元件113中的一个或者多个,以及/或者连接到评估元件115中的一个或者多个。控制器114中的一个或者多个可以连接到转移/存储元件112中的一个或者多个、连接到处理元件113中的一个或者多个、以及/或者评估元件115中的一个或者多个。
在一些实施例中,光刻子系统110可以使用各工序和/或者各工序在一个或者多个衬底上执行涂覆工序、热处理工序、测量工序、检测工序、对准工序和/或者存储工序。例如,可以使用一个或者多个光刻有关处理来沉积一个或者多个掩膜层,该掩膜层可以包括光刻胶材料和/或者抗反射涂覆(ARC)材料,并可以使用一个或者多个光刻有关处理来对掩膜层中的一个或者多个进行热处理(烘焙)。此外,可以使用光刻子系统110来在衬底中的一个或者多个上显影、测量和/或者检测图案化的掩膜层中的一个或者多个。
扫描器子系统120可以包括一个或者多个转移/存储元件122、一个或者多个处理元件123、一个或者多个控制器124以及一个或者多个评估元件125。转移/存储元件122中的一个或者多个可以连接到处理元件123中的一个或者多个,并且/或者连接到评估元件125中的一个或者多个,并可以连接121到转移子系统170。转移子系统170可以连接121到扫描器子系统170,并且一个或者多个衬底105可以实时地在转移子系统170和扫描器子系统120之间转移121。例如,转移子系统170可以连接到转移/存储元件122中的一个或者多个,连接到处理元件123中的一个或者多个以及连接到评估元件125中的一个或者多个。控制器124中的一个或者多个可以连接到转移/存储元件122中的一个或者多个、连接到处理元件123中的一个或者多个以及连接到评估元件125中的一个或者多个。
在一些实施例中,扫描器子系统120可以用来执行湿式和/或者干式暴露工序,并且在其它情况下,扫描器子系统120可以用来执行超紫外(EUV)暴露工序。
蚀刻子系统130可以包括一个或者多个转移/存储元件132、一个或者多个处理元件133、一个或者多个控制器134以及一个或者多个评估元件135。转移/存储元件132中的一个或者多个可以连接到处理元件133中的一个或者多个,并且/或者连接到评估元件135中的一个或者多个,并可以连接131到转移子系统170。转移子系统170可以连接131到蚀刻子系统130,并且一个或者多个衬底105可以实时在转移子系统170和蚀刻子系统130之间转移。例如,转移子系统170可以连接到转移/存储元件132中的一个或者多个、连接到处理元件133中的一个或者多个、以及/或者连接到评估元件135中的一个或者多个。控制器134中的一个或者多个可以连接到转移/存储元件132中的一个或者多个、连接到处理元件133中的一个或者多个以及/或者连接到评估元件135中的一个或者多个。例如,处理元件133中的一个或者多个可以用来执行等离子体或者非等离子体蚀刻、灰化和清洁工序或者等离子体或者非等离子体蚀刻工序。评估工序和/或者检测工序可以用来测量和/或者检测衬底的一个或者多个表面和/或者层。蚀刻子系统130可以如图2A-2F和图3A-3F中所描述的构造。
沉积子系统140可以包括一个或者多个转移/存储元件142、一个或者多个处理元件143、一个或者多个控制器144和一个或者多个评估元件145。转移/存储元件142中的一个或者多个可以连接到处理元件143中的一个或者多个,并且/或者连接到评估元件145中的一个或者多个,并连接连接141到转移子系统170。转移子系统170可以连接141到沉积子系统140,并且一个或者多个衬底105可以实时在转移子系统170和沉积子系统140之间转移141。例如,转移子系统170可以连接到转移/存储元件142中的一个或者多个、连接到处理元件143中的一个或者多个、以及/或者连接到评估元件145中的一个或者多个。控制器144中的一个或者多个可以连接到转移/存储元件142中的一个或者多个、连接到处理元件143中的一个或者多个、以及/或者连接到评估元件145中的一个或者多个。例如,处理元件143中的一个或者多个可以用来执行物理蒸气沉积(PVD)工序、化学气相沉积(CVD)工序、离子化物理蒸气沉积(iPVD)工序个/或者等离子体增强化学气相沉积(PECVD)工序。评估工序和/或者检测工序可以用来测量和/或者检测衬底中的一个或者多个。
检测子系统150可以包括一个或者多个转移/存储元件152、一个或者多个处理元件153、一个或者多个控制器154以及一个或者多个评估元件155。转移.存储元件152中的一个或者多个可以连接到处理元件153中的一个或者多个,并且/或者连接到评估元件155中的一个或者多个,并且可以连接151到转移子系统170。转移子系统170可以连接151到检测子系统150,并且一个或者多个衬底105可以实时在转移子系统170和检测子系统150之间转移151。例如,转移子系统170可以连接到转移/存储元件152中的一个或者多个、连接到处理元件153中的一个或者多个、以及/或者连接到评估元件155中的一个或者多个。控制器154中的一个或者多个可以连接到转移/存储元件152中的一个或者多个、连接到处理元件153中的一个或者多个、以及/或者连接到评估元件155中的一个或者多个。
计量子系统160可以包括一个或者多个转移/存储元件162、一个或者多个处理元件163、一个或者多个控制器164以及一个或者多个评估元件165。转移/存储元件162中的一个或者多个可以连接到处理元件163中的一个或者多个,以及/或者连接到评估元件165中的一个或者多个,并且可以连接161到转移子系统170。转移子系统170可以连接到计量子系统160,并且一个或者多个衬底105可以实时在转移子系统170和计量子系统160之间转移。例如,转移子系统170可以连接到转移/存储元件162中的一个或者多个、连接到处理元件163中的一个或者多个、以及/或者评估元件165中的一个或者多个。控制器164中的一个或者多个可以连接到转移/存储元件162中的一个或者多个、连接到处理元件163中的一个或者多个、以及/或者评估元件165中的一个或者多个。计量子系统160可以包括一个或者多个处理元件163,还处理元件163可以用来执行实时光学计量工序,该实时光学计量工序可以用来使用基于库的或者基于回归的技术在衬底上的一个或者多个位置处测量目标结构。例如,衬底上的位置可以包括MLMIMO位置、目标位置、覆盖位置、对准位置、测量位置、验证位置、检测位置或者损坏评定位置、或者它们的任何组合。例如,一个或者多个“金色衬底”或者基准芯片可以被周期性地存储和使用以验证处理元件163中的一个或者多个元件和/或者评估元件165中的一个或者多个元件的性能。
在一些实施例中,计量子系统160可以包括集成光学数字轮廓测定(iODP)元件(未示出),并且iODP元件/系统可从Tombre TechnologiesInc.(TEL公司)购得。可选地,可以使用其它计量系统。例如,可以使用iODP技术来获得实时数据,该实时数据可以包括临界尺寸(CD)数据、栅结构数据和厚度数据,并且用于iODP数据的波长范围可以从小于约200nm到大于约900nm。示例性iODP元件可以包括ODP轮廓库元件、轮廓应用服务器(PAS)元件和ODP轮廓软件元件。ODP轮廓库元件可以包括光谱的特定应用数据库和器相应的半导体轮廓、CD和膜厚度。PAS元件可以包括至少一个计算机,该计算机与光学硬件和计算机网络连接。PAS元件可以被构造成提供数据通信、ODP库操作、测量处理、结果产生、结果分析和结果输出。ODP轮廓软件元件可以包括安装在PAS元件上以管理测量管理配方、ODP轮廓库元件、ODP轮廓数据、ODP轮廓搜索/匹配结果、ODP轮廓计算/分析结果、数据通信以及到各种计量元件和计算机网络的PAS接口的软件。
计量子系统160可以使用极化反射计、光谱分析椭圆测量计、反射计、或者其它光学测量技术以测量精确的器件轮廓、精确的CD和衬底的多层膜厚度。可以执行集成计量处理(iODP)作为集成组的子系统的集成处理。此外,集成处理消除了损坏衬底的需要以为来自外部系统的数据执行分析或者等待长的时段。可以将iODP技术用于现有的薄膜计量系统以进行成线轮廓(inline profile)和CD测量,并且能与TEL处理系统和/或者光刻系统集成以提供实时处理监视和控制。可以通过应用麦克斯维尔方程式和使用数值分析技术来求解麦克斯维尔方程式来产生模拟计量数据。
转移子系统170可以包括转移元件174,该转移元件174连接到可以用来接收衬底、转移衬底、对准衬底、存储衬底和/或者延迟衬底的的转移轨道(175、176和177)。例如,转移元件174可以支撑两个或者更多个衬底。可选地,可以使用其它转移装置。转移子系统170可以基于MIMIMO模型、MLMIMO有关处理程序、转移程序、操作状态、衬底和/处理状态、处理时间、当前时间、衬底数据、衬底上位置号、衬底上位置的类型、所需位置号、完成位置号。剩余位置号或者置信数据或者它们的任何组合来加载、转移、存储和/或者卸载衬底。
在一些示例中,转移子系统170可以使用加载数据来确定转移衬底的时间和地点。在其它示例中,转移系统可以使用MLMIMO建模数据来确定转移衬底的时间和地点。可选地,可以使用其它工序。例如,当衬底的第一数量小于或者等于可用处理元件的第一数量时,可以使用转移系统170将第一数量的子系统转移到子系统中的一个或者多个子系统中的第一数量的可用处理元件。当衬底的第一数量大于可用处理元件的第一数量时,使用转移/存储元件(112、122、132、142、152和162)中的一个或者多个以及/或者转移子系统170来存储和/或者延迟一些衬底。
此外,当执行光刻有关工序、扫描器有关工序、检测有关工序、测量有关工序、评估有关工序、蚀刻有关工序、沉积有关工序、热处理有关工序、涂覆有关工序、对准有关工序、研磨有关工序、存储有关工序、转移工序、清洁有关工序、再加工有关工序、氧化有关工序、氮化有关工序或者外部处理元件或者它们的任何组合时使用一个或者多个子系统(110、120、130、140、150、160和170)。
可以针对子系统(110、120、130、140、150、160和170)建立操作状态数据,并且可以通过MLMIMO有关处理程序使用和/或者更新操作状态数据。此外,可以针对转移/存储元件(112、122、132、142、152和162)、处理元件(113、123、133、143、153和163)和评估元件(115、125、135、145、155和165)建立操作状态数据并可以通过MLMIMO有关工序来更新操作状态数据。例如,用于处理元件的操作状态数据可以包括可用数据、用于处理元件的匹配数据、用于一些处理步骤和/或者位置的预期处理时间、用于处理元件的置信数据和/或者风险数据或者用于一个或者多个MLMIMO有关工序的置信数据和/或者风险数据。可以通过实时查询一个或者多个处理元件和/或者一个或者多个子系统获得更新的操作状态。可以通过实时查询一个或者多个转移元件和/或者一个或者多个转移子系统来获得更新的加载数据。
控制器(114、124、134、144、154和164)中的一个或者多个可以使用数据转移子系统191连接到系统控制器190,并且/或者连接到彼此。可选地,可以使用其它连接构造。控制器可以串联和/或者并联连接,并可以具有一个或者多个输入端口和/或者一个或者多个输出端口。例如,控制器可以包括具有一个或者多个核心处理元件的微处理器。
此外,子系统(110、120、130、140、150、160和170)可以使用企业内部互联网、互联网、有线和/或者无线连接来连接到彼此和连接到其它器件。控制器(114、124、134、144和190)可以根据需要连接到外部器件。
当执行实时MLMIMO有关工序时可以使用控制器(114、124、134、144、154、164和190)中的一个或者多个。控制器可以从MLMIMO模型接收实时数据以更新子系统、处理元件、处理、配方、轮廓、图像、图案、模拟、程序数据和/或者模型数据。控制器(114、124、134、144、154、164和190)中的一个或者多个可以用来与管理执行系统(MES)180或者其它系统(未示出)交换一个或者多个半导体设备通信标准(SECS)信息、读取和/或者去除信息、正向输出和/或者反馈信息和/或者发送作为SECS信息的信息。格式化的信息中的一个或者多个可以在控制器之间交换,并且控制器可以实时处理信息并提取新的数据。当新的数据可用时,可以实时地使用新的数据以更新模型和/或者当前被用于衬底的工序和/或者批次。例如,当在查看当前布局之前可以更新模型和/或者工序时,可以使用更新的模型和/或者工序来查看当前布局。当在处理当前布局之前未执行更新时,可以使用未更新的模型和/或者工序来查看当前布局。此外,当改变光刻胶时,当改变光刻胶模型时,当改变处理程序时,当改变设计规则时或者当改变布局时,可以使用格式化信息。
在一些示例中,MES180可以被构造成实时监视一些子系统和/或者系统处理,工厂水平干涉和/或者判断规则可以用来确定监视哪个处理以及可以使用哪个数据。例如,工厂水平干涉和/或者判断规则可以用来判断当发生MLMIMO有关错误条件时如何管理数据。MES180可以提供建模数据、处理程序数据和/或者衬底数据。
此外,控制器(114、124、134、144、154、164和190)可以根据需要包括存储器(未示出)。例如,存储器(未示出)可以用于要由控制器执行的信息和指令,并且可以用于在处理系统100中的各种计算机/处理器执行指令过程中存储暂时变量或者其它中间信息。控制器(114、124、134、144、154、164和190)或者其它系统部件中的一个或者多个可以包括用于从计算机可读介质读取数据和/或者指令的装置,并可以包括用于将数据和/或者指令写到计算机可读介质的装置。
处理系统100可以响应于处理系统中的计算机/处理器执行包含在存储器中并且/或者接收在信息中的一个或者多个指令中的一个或者多个程序来执行本发明的处理步骤的一部分或者所有部分。这样的指令可以从另一计算机、计算机可读介质或者网络连接中接收。
在一些实施例中,使用来自Tokyo Electron Limited(TEL)的系统部件构造集成系统,并且外部子系统和/或者工具可以包括在内。例如,测量元件可以设置成可以包括CD扫描电子显微镜检测(CDSEM)系统、传输电子显微镜检测(TEM)系统、聚焦离子束(FIB)系统、光学数字轮廓测定(ODP)系统、原子力显微镜检测(AFM)系统或者另一光学计量系统。子系统和/或者处理元件可以具有不同的接口要求,并且控制器可以被构造成满足这些不同的接口要求。
子系统(110、120、130、140、150、160和170)中的一个或者多个可以执行控制应用、图形使用者接口(GUI)应用、和/或者数据库应用。此外,子系统(110、120、130、140、150、160和170)和/或者控制器(114、124、134、144、154、164和190)中的一个或者多个可以包括实验设计(DOE)应用、先进的处理控制(APC)应用、缺陷检测和分类(FDC)应用和/或者从运行到运行(R2R)应用。
来自MLMIMO建模工序的输出数据和/或者信息可以用在随后工序以优化处理精度和准确度。数据可以实时传递到MLMIMO有关工序作为实时变量参数,覆盖当前模型值并简化DOE表。实时数据可以用于基于库的系统、或者基于回归的系统或者它们的任何组合以优化MLMIMO有关工序。
当使用基于回归的库形成工序时,可以将测量的MLMIMO模型有关数据与模拟的MLMIMO模型有关数据进行比较。可以基于处理有关参数组迭代产生模拟MLMIMO数据以获得用于该组处理有关参数的收敛值,与测量的MLMIMO模型有关数据相比,该组处理有关参数产生最接近匹配的模拟MLMIMO模型有关数据。当使用基于库的处理时,可以使用MLMIMO模型有关工序、配方、轮廓和/或者模型来产生和/或者增强MLMIMO模型有关库。例如,MLMIMO模型有关库可以包括模拟和/或者测量的MLMIMO有关数据和相应组的处理程序数据。可以实时执行基于回归和/或者基于库的处理。用于产生用于MLMIMO有关库的数据的可选工序可以包括使用机械学习系统(MLS)。例如,在产生MLMIMO有关库之前数据之前,可以使用公知的输入和输出数据训练MLS,并且可以用MLMIMO有关库数据的子集训练MLS。
MLMIMO模型可以包括遇到匹配情形的任何时候都执行的干涉和/或者判断规则。可以基于历史工序、基于客户的经验或者处理知识建立或者从主机计算机获得干涉和/或者判断规则和/或者限制。在缺陷检测和分类(FDC)工序中可以使用规则以确定如何响应警报情况、错误情况、缺陷情况和/或者警告情况。基于规则的FDC工序对缺陷区分优先程序和分类、预测系统性能、预测预防性维护安排、降低停修时间和延长系统中消耗部件的工作寿命。响应于警报/缺陷可以发生各种作用,并且在警报/缺陷上发生的作用可以是基于情形的,并且情形数据可以由规则、系统/处理配方、室类型、识别号、负载端口号、盒子号、批次号、控制任务ID、处理任务ID、槽号和/或者数据的类型来指定。
当超过限制时,不成功的工序或者处理程序可以报告失败,并且当正接近限制时,成功的工序可以形成警告信息。用于工序错误的预指定失败动作可以存储在数据库中,并且当发生错误时可以从数据库恢复。例如,当测量工序失败时,MLMIMO有关工序可以抛弃用于衬底的一个或者多个位置的数据。
MLMIMO模型可以用来在不同的时间和/或者位置形成、修改和/或者评估隔离的和/或者嵌套的结构。例如,栅堆叠尺寸和衬底厚度数据可以在隔离和/或者嵌套结构附近不同,并且栅堆叠尺寸和衬底厚度数据可以在开口区域和/或者沟槽阵列区域附近不同。MLMIMO模型可以为隔离和/或者嵌套结构形成优化数据以更新和/或者优化处理配方和/或者处理时间。
MLMIMO模型可以使用端点检测(EPD)数据和处理时间数据以改进精度。当EPD数据用来停止蚀刻工序时,EPD时间数据和处理率数据可以用来估计蚀刻量和/或者用来估计厚度。
在各种示例中,MLMIMO模型有关限制,可以通过在“金色”处理室执行MLMIMO模型有关工序来获得,可以是存储在库中的历史数据,可以通过执行验证的沉积工序来获得,可以从MES180获得,可以是模拟数据,并且可以是预测的数据。局部蚀刻工序限制,可以通过在“金色”处理室中执行局部蚀刻工序来获得,可以是存储在库中的历史数据,可以通过执行验证的局部蚀刻工序来获得,可以从MES180获得,可以是模拟数据并且可以是预测的数据。局部蚀刻工序限制,可以通过在“金色”处理室中执行COR蚀刻工序来获得,可以是存储在库中的历史数据,可以通过执行验证的局部蚀刻工序来获得,可以从MES180来获得,可以是模拟数据,并且可以是预测的数据。
图2A-2F示出了根据本发明实施例的蚀刻子系统的示例性框图。
第一示例性蚀刻子系统200A在图2A中示出,并且图示的蚀刻子系统200A包括等离子体处理室210、衬底保持器220,要被处理的衬底225附着在该衬底保持器220上、气体喷射系统240以及真空泵送系统257。例如,衬底保持器220可以使用基体229连接到等离子体处理室210,并从等离子体处理室210隔离。衬底225可以例如是半导体衬底、工作件或者液晶显示器(LCD)。例如,等离子体处理室210可以被构造成促进在衬底225的表面邻近的处理区域245中产生等离子体,在那里等离子体经由加热的电子和可电离的气体之间的碰撞而形成。可电离的气体或者气体混合物经由气体喷射系统240引入,并且处理压力得到调节。期望地,利用等离子体来形成预定材料处理专用的材料,并且辅助该材料沉积到衬底225或者材料从暴露的衬底225的表面去除。例如,控制器255可以用来控制真空泵送系统257和气体喷射系统240。
衬底225可以例如通过槽阀(未示出)和室给进孔(chamber feed-through)(未示出)经由机械手转移系统转移进出等离子体处理室210,在机械手转移系统,衬底被容纳在衬底保持器220内的衬底升降销(未示出)接收并由容纳在其中的装置以机械的方式平移。在衬底225从转移系统接收之后,衬底降低到衬底保持器220的上表面。
例如,衬底225可以经由静电夹持系统(未示出)附着到衬底保持器220。此外,衬底保持器220还可以包括温度控制系统228。此外,气体可以经由双(中心/边缘)背侧气体系统226输送到衬底的背侧以提高衬底225和衬底保持器220之间间隙-间隙导热性。当在升高或者降低的温度下需要附加的衬底温度控制时,利用双(中心-边缘)背侧气体系统。例如,衬底的温度控制可以在温度超过由于从等离子体输送到衬底225的热通量和通过到衬底保持器220的传导而从衬底225移开的热通量之间的平衡而实现的稳态温度时有用。在其它实施例中,诸如电阻加热元件的加热元件或者热电加热器/冷却器可以包括在内。
如图2A所示,衬底保持器220包括下电极221,射频(RF)功率可以通过下电极221耦合到处理区域245中的等离子体。例如,下电极221可以在RF电压下经由从RF产生器230通过电抗匹配网络232到下电极221的RF功率的传输而被电气偏置。RF偏置可以用于加热电子以形成和维持等离子体。用于RF偏置的通常的频率可以从1MHz到100MHz的范围,并且优选为13.56MHz。
可选地,RF功率可以多重频率施加到下电极221。此外,电抗匹配网络232用于通过使反射的功率最小化来使RF功率到处理室210中的等离子体的传输最大化。可以利用各种匹配网络拓扑和自动控制方法。
继续参照图2A,处理气体可以通过气体喷射系统240引入到处理区域245中的一个或者多个区域。处理气体例如可以包括诸如氩、CF4和O2或者用于氧化蚀刻应用的氩、C4F8和O2的气体或者诸如例如O2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、Hbr的其它化学物质的混合物。气体喷射系统240可以被构造使引入到衬底225的污染物降低或者最小化,并可以包括气体喷射增压室241和多孔喷头气体喷射板242。例如,处理气体可以从气体输送系统(未示出)供应。气体喷射系统240可以提供不同的流率到处理区域245的不同区域。可选地,气体喷射系统240可以提供不同的处理气体到处理区域245的不同的区域。
例如,真空泵送系统257可以包括涡轮分子真空泵(TMP)258,其能具有每秒高达5000升的泵送速度(和更大),还包括用于控制室压力的门阀259。在用于干燥等离子体蚀刻处理的传统等离子体处理装置中,通常采用每秒1000至3000升TMP。TMP用于低压处理,通常低于50mTorr。在更高的压力,TMP泵送速度急剧下降。对于高压处理(即,大于100mTorr),可以使用机械增压泵和干燥初级泵。此外,用于监视室压力(未示出)的装置可以连接到处理室210。压力测量装置例如可以是从MKS Instruments,Inc.(Andover,MA)购买的628B Baraton类型的绝对电容压力计。
如图2A所述,蚀刻子系统200A可以包括连接到等离子体处理室210以获得性能数据的一个或者多个传感器250以及连接到传感器250以接收性能数据的控制器255。传感器250可以包括等离子体处理室210内部的传感器和等离子体处理室210内部的传感器两者。内部的传感器可以包括属于等离子体处理室210的功能(诸如,氦背侧气体压力、氦背侧流量、静电夹持(ESC)电压、ESC电流、衬底保持器220温度(或者下电极(LEL)温度)、冷却剂温度、上电极(UEL)温度、正向RF功率、反射RF功率、RF自感应DC偏置、RF峰对峰电压、室壁温度、处理气体流率、处理气体起始压力、室压力、电容器设定(即,C1和C2的位置)、聚焦环厚度、RF小时、聚焦环RF小时和它们的任何统计的测量)的那些传感器。可选地,外部的传感器可以包括用于监视从图2A所示的处理区域245中的等离子体发射的光的一个或者多个光学装置234和/或者用于监视图2A所示的等离子体处理室210的电气系统的一个或者多个电气测量装置236。光学装置234可以包括能用作端点检测器(EPD)并能提供EPD数据的光学传感器。例如,可以使用光学发射光谱(OES)传感器。
电气测量装置236可以包括电流和/或者电压探针、功率计或者光谱分析仪。例如,电气测量装置236可以包括RF电抗分析仪。此外,诸如电压或者电流的时间轨迹的电气信号的测量允许使用离散的傅立叶系列表示将该信号转换成频域(假定周期信号)。此后,傅立叶光谱(或者对于时间变化的信号,频率光谱)可以被监视和分析以表征等离子体的状态。在可选的实施例中,电气测量装置236可以包括用于测量等离子体处理室210外部的辐射RF场的宽带RF天线。
控制器255可以包括微处理器、存储器和数字I/O端口(潜在地包括D/A和/或者A/D转换器),I/O端口能产生足以通信和启动到蚀刻子系统200的输入以及监视来自蚀刻子系统200的输出的控制电压。如图2A所示,控制器255可以连接到第一RF产生器230、电抗匹配网络232、气体喷射系统240、真空泵送系统257、背侧输送系统226、温度控制系统228、光学装置234、电气测量装置236和传感器250,并与它们交换信息。存储在存储器中的程序被用来根据存储的处理配方与蚀刻子系统200的前述部件互相作用。
在图2B所示的示例性实施例中,蚀刻子系统200B可以类似于图2A的实施例,并且除了参照图2A描述的那些部件之外还包括静止的以机械方式或者电气方式旋转的磁场系统260,以为了潜在地增大等离子体密度和/或者提高等离子体处理均匀性。此外,控制器255可以连接到磁场系统260以为了调节旋转的速度和场强度。旋转磁场的设计和实施对本领域的技术人员是公知的。
在图2所示的实施例中,蚀刻子系统200C可以类似于图2A或者图2B的实施例,并且还可以包括上电极270,RF功率可以从RF产生器272通过可选的电抗匹配网络274耦合到上电极270。用于RF功率施加到上电极的频率可以从约0.1MHz到约200MHz的范围。此外,用于功率施加到下电极221的频率可以从约0.1MHz到约100MHz的范围。此外,控制器255可以耦合到RF产生器272和电抗匹配网络274,以为了控制RF功率施加到上电极170。上电极的设计和实施对本领域的技术人员是公知的。上电极270和气体分配系统240可以如所示连接到彼此。
在图2D所示的实施例中,蚀刻子系统200D可以类似于图2A混入图2B的实施例,并且还可以包括感应线圈280,RF功率可以经由RF产生器282通过可选的电抗匹配网络284耦合到感应线圈280。RF功率从感应线圈280通过介电窗(未示出)感应耦合到等离子体处理区域245。用于RF功率施加到感应线圈280的频率可以在从约10MHz到约100MHz的范围。类似地,用于功率施加到下电极221的频率可以在从约0.1MHz到约100MHz的范围。此外,可以采用槽形法拉第屏蔽(未示出)来降低感应线圈280和等离子体之间的电容耦合。此外,控制器255可以连接到RF产生器282和电抗匹配网络284,以为了控制功率施加到感应线圈280。
在可选的实施例(未示出)中,“螺旋”线圈或者“扁平”线圈构造可以用于感应线圈。感应耦合等离子体(ICP)源或者变压器耦合等离子体(TCP)源的设计和实施对于本领域的技术人员是公知的。
在图2E所示的实施例中,蚀刻子系统200E可以例如类似于图2A、图2B、图2C和图2D的实施例,并且还可以包括构造成将RF功率通过另一可选的电抗匹配网络237耦合到衬底保持器220的第二RF产生器235。用于RF功率施加到衬底保持器220的通常频率是在从约0.1MHz到约200MHz的范围以用于第一RF产生器230或者第二RF产生器235或者两者。用于第二RF产生器235的RF频率可以比用于第一RF产生器230的RF频率比较大。此外,从第一RF产生器230到衬底保持器220的RF功率可以被幅度调制,从第二RF产生器235到衬底保持器220的RF功率可以被幅度调制,或者两个RF功率可以被幅度调制。期望地,更高RF频率处的RF功率被幅度调制。此外,控制器255可以连接到第二RF产生器235和电抗匹配网络237,以为了控制RF功率施加到衬底保持器220。用于衬底保持器的RF系统的设计和实施对本领域的技术人员是公知的。
在图2F所示的实施例中,蚀刻子系统200F可以类似于图2A和图2E的实施例,并且还包括表面波等离子体(SWP)源285。SWP源285可以包括诸如径向线槽缝天线(RLSA)的槽缝天线,微波功率经由微波产生器286通过可选的电抗匹配网络287耦合到槽缝天线。
图3A至图3F示出了用于根据本发明实施例的蚀刻子系统的附加实施例。图3A至图3F图示了类似于图2A至图2F所示的示例性蚀刻子系统200A-200F的示例性蚀刻子系统300A-300F,但是蚀刻子系统300A-300F包括至少一个DC电极305和至少一个DC源306。
在图案化蚀刻过程中,通常利用干式等离子体蚀刻处理,并且通过将诸如射频(RF)功率的电磁(EM)能量耦合到处理气体以为了加热电子并造成随后的处理气体的原子和/或者分子的成分的电离化和分裂来从处理气体形成等离子体。此外,负高压直流(DC)电力可以耦合到等离子体处理系统中以为了形成在RF循环的一部分(即,耦合RF功率的正半个循环)期间撞击衬底表面的高能(弹道的)电子束。已经观测到,弹道的电子束可以通过例如提高下覆的薄膜(要被蚀刻)和掩膜层之间的蚀刻选择性,降低诸如电子遮蔽损失的充电损失等来增强干式等离子体蚀刻处理的性能。关于弹道电子束的产生的附加细节在序列号为11/156,559、题为“Plasma processing apparatus and mehod”并作为美国专利申请号2006/0037701A1出版的审查未决的美国专利中公开;其全部内容通过引用全部结合于此。一般地,弹道电子束可以用如图3A至图3F所示的各种类型的等离子体处理系统来实现。
DC电极305可以包括含硅材料和/或者掺杂含硅材料。DC源306可以包括可变DC电源。附加地,DC源306可以包括双极DC电源。DC源306还可以包括构造成执行监视、调节或者控制极性、电流、电压和/或者DC电源306的开/关状态中至少一者的系统。一旦形成等离子体,DC源306促进弹道电子束的形成。可以利用电滤波器来从DC源306对RF功率解耦合。
例如,DC源306施加到DC电极305的DC电压可以在从约-2000伏特(V)到约1000V的范围。期望地,DC电压的绝对值具有等于或者大于约100V的值,并且更期望地,DC电压的绝对值具有等于或者大于约500V的值。附加地,期望地,DC电压具有负极性。此外,期望地,DC电压是具有大于自偏置电压的绝对值的负压。
在可选的实施例中,化学氧化去除(COR)子系统(未示出)可以用来去除或者修整氧化的多硅材料。此外,COR子系统可以用来去除或者修整氧化物掩膜层。例如,COR子系统可以包括化学处理模组(未示出),其用于在衬底上化学处理暴露表面层(诸如氧化表面层),由此在暴露的表面上处理化学的吸收影响表面层的化学性质的改变。附加地,COR子系统可以包括热处理模组(未示出),其用于热处理衬底,由此衬底温度被升高,以解除吸收(或者蒸发)衬底上化学性质被改变的暴露表面层。
图4图示了根据本发明的实施例负通道场效应晶体管(nFET)结构和正通道场效应晶体管(pFET)结构的示例性视图。图4示出了用于nFET结构400a的示例性栅堆叠和用于pFET结构400b的示例性栅堆叠。用于nFET结构400a的示例性栅堆叠可以包括块硅层405a、埋入氧化层410a、硅绝缘体(SOI)层415a、栅氧化层420a、第一多硅栅结构430a、掺杂多硅层440a、氮化物帽层445a、氧化层450a和底部抗反射涂覆(BARC)层455a。第一多硅栅结构430a可以具有第一侧壁角431a和与之关联的第一底部CD432a,并且第一底部CD432a可以与栅长度参数和/或者栅宽度参数相关联。可选地,第一栅结构可以不同,层的数量可以不同,并且可以使用其它材料。用于pFET结构400b的示例性栅堆叠可以包括块硅层405b、埋入氧化层410b、硅绝缘体(SOI)层415b、栅氧化层420b、第二多硅栅结构430b、氮化物帽层445b、氧化层450b和底部抗反射涂覆(BARC)层455b。第二多硅栅结构430b可以具有第二侧壁角431b和与之关联的第二底部CD432b,并且第二底部CD432b可以与栅长度参数和/或者栅宽度参数相关联。可选地,第一栅结构可以不同,层的数量可以不同,并且可以使用其它材料。在一些实施例中,可以针对MLMIMO程序建立预处理数据,并且可以在执行MLMIMO程序之前测量示例性栅堆叠。在其它实施例中,可以使用一个或者多个图案化掩膜层针对MLMIMO工序建立预处理数据,并且可以在生产栅堆叠之前测量一个或者多个图案化掩膜层。
侧壁角(431a和541b)可以从约八度变化到约九十度,并且底部CD(432a和432b)可以在约25nm和60nm之间变化。栅氧化层(450a和450b)的宽度可以在约25nm和60nm之间变化,氮化物帽层(445a和445b)的厚度可以在约1nm和10nm之间变化。栅结构(400a和400b)的高度可以在约125nm和160nm之间变化。
图5示出了根据本发明实施例的示例性多层/多输入/多输出(MLMIMO)模型优化和控制方法的简化框图。在图示的MLMIMO模型方法中,示出了图案化的光刻胶(PR)堆叠1和处理后的栅堆叠5的一部分的示例性图像。PR堆叠1的“PR”层可以包括一个或者多个PR层CD2和一个或者多个PR层侧壁角(SWA)3。可以使用第一组参数4来表征PR堆叠1,第一组参数可以包括中心和边缘光刻胶(PRC/E)数据项、中心和边缘厚度(厚度C/E)数据项、CD中心数据项、CD边缘数据项、SWA中心数据项和SWA边缘数据项。可选地,可以使用不同组的参数。栅堆叠5的“多”层可以包括一个或者多个多层CD6和一个或者多个多层SWA7。可以使用第二组参数8来表征栅堆叠5,第二组参数8可以包括中心和边缘“多”(多C/E)数据项、中心和边缘BARC(BARCC/E)数据项、CD中心数据项、CD边缘数据项、SWA中心数据项和SWA边缘数据项。可选地,可以使用不同组参数。
在图示的方法中,第一集成计量(IM)工具(第一ODP-IM)控制器/模型10可以连接到一个或者多个蚀刻工具控制器/模型20,并且蚀刻控制器/模型20中的一个或者多个可以连接到一个或者多个第二计量工具(第二ODP-IM)控制器/模型30。第一计量工具(第一ODP-IM)控制器/模型10可以接收CD数据11和SWA数据12,并且可以提供正向馈送数据15。第二计量工具(第一ODP-IM)控制器/模型30可以发送CD数据31和SWA数据32,并且可以提供反馈数据35。在一些示例中,从晶片间的反馈数据(W2WFB)35可以与第二ODP-IM控制器/模型30相关联。此外,蚀刻控制器/模型20中的一个或者多个可以用来25基于从晶片间(WAW)控制栅堆叠轮廓吧基于晶片内(WiW)控制栅堆叠轮廓。
数据项16可以发送到第一计算元件40,第一计算元件40可以用来计算衬底中心处和衬底边缘处的蚀刻偏差。第一计算元件40可以用来计算衬底中心处和衬底边缘处的SWA偏差。第一组目标参数41可以提供到第一计算元件40,并且第二组滤波输出71可以提供到第一计算元件40。来自第一计算元件40的输出数据项42可以提供到一个或者多个MLMIMO模型优化器50。
MLMIMO模型优化器50中的一个或者多个可以设置有一个或者多个约束参数51,该约束参数51可以包括工具限制、配方限制和/或者时间限制。在所示出的示例中,约束参数51可以包括基于步骤的处理气体限制。MLMIMO模型优化器50中的一个或者多个可以确定可以发送到蚀刻工具控制器/模型20中一个或者多个的一组或者多组配方参数21。
蚀刻工具控制器.模型20中的一个或者多个可以用来计算预测的数据项27,该预测的数据项27可以包括一个或者多个预测的蚀刻偏差、一个或者多个预测的SWA偏差、针对一个或者多个蚀刻配方的一个或者多个预测的步骤时间、以及针对一个或者多个蚀刻配方的一个或者多个预测的处理气体流量。
第二ODP-IM控制器/模型30中的一个或者多个可以向一个或者多个比较元件60提供一个或者多个实际输出33,并且实际输出33的一个或者多个可以与预测的数据项27中的一个或者多个比较。来自比较元件60中的一个或者多个的误差值65中的一个或者多个可以向EWWA滤波器70中的一个或者多个提供。
EWMA滤波器70中的一个或者多个可以向第一计算元件40提供一个或者多个第一滤波的输出71,并且EWMA滤波器70中的一个或者多个可以向权重控制器/模型80中一个或者多个提供一个或者多个第二滤波的输出72。EWMA滤波器70的每个可以滤波和提供用于单个参数或者误差值的反馈数据,可选地,EWMA滤波器70的每个可以滤波和提供多个参数或者误差值。权重控制器/模型80中的一个或者多个可以从MLMIMO模型优化器50中的一个或者多个接收一个或者多个目标数据项45和一个或者多个反馈数据项55。此外,权重控制器/模型80中的一个或者多个可以向MLMIMO模型优化器50中的一个或者多个提供一个或者多个动态变化的权重输出81。基于反馈误差使用动态权重的原理是以更好地控制最重要的CV-在运行时间控制系统的手动调节的自动化为目标强制优化器对权重(再平衡)区分优先次序。
图6图示了根据本发明实施例的多层处理程序的简化框图。图6示出了多层处理程序和监视和控制预测量工序、局部蚀刻(P-E)工序、最终蚀刻(F-E)工序和测量后工序所需的许多关键测量的简化视图。例如,栅CD控制已经发展到包括如分别示出的CRPR和θR的多输入参数,诸如光刻胶的CD和侧壁角(SWA),并且随着半导体期间继续收缩,CDPR和θR将变小。
随着器件收缩,处理窗变小,并且诸如之前假定彼此独立的SWA、膜厚度和CD的变化现在正在彼此互相作用,并且此互相作用需要多元的处理控制方法和模型。可以为等离子体蚀刻建立先进的基于模型的多元控制技术,并且在处理显影过程中显影蚀刻处理的稳态和动态数学模型。模型可以基于与蚀刻处理相关的物理和化学,并可以提供对直接影响器件的电气性能的多层中的处理变量当中复杂的互相作用提供有价值的见识。对于多层处理,一个或者多个控制变量(CV)可以涉及一个或者多个测量参数(CD、SWA、深度等)。例如,一些CV可以用来维持衬底的均匀性和线密度敏感性。控制蚀刻轮廓和线宽要求参照用于中心和边缘轮廓控制操纵变量(MV)调节超过一个的配方参数(步骤时间、气体流量、压力等)。为了解决轮廓和均匀性控制,系统要求多层/多输入/多输出(MLMIMO)方法,其包括用于CV、干扰变量(DV)和MV的互相作用项。
当MLMIMO模型和相关闭环蚀刻处理控制器正在显影时,可以执行进入的数据的分析。可以使用与图案化光刻胶特征有关的第一组参数(包括厚度、标称CD和侧壁角)来查看来自光刻工具的轮廓变量的源。此外,其它组参数可以包括光学数据、反射数据、传输数据、折射数据、下覆层等。
在一些示例中,光刻胶厚度(高度)TPR可以足够厚以提供所需的抗蚀能力,并且足够薄以提供良好的成像品质。CD摆动曲线效应还可以使操作控制限制的光刻胶的厚度变窄。此外,图案化特征的高度可以依赖于未图案化光刻胶厚度减去来自显影器暗损失和低水平暴光强度的腐蚀。涂覆器旋转速度调节可以用来校正平均的光刻胶厚度变化。集成的计量工具可以用来监视图案化的光刻胶高度TPR和BARC厚度TB
光刻后显影检测CD(DICD)控制可以用来确保后蚀刻最终检测CD(FICD)控制,并且通常局部地、在场上和整体地监视。在一些情况下,局部和场上CD变化可以由掩膜和暴露的效果支配,并且整体CD变化可以由扫描器、轨道和蚀刻工具引起。执行周期工具优化以形成均匀剂量图和暴露后烘焙(PEB)温度轮廓以确保衬底上DICD的低变化。此外,场场剂量调节图可以用来校正蚀刻后CD均匀性,并且多区域PEB偏差调节可以用来优化CD均匀性的晶片内(WiW)和晶片间(W2W)水平控制。此外,光刻胶SWA可以影响FICD,并且SWA变化可以有助于暴露工具聚焦变化。
图7图示了用于显影根据本发明实施例的多层/多输入/多输出(MLMIMO)模型的工序的示例性流程图。在图示的实施例中,工序700示出具有步骤号。可选地,可以使用不同的交替步骤号。
在710,一个或者多个多层处理程序可以识别为用于多层/多输入/多输出建模分析工序的候补。在一些示例中,一个或者多个MLMIMO模型可以建立以形成一个或者多个多层栅结构(400a和400b,图4)。
在715中,可以确定第一组控制输出变量(CV)和与CV相关的范围。CV中的一个或者多个由末端使用者或者客户指定。CV可以包括一个或者多个临界尺寸(CD)和/或者与多层栅结构(400a和400b)中的一个或者多个相关的一个或者多个侧壁角。在一些示例中,可以执行最终蚀刻程序,其包括一个或者多个局部蚀刻(PE)工序和一个或者多个最终蚀刻(FE)工序。例如,可以执行最终蚀刻程序以最后确定栅堆叠中的一个或者多个,并且可以针对pFET器件、nFET器件、Ti栅器件和FinFET器件执行不同的最终蚀刻程序。
在720,可以使用一个或者多个候补配方为与MLMIMO相关的操纵变量(MV)确定第一组候补。MV可以包括WiW操纵变量(WiW-MV),并且WiW-MV可以包括“快的”MV,其可以在衬底正被处理的同时受到控制。MV可以包括W2W操纵变量(W2W-MV),并且W2W-MV可以包括“慢的”MV,其当一批次晶片正被处理时受到控制。可以针对候补配方中的每个步骤查看用于MV的范围。
在725,可以执行实验设计(DOE)工序以分析MLMIMO模型。使用物理分析和工程经验,可以执行实验设计(DOE)工序以建立能将MV与每个CV连接的统计模型。如果实验量增大,可以获得更精确的模型,但是是以附加的材料和时间为代价的。因而,成本和可获得能力可用限制DOE衬底的数量。为了尽可能减小它们并防止不精确,设计优良的DOE是至关重要的。对于这种DOE的最关键因素是预测的模型的格式。可以选择一个或者多个模型类型,并且可以为CV和MV提供范围,并且诸如
Figure A20091013360800321
(来自SAS研究院的统计软件)可以用来建立DOE表格(图8)中的一个或者多个。DOE数据的示例性组在图8中示出,包括与第一蚀刻工序相关的第一组操纵变量(MV-11、MV-12和MV-13)、与第二蚀刻工序相关的第二组操纵变量(MV-21、MV-22和MV-23)以及第一组控制变量(CV-01、CV-02、CV-03、CV-04、CV-05和CV-06)。在其它分析工序中,可以使用其它MV和CV。处理建模假定室状态在衬底和批次之间是稳定的。
在一些实施例中,图2C所示的蚀刻子系统200c可以用来产生蚀刻等离子体。例如,顶部源272可以在60MHz下操作,并且底部源230可以在13.56MHz下操作。此外,在第一局部蚀刻工序和第一最终蚀刻(F-E)工序期间,顶部源272功率可以从约100瓦特变化到约700瓦特,并且底部源230功率可以从约10瓦特变化到约170瓦特。在局部蚀刻(局部蚀刻)步骤期间,可以使用CF4/O2等离子体,并且在最终蚀刻(多硅蚀刻)步骤期间,可以使用HBr/O2等离子体。使用DOE工序,获得多硅CD和SWA以理解各种处理参数(气体流量、压力。温度、功率等)的效果和示出光刻胶的SWA对多硅的SWA具有显著效果的数据。例如,光刻胶中更高SWA角度导致更高的SWA偏置。在局部蚀刻(局部蚀刻)处理期间,一旦清除PR/ARC的脚部的边缘,多硅的蚀刻开始。在最终蚀刻(多硅蚀刻)期间,处理光刻胶轮廓(SWA)转移到多硅。例如,在最终蚀刻(多硅蚀刻)处理期间,O2流率可以从约2sccm变化到约10sccm,在局部蚀刻(局部蚀刻)处理期间,O2流率可以从约3sccm变化到约20sccm。此外,在局部蚀刻(局部蚀刻)处理和最终蚀刻(多硅蚀刻)处理期间,室压力可以从约5mTorr变化到约40mTorr,并且在局部蚀刻(局部蚀刻)处理和最终蚀刻(多硅蚀刻)处理期间,中心和边缘温度可以从约45摄氏度变化到约66摄氏度。
在局部蚀刻(局部蚀刻)处理期间,CF4分离和产生CFx基和氟原子。CF2基响应于在PR表面上的聚合物沉积,并辅助各向异性蚀刻。O2分离以形成氧原子,使原子氧和氟原子各向同性蚀刻PR。在此步骤期间,底部电极功率保持较低,使得离子不会有助于PR的物理溅射。由于中性物种(氧和氟)具有宽角度分布,局部蚀刻率(由原子氧和氟通量引起)在特征的“顶部”高于在特征的“底部”。在局部蚀刻(局部蚀刻)步骤期间,特征的顶部与特征的底部相比接收更中性的原子,造成PR线的渐缩。在这些蚀刻工序期间,局部蚀刻率(CD损失/CD偏差)和侧壁的渐缩(SWA偏差)随着O2的流率而增大。在局部蚀刻期间,通过轰击氧和氟原子从所有方向蚀刻线。随着O2的流率随着CF4的流率保持恒定而增大,原子氧的浓度增大,造成到衬底的氧通量增大。原子氧和氟与PR的反应率随着温度升高而增大,这直接导致更高的PR蚀刻率,并且结果造成CD损失。
在双频电容耦合的等离子体中,在衬底上的离子轰击能量受到较低频率的控制,并且等离子体密度受到较高频率的控制。当底部电极的功率低于顶部电极功率时,离子和中性通量主要依靠顶部电极的功率。由于离子撞击衬底的速度是各相异性,大多数离子轰击特征的底部和特征的顶部,非常少的离子撞击PR的侧壁。由于PR蚀刻基本上是各相同性蚀刻,CD损失部依赖于底部电极的功率。然而,暴露于等离子体的特征底部和特征顶部的蚀刻率受到离子的轰击。特征的这些区域的竖直蚀刻率随着底部电极功率而增大。同时,更多材料溅射离开特咱了底部,并再次沉积在PR的侧壁上。这导致PR侧壁渐缩。SWA偏差随着底部电极功率而增大。
在最终蚀刻(多硅蚀刻)步骤期间,可以使用HBr/O2化学剂,并且富溴氧化物状钝化膜可以形成在侧壁上以及形成在特征的底部上。离子轰击特征的底部,将钝化膜从底部去除,同时留下侧壁上的钝化层完整无缺(各相异性蚀刻)。在此步骤中所使用的O2的浓度影响SWA和CD两者,这可以归因于溅射离开特征底部的钝化膜的再沉积。增大SWA还导致底部CD变窄。
在最终蚀刻(多硅蚀刻)步骤期间,原子氧的浓度随着压力而增大,并且原子氧可以钝化特征的侧壁。钝化膜的厚度随着氧的浓度而增大。在多硅蚀刻期间,CD损失随着压力而降低。钝化层可以溅射离开特征的底部,并可以再次沉积在侧壁上。因而,在多硅蚀刻期间,SWA偏差随着压力而增大。
此外,用于P-E工序的处理时间可以从约30秒变化到约60秒,并且用于F-E工序的处理时间可以从约30秒变化到约300秒。
在730,在执行填入一个或者多个DOE表所需的蚀刻工序之后,通过使用最小平方技术和统计软件可以形成具有二次和相互作用项的非线性模型。在一些模型中,可以删除具有与之相关的极其小的系数的项。
在735,可以使用DOE数据形成一个或者多个线性增益矩阵(G)。
例如,
λij = [ ∂ CV i ∂ MV j ] MV K , K ≠ J [ ∂ CV i ∂ MV j ] CV K , K ≠ J = Gain ( open - loop ) Gain ( closed - loop )
其中,i=1,2,......,n和j=1,2......,n。符号
Figure A20091013360800342
表示用除了MVj以外的所有操纵变量保持不变而评估的局部求导,并且该项是CVi和MVj之间的开环增益。此外,符号
Figure A20091013360800343
可以理解为表示当所有的控制环关闭时CVi和MVj的影响的闭环增益。
如果我们开始非方形矩阵,一个目标是消除一些MV和CV以形成方形矩阵。许多时候,我们具有比CV更多的MV,因而我们具有非方形矩阵。我们使用非方形RGA。例如,
NRGA = G ⊗ ( G + ) T
使用伪倒数G+来代替通常的倒数G-1。NRGA提供了用于选择方形系统的若干标准,但是它们的标准在一些非方形系统中不总是有效,因而需要考虑子系统的方形配对的所有组合。将一个子系统与其它RGA配对规则比较可以用作矩阵。这形成然后可以为最佳方形矩阵而比较的子组合。
在740,可以使用线性增益矩阵(G)中的一个或者多个来计算一个或者多个相对增益阵列(RGA)。例如,
RGA = G ⊗ ( G - 1 ) T
在745,为了调查最佳MV组合,RGA分析可以用于测量的模型参数选择,并且可以使用RGA中的配对规则针对每个CV确定最佳的MV。例如,可以选择RGA项,使得它们之和最接近一。此外,可以避免负项上的配对。此外,RGA分析可以用于确定一些候补模型和用于识别最佳情况解决。
在745,如果你具有比MV更多的CV,则RGA分析可以用于选择最可控制的CV(CV对MV的选择性的分析)。
在750,可以确定系统稳定性和条件。例如,Niederlinski稳定性定理陈述:如果
NST = det ( G ) &Pi; i = 1 n g ii < 0 , 则由于对角配对造成的闭环系统不稳定。
其中,G是增益矩阵,并且gii是增益矩阵的对角项。使用以下:G=USVT可以确定增益矩阵(G)的条件。
其中,G、U、S和V是使用单值分解(SVD)确定的矩阵。此外,可以使用S矩阵中较大的值与较小的值的比率来确定条件号(CN)。可以在由Jean Pierre Corriou所著的题为“Process Control:Theory andApplications”的书(ISBN 1852337761)中发现与Niederlinski定理有关的附加信息,其全部结合于此。例如,当CN大于五十时,系统几乎是单一的并将具有差的控制性能。
在755,可以使用实际设备和/或者性能约束来优化MLMIMO模型。在一些示例中,可以查看和选择测量位置以优化性能,可以建立预和/或者后测量的数量以优化性能,可以查看多室程序以优化产量。通过调节EWMA滤波器可以优化反馈。可以确定用于MV的时间常数,并且时间参数的更新频率可以基于批次到批次(L2L)、W2W、WiW和处理步骤值。此外,可以查看处理中心点、CV中心点和MV中心点以优化性能。可以使用历史数据以执行模拟。
衬底可以包括一个或者多个层,该层可以包括半导体材料、碳材料、介电材料、玻璃材料、陶瓷材料、金属材料、氧化材料、掩膜材料或者平整化材料或者它们的组合。
在其它实施例中,可以使用验证的MLMIMO模型处理一个或者多个衬底。当使用验证的MLMIMO模型时,可以在衬底(“金色晶片”)上形成一个或者多个验证的结构。当查看衬底时,可以从衬底上许多验证结构选择测试基准结构。在查看期间,可以从测试基准结构获得查看数据。可以从测试基准结构获得最佳估计结构和相关的最佳估计数据。可以从包括验证结构和相关数据的MLMIMO库选择最佳估计结构和相关最佳估计数据。在测试基准结构和来自库的最佳估计结构之间计算一个或者多个差值,该差值可以与匹配标准、形成标准或者产品要求或者它们的组合比较。当使用匹配标准时,如果匹配标准被满足或者超过,则测试基准结构可以识别为MLMIMO库的构件,并且当前衬底可以识别为基准“金色”衬底。当使用形成标准时,如果形成标准被满足,则测试基准结构可以识别为MLMIMO库的新的构件,并且当前的衬底可以识别为验证的基准衬底。当使用产品要求时,如果一个或者多个产品要求没有被满足,则测试基准结构可以识别为验证的结构,并且衬底可以识别为验证的产品衬底。如果标准或者产品要求中的一个或者多个没有被满足,则可以施加校正作用。可以使用测试基准结构数据和最佳估计结构数据针对测试基准结构建立MLMIMO有关置信数据和/或者风险数据。
当生产和/或者查看MLMIMO有关结构时,可以使用精度和/或者公差限制。当没有校正这些限制时,可以执行细化工序。可选地,可以执行其它工序,可以使用其它位置或者可以使用其它衬底。当使用细化工序时,细化工序可以利用双直线细化、多二次细化、双立方细化、Turran细化、微波细化、Hermite细化、牛顿除差细化、密切细化或者Thiele细化算法或者它们的组合。
在一些实施例中,MLMIMO评估库数据可以包括适合度(GOF)数据、形成规则数据、测量数据、检测数据、验证数据、图数据、置信数据、精度数据、处理数据或者均匀性数据或者它们的任何组合。
图9图示了用于使用根据本发明实施例的MLMIMO的工序的运行时间流程图。当校正数据时,可以使用许多衬底,并且可以识别候补干扰变量。在数据校正期间,与一个或者多个CV有关的变量可以被最小化,并且校正的数据可以用于模拟。模拟可以执行与在生产中使用的栅蚀刻处理相同的程序。
在910中,在集成计量室中测量一个或者多个衬底,并且可以获得用于第一数量(I)的干扰变量D(I)的值。此外,可以接收和分析其它传感器数据。IM数据可以包括来自每个进入的衬底上的图案化掩膜层中多个位置的CD和SWA数据。可以建立第二数量(m)的操纵变量MV(m)。
在一些实施例中,可以使用IM工具测量与衬底状态有关的进入的干扰变量,并且IM数据可以包括衬底上多个位置处的轮廓数据、CD数据、SWA数据和BARC膜厚度。例如,可以选择8-10个能代表衬底的中心的中心位置,并且可以选择半径相同的8-10个能代表边缘半径特性并且对于每个控制为最佳的边缘位置。可以针对衬底的每个区域选择相同数量的位置以将相同的权重的精度赋予所有的区域。应该选择栅密度和晶体管类型以与最关键的芯片水平性能度量(诸如P或者N通道晶体管类型)相关联,因为每个晶体管结构具有可以与蚀刻轮廓控制需要相关的一些变化。
CD DV可以是临界DV,并且可以具有相关的DV,其在局部蚀刻(P-E)工序期间由于处于工作的机构而修改测量。SWA可以是主要修改器,其随着角度变成低于九十度而灵敏度增大。此外,可以使用中等CD,因为它给予了与最终CD最精确的相关。在简单方面,中等CD执行最佳,因为它将顶部和底部的CD测量平均化。
CD的第二修改器可以是衬底上和从晶片间上的BARC厚度变化。如果厚度由于在BARC蚀刻期间光刻胶正持续要被蚀刻而非均匀性,则BARC厚度可以影响CD。更薄的BARC可以给予更短的蚀刻时间,并且更厚的BARC可以给予更长的蚀刻时间,并且更长的蚀刻时间将造成更小的CD。因而,BARC的非均匀性可以直接对边缘CD变化造成增大的中心,在局部和最终蚀刻期间,需要为了控制而修改边缘CD变化。
在显影工序之后可以获得IM数据,并且可以使用光刻子系统中的IM单元、蚀刻子系统中的IM单元或者单独的IM单元来获得IM数据。
此外,传感器和状态数据可以用于表示预测的等离子体室状态的DV。例如,当批次(衬底)在没有使用温度调节的衬底的情况下正被处理时,可以通过漂移影响室状态。有助于室状态正向馈送的变化可以包括诸如室清洁、部件更换、化学改变、空闲时间、温度调节衬底、室停机、手动调节、晶片衬底材料改变和产品密度改变的事件。
在915,接收到的数据可以被滤波和/或者限定。例如,可以使用boxand whisker算法对测量DV进行滤波,box and whisker算法消除在统计上不出现为具有相同数目的位置,并且其余位置可以被平均以代表衬底的物理区域。
在920,可以计算CV中的一个或者多个,并且可以确定CD、SWA、均匀性值和/或者轮廓变化。在一些示例中,可以使用以下:
CV(n)=fn{MV(1),......MV(m-1),MV(m),DV(1),......DV(l-1),DV(l)}+offsetn
建立第三数量(n)的控制变量。
其中,l、m和n是大于二的整数。
例如,当如图10所示识别四个CV、六个MV和四个DV时,具有更高阶和相互作用项的四个非线性模型可以识别如下:
CV(1)=f1{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+offset1
CV(2)=f2{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+offset2
CV(3)=f3{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+offset3
CV(4)=f4{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+offset4
在925,可以使用二次目标函数计算优化的处理设定,并且目标偏差CV可以限定为:
t(n)={DV(1}-targetCV(n)}
当n=4并且l=4时,可以获得以下公式:
t(1)={DV(1}-targetCV(1)}
t(2)={DV(2}-targetCV(2)}
t(3)={DV(3}-targetCV(3)}
t(4)={DV(4}-targetCV(4)}
使用模型和目标项,可以用于非线性编程的二次目标函数可以限定为:
min MV ( 1 ) , MV ( 2 ) , MV ( n - 1 ) , MV ( n ) { ( t ( 1 ) - CV ( 1 ) t ( 1 ) ) 2 w 1 + ( t ( 2 ) - CV ( 2 ) t ( 2 ) ) 2 w 2 . . .
. . . . . . + ( t ( n - 1 ) - CV ( n - 1 ) t ( n - 1 ) ) 2 w j - 1 + + ( t ( n ) - CV ( n ) t ( n ) ) 2 w j } 公式1
并且当n=4时,可以获得以下简化的公式
min MV ( 1 ) , MV ( 2 ) , MV ( 3 ) , MV ( 4 ) { ( t ( 1 ) - CV ( 1 ) t ( 1 ) ) 2 w 1 + ( t ( 2 ) - CV ( 2 ) t ( 2 ) ) 2 w 2
+ ( t ( 3 ) - CV ( 3 ) t ( 3 ) ) 2 w 3 + + ( t ( 4 ) - CV ( 4 ) t ( 4 ) ) 2 w 4 } 公式1a
并且Wj是权重因子。此外,操纵变量MV(l)可以具有上和下极限,其可以包括为不等式,并且当l=4时,建立以下:
a≤MV(1)≤b
c≤MV(2)≤d    公式2
e≤MV(3)≤f
g≤MV(4)≤h
其中,a-h是取决于设备约束的常数。可以由优化器来使用测量的CD和SWA值以计算MV,并且优化器可以通过使用非线性编程将公式1a和公式2最小化来确定蚀刻配方。例如,MATLAB优化工具箱可以用于此模拟。
在930,使用由优化器建立的MV中的一个或者多个来限定处理配方,并且可以使用用于MV的新值来调节处理配方。非线性优化可以用来处理非线性关系和与蚀刻处理相关的约束以通过每个运行之后调节配方来使多步骤处理的性能最大化。
IM数据可以正向馈送到优化器以计算操纵变量(MV)的值。与每个控制的变量(CV)相关的非线性模型公式可以用于每个CV目标值。二次目标函数可以利用权重因子来对目标函数的每个CV项区分优先次序,并且MLMIMO中的优化器可以用来通过使用非线性编程使具有MV约束的目标函数最小化或者最大化来确定蚀刻配方。
在935,可以使用调节的配方处理衬底中的一个或者多个。例如,调节配方可以包括从优化器优化的MV。
在940,可以针对处理衬底中的一个或者多个获得测量数据。例如,在衬底上的一个或者多个位置可以进行测量。在每个蚀刻处理之后,可以使用IM工具测量输出CV。
在945,可以对所获得的数据滤波和评定。
在950,可以计算处理误差。例如,可以针对每个CV计算误差(实际输出减去模型输出)。
在955,可以计算反馈数据项。例如,可以使用指数权重运动均值(EWWA)滤波器来用误差更新MLMIMOI模型CV偏差。
在960,可以更新新的模型偏差。这些偏差值可以提供到优化器单元以用于为下次运行补偿干扰。使用该偏差直到出现新的更新。可以执行此工序,直到处理最终衬底。
当需要新的和/或者附加测量数据、检测数据和/或者评估数据时,可以从衬底上的一个或者多个位置获得附加的MLMIMO数据。例如,可以在一个或者多个位置处测量在衬底上诸如周期栅、周期阵列和/或者其它周期结构的测量结构。
在一些实施例中,历史和/或者实时数据可以包括MLMIMO图。衬底有关图、处理有关图、损坏评价图、基准图、测量图、预测图、风险图、检测图、验证图、评估图、颗粒图和/或者针对一个或者多个衬底的置信图。此外,一些MLMIMO工序可以使用衬底图,该衬底图可以包括一个或者多个适合度(GOF)图、一个或者多个厚度图、一个或者多个栅有关图、一个或者多个临界尺寸(CD)图、一个或者多个CD轮廓图、一个或者多个材料有关图、一个或者多个结构有关图、一个或者多个侧壁角图、一个或者多个不同宽度图或者它们的组合。
当形成和/或者修改衬底图时,对于整个衬底该值可以不计算和/或者要求,并且衬底图可以包括用于一个或者多个位置、一个或者多个芯片/管芯、一个或者多个不同区域、以及一个或者多个不同形状区域的数据。例如,处理室可以具有独特的特性,其可以影响在衬底的特定区域中处理结果的品质。此外,制造商可以允许在衬底的一个或者多个区域中对芯片/管芯进行较低精度的处理和/或者评估数据以使产量最大化。当图中的值接近限制时,置信值可以低于当图中的值没有接近限制时的值。此外,可以针对不同的芯片/管芯和/或者衬底的不同的区域对精度值进行权重。例如,更高的置信权重可以被分配到与之前使用的评估位置中的一个或者多个有关的精度计算和/或者精度数据中。
此外,可以使用与一个或者多个处理有关的处理结果、测量、检测、验证、评估和/或者预测图来计算用于衬底的置信图。例如,可以使用来自另一图的值作为权重因子。
尽管以上已经详细地描述了本发明仅仅某些实施例,但是本领域的技术人员容易理解到在不实质上脱离本发明的新型性的教导和优点的情况下本实施例的许多修改是可行的。因而,所有这样的修改意欲包括在本发明的范围内。
因而,此描述不意在限制本发明,并且在给定此处的详细水平理解到本实施例的修改和变化是可行的情况下来描述本发明的构造、操作和行为。因而,前述详细的描述不意味着或者不意在以任何方式限制本发明一相反,本发明的范围由权利要求限定。

Claims (19)

1.一种用于建立多层/多输入/多输出(MLMIMO)模型的方法,包括:
确定第一多层处理程序以在一个或者多个多层栅结构中形成一个或者多个最终多栅结构,其中,所述第一多层处理程序包括一个或者多个第一测量工序、一个或者多个局部蚀刻(PE)工序、一个或者多个最终蚀刻(F-E)工序以及一个或者多个第二测量工序,其中,最终多栅结构包括至少一个侧壁角度(SWA)和至少一个栅宽度,所述侧壁角度在约八十五度和约九十二度之间,并且所述栅宽度在约二十纳米和约五十纳米之间;
选择第一多层/多输入/多输出模型,其被构造成模拟所述第一多层处理程序,所述第一多层/多输入/多输出包括多个控制变量(CV)、多个操纵变量(MV)和多个干扰变量(DV);
确定与所述第一多层/多输入/多输出相关的第一组干扰变量,其中,所述第一测量工序中的一个或者多个被构造成提供所述干扰变量中的一个或者多个,所述干扰变量中的一个或者多个由前述工序或者层确定;
确定与所述第一多层/多输入/多输出相关的第一组控制变量和与所述控制变量相关的范围,其中,所述控制变量包括与所述最终多栅结构相关的一个或者多个临界尺寸(CD)和与所述最终多栅结构相关的一个或者多个侧壁角度,其中,所述第二测量工序中的一个或者多个被构造成提供所述第一组控制变量中的一个或者多个;
使用一个或者多个候补配方(recipe)建立与所述第一多层/多输入/多输出相关的第一组操纵变量,其中,所述第一组操纵变量包括被构造成在衬底正被处理的同时变化的一个或者多个晶片内操纵变量(WiW-MV)和被构造成在所述衬底已经被处理之后变化的一个或者多个晶片间操纵变量(W2W-MV);
分析所述建立多层/多输入/多输出模型,其中,选择一个或者多个统计模型,为所述控制变量和所述操纵变量提供一个或者多个范围,并且执行一个或者多个统计分析工序以建立实验设计(DOE)数据,其中,所述统计模型被构造成将一个或者多个操纵变量与一个或者多个控制变量相关联;
通过使用最小平方技术和统计软件建立具有二次和互相作用项的一个或者多个非线性模型;
使用所述实验设计数据形成一个或者多个稳态线性增益矩阵(G),其中,每个增益矩阵包括第一数量的操纵变量和第二数量的控制变量;
使用所述稳态线性增益矩阵(G)计算一个或者多个相对增益阵列(RGA),其中, RGA = G &CircleTimes; ( G - 1 ) T
Figure A2009101336080003C2
表示项与项相乘;
使用一个或者多个配对规则优化一组或者多组操纵变量;
为所述多层/多输入/多输出确定一个或者多个稳定条件;以及
使用与第一组处理工具相关的性能参数优化所述多层/多输入/多输出,所述第一组处理工具被构造成执行所述第一多层处理程序。
2.根据权利要求1所述的方法,其中,所述第一测量工序包括:
&lambda;ij = [ &PartialD; CV i &PartialD; MV j ] MV K , K &NotEqual; J [ &PartialD; CV i &PartialD; MV j ] CV K , K &NotEqual; J = Gain ( open - loop ) Gain ( closed - loop )
其中,i=1,2,......,n和j=1,2......,n,并且其中,是CVi和MVj之间的开环增益,
Figure A2009101336080003C5
是闭环增益。
3.根据权利要求1所述的方法,其中,使用Niederlinski稳定性定理确定所述一个或者多个稳定条件,其中:
NST = det ( G ) &Pi; i = 1 n g ii < 0 ,
其中,G是增益矩阵,并且gii是增益矩阵的对角项。
4.根据权利要求1所述的方法,其中,可以使用G=USVT确定增益矩阵(G)的条件,其中,G、U、S和V是使用单值分解(SVD)确定的矩阵。
5.根据权利要求1所述的方法,其中,所述第一测量工序包括:
使用第一计量子系统获得用于第一评估衬底的第一计量数据,所述第一计量数据包括用于与所述最终多栅结构相关的光刻胶层中的第一光刻胶特征的集成计量(IM)数据。
6.根据权利要求1所述的方法,其中,所述第一计量数据包括用于中心区域中的一个或者多个第一光刻胶特征和用于边缘区域中的一个或者多个第二光刻胶特征的厚度数据、顶部临界尺寸数据、底部临界尺寸数据、侧壁角度数据或者光学数据。
7.根据权利要求1所述的方法,还包括:
使用连接到所述第一蚀刻子系统的转移子系统将第一衬底转移到第一蚀刻子系统的第一蚀刻室,其中,所述转移子系统被构造成在转移过程中阻止氧化层形成在所述第一衬底上;
在第一局部蚀刻工序过程中建立第一衬底保持器温度,所述第一衬底保持器温度在约40摄氏度和70摄氏度之间,并且其中,所述第一蚀刻子系统包括温度控制系统,其被构造成在所述第一局部蚀刻工序期间控制所述第一衬底保持器温度;并且
在第一局部蚀刻工序期间建立第二衬底保持器温度,所述第二衬底保持器温度在约40摄氏度和70摄氏度之间,并且其中,所述温度控制系统被构造成在所述第一最终蚀刻工序期间控制所述第二衬底保持器温度。
8.根据权利要求7所述的方法,还包括:
在所述第一局部蚀刻工序期间和在所述第一最终蚀刻工序期间,在从约0.1MHz到约200MHz的第一频率范围和在从约100瓦特到约700瓦特的第一功率范围操作第一射频(RF)源,其中,所述第一RF源连接到所述第一蚀刻室中的上电极;并且
在所述第一局部蚀刻工序期间和在所述第一最终蚀刻工序期间在从约0.1MHz到约100MHz的第二频率范围和在从约10瓦特到约170瓦特的第二功率范围操作第二RF源,其中,所述第二RF源连接到所述第一蚀刻室中的下电极。
9.根据权利要求7所述的方法,还包括:
在所述第一局部蚀刻工序期间将第一处理气体提供到所述第一蚀刻室中,其中,所述第一处理气体包括CF4和O2,CF4流率在约10sccm和约50sccm之间变化,O2流率在约3sccm和约20sccm之间变化,其中,所述第一蚀刻子系统包括气体供应系统,其被构造成在所述第一局部蚀刻工序期间向所述第一蚀刻室提供所述第一处理气体;并且
在所述第一最终蚀刻工序期间将第二处理气体提供到所述第一蚀刻室中,其中,所述第二处理气体包括HBr和O2,HBr的流率在约20sccm和约600sccm之间变化,第二O2流率在约2sccm和约70sccm之间变化,其中,所述气体供应系统被构造成在所述第一最终蚀刻工序期间向所述第一蚀刻室提供所述第二处理气体。
10.根据权利要求7所述的方法,还包括:
在所述第一局部蚀刻工序期间将所述第一蚀刻室中的第一压力控制在约5mTorr和约40mTorr之间;并且
在所述第一最终蚀刻工序期间将所述第一蚀刻室中的第二压力控制在约5mTorr和约40mTorr之间,其中,所述第一蚀刻子系统包括被构造成控制所述第一蚀刻室中的所述第一压力和所述第二压力的真空泵送系统。
11.根据权利要求1所述的方法,其中,所述实验设计数据包括来自等离子体处理室内部的传感器和所述等离子体处理室外部的传感器的性能数据,其中,所述性能数据包括氦背侧气体压力数据、氦背侧流量数据、静电夹持(ESC)电压数据、静电夹持电流数据、衬底保持器温度数据、冷却剂温度数据、上电极(UEL)温度数据、正向RF功率数据、反射RF功率数据、RF自感应DC偏置数据、RF峰-峰电压数据、室壁温度数据、处理气体流率数据、处理气体局部压力数据、室压力数据、匹配网络数据、聚焦环厚度数据、RF小时数据或者聚焦环RF小时数据或者它们的任何组合。
12.根据权利要求1所述的方法,其中,所述实验设计数据包括性能光学数据、端点检测器(EPD)数据、电流和/或者电压探针数据、功率计数据、光谱分析仪数据、RF电抗分析仪数据、时间域数据或者频率域数据或者它们的任何组合。
13.根据权利要求1所述的方法,其中,所述多层栅结构包括pPET结构、nFET结构、Tri栅结构和FinFET结构。
14.一种用于使用多层/多输入/多输出(MLMIMO)模型以在多个衬底上形成栅结构的方法,所述方法包括:
a)接收第一组衬底和相关的衬底数据,所述衬底数据包括实时和历史数据;
b)使用与一个或者多个进入的衬底上的图案化光刻胶层相关的实时集成计量(IM)数据建立第一数量(I)的干扰变量DV(I),其中,所述实时集成计量数据包括临界尺寸(CD)数据、侧壁角度(SWA)数据、厚度数据、光刻胶数据、BARC数据、晶片衬底数据和来自每个进入的衬底上的所述图案化光刻胶层中的多个位置的折射信号,其中,I是大于二的第一整数;
c)建立第二数量(m)的操纵变量MV(m),其中,m是大于二的第二整数;
d)建立第三数量(n)的控制变量CV(n),其中,n是大于二的第三整数,并且CV(n)限定为
CV(n)=fn{MV(1),......MV(m-1),MV(m),DV(1),......DV(l-1),DV(l)}+offsetn
e)使用二次目标函数计算优化的处理设定,并且目标偏差t(n)限定为:
t(n)={DV(1}-t arg etCV(n)}
f)计算多个操纵变量MV(l);
g)使用在非线性编程过程中建立的所计算出的操纵变量MV(l)中的一个或者多个限定调节处理配方;
h)使用所述调节处理配方处理所述第一组衬底中的一个或者多个;
i)获得用于所述处理衬底中的一个或者多个的附加测量数据,其中,获得并滤波新的控制变量(CV);
j)使用测量的控制变量数据和预测的控制变量数据之间的差值计算一个或者多个处理误差;
k)计算反馈数据项,其中,使用指数权重移动均值(EWMA)滤波器来用误差更新所述offsetn
l)更新优化器单元中的所述模型offsetn;并且
m)使用所述第一组衬底中的每个衬底重复步骤a)-1)。
15.根据权利要求14所述的方法,其中,通过使用如下限定的二次目标函数执行非线性编程来计算所述多个操作变量MV(l),
min MV ( 1 ) , MV ( 2 ) , MV ( n - 1 ) , MV ( n ) { ( t ( 1 ) - CV ( 1 ) t ( 1 ) ) 2 w 1 + ( t ( 2 ) - CV ( 2 ) t ( 2 ) ) 2 w 2 . . .
. . . . . . + ( t ( n - 1 ) - CV ( n - 1 ) t ( n - 1 ) ) 2 w j - 1 + + ( t ( n - CV ( n ) ) t ( n ) ) 2 w j }
其中,Wj是权重因子,并且,操纵变量MV(l)可以具有限定为以下不等式约束的上限值和下限值,
lower_threshold_value(l)≤MV(l)≤upper_threshold_value(l)
并且,可以使用多个设备约束确定
lower_threshold_value(l)≤MV(l)≤upper_threshold_value(l)。
16.根据权利要求14所述的方法,其中,基于每个控制变量项的反馈误差动态更新所述权重Wj。
17.根据权利要求14所述的方法,其中,当一个或者多个操纵变量在可允许的处理窗之外时对一个或者多个控制目标区分优先次序。
18.根据权利要求14所述的方法,其中,使用处理状态数据限定所述调节的处理配方。
19.根据权利要求14所述的方法,其中,使用室状态数据限定所述调节处理配方。
CN2009101336080A 2008-03-31 2009-03-31 多层/多输入/多输出(mlmimo)模型和使用方法 Expired - Fee Related CN101551834B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/059,624 2008-03-31
US12/059,624 US7967995B2 (en) 2008-03-31 2008-03-31 Multi-layer/multi-input/multi-output (MLMIMO) models and method for using

Publications (2)

Publication Number Publication Date
CN101551834A true CN101551834A (zh) 2009-10-07
CN101551834B CN101551834B (zh) 2012-08-22

Family

ID=41115535

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101336080A Expired - Fee Related CN101551834B (zh) 2008-03-31 2009-03-31 多层/多输入/多输出(mlmimo)模型和使用方法

Country Status (5)

Country Link
US (1) US7967995B2 (zh)
JP (2) JP2009246368A (zh)
KR (1) KR101568879B1 (zh)
CN (1) CN101551834B (zh)
TW (1) TWI409658B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468198A (zh) * 2010-11-01 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀残留的检测方法和系统、谱线模型的建立方法和系统
CN104239675A (zh) * 2013-06-12 2014-12-24 英飞凌科技股份有限公司 用于确定技术装置的模型的参数的方法和设备
CN107689318A (zh) * 2016-08-03 2018-02-13 朗姆研究公司 监测等离子体处理系统和工艺与工具控制的方法和系统
CN114063479A (zh) * 2021-11-12 2022-02-18 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8233568B2 (en) * 2008-09-05 2012-07-31 Rajendra Kumar Adaptive receiver for high-order modulated signals over fading channels
US8612045B2 (en) * 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US8407632B2 (en) * 2010-09-14 2013-03-26 International Business Machines Corporation Detecting dose and focus variations during photolithography
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US20130193513A1 (en) * 2012-02-01 2013-08-01 International Business Machines Corporation Multi-Gate Field Effect Transistor with a Tapered Gate Profile
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
CN103354212B (zh) * 2013-06-25 2016-04-06 上海华力微电子有限公司 测算接触孔与多晶硅栅极对准偏差值的方法
CN103346100B (zh) * 2013-06-27 2016-04-20 上海华力微电子有限公司 检测接触孔与多晶硅栅极对准度的方法
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
JP6623943B2 (ja) * 2016-06-14 2019-12-25 東京エレクトロン株式会社 半導体装置の製造方法、熱処理装置及び記憶媒体。
WO2018101065A1 (ja) * 2016-11-30 2018-06-07 東京エレクトロン株式会社 プラズマ処理装置
KR102420164B1 (ko) * 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10337852B1 (en) * 2017-12-18 2019-07-02 Kla-Tencor Corporation Method for measuring positions of structures on a substrate and computer program product for determining positions of structures on a substrate
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2019199697A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
CN112997274A (zh) * 2018-11-21 2021-06-18 东京毅力科创株式会社 基片处理的条件设定辅助方法、基片处理系统、存储介质和学习模型
CN109754414A (zh) * 2018-12-27 2019-05-14 上海商汤智能科技有限公司 图像处理方法、装置、电子设备及计算机可读存储介质
TWI704494B (zh) * 2018-12-28 2020-09-11 技嘉科技股份有限公司 處理器的效能優化方法以及使用其的主機板
TW202347125A (zh) * 2019-11-20 2023-12-01 美商奈米創尼克影像公司 用於判定網路攻擊及產生警告之製造系統及電腦實施方法
CN110849478A (zh) * 2019-11-28 2020-02-28 陕西师范大学 一种阿基米德螺线的圆二色性光谱的调节装置
EP3839632A1 (en) * 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
JP2022021712A (ja) * 2020-07-22 2022-02-03 株式会社ディスコ ウェーハの加工方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219601A (ja) * 1982-06-14 1983-12-21 Hitachi Ltd ドライエツチング制御方式
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
JP3226069B2 (ja) * 1993-10-04 2001-11-05 キヤノン株式会社 半導体積層構造および半導体光素子
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5710653A (en) * 1995-03-06 1998-01-20 Fiber Optic Network Solutions Corp. Linear multi-output optical transmitter system
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3551560B2 (ja) * 1995-07-18 2004-08-11 ソニー株式会社 Mosトランジスタのゲート電極加工方法
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6221746B1 (en) * 1998-12-30 2001-04-24 United Microelectronics Corp. Method for forming a poly gate structure
EP1202144A1 (en) * 2000-10-25 2002-05-02 Semiconductor300 GmbH &amp; Co KG Method for controlling a process line in semiconductor device manufacturing
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6901576B2 (en) * 2002-11-20 2005-05-31 International Business Machines Corporation Phase-width balanced alternating phase shift mask design
JP2007502547A (ja) * 2003-05-30 2007-02-08 東京エレクトロン株式会社 High−k誘電材料をエッチングする方法とシステム。
JP4220335B2 (ja) * 2003-08-29 2009-02-04 株式会社日立ハイテクノロジーズ 立体形状測定装置
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US7430672B2 (en) * 2004-10-27 2008-09-30 Intel Corporation Method and apparatus to monitor power consumption of processor
JP4675266B2 (ja) * 2006-03-16 2011-04-20 東京エレクトロン株式会社 基板処理装置の処理結果の予測方法及び予測装置
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4940300B2 (ja) * 2006-07-20 2012-05-30 サンディスク コーポレイション プログラミング中における結合の補償
JP5023614B2 (ja) * 2006-08-24 2012-09-12 パナソニック株式会社 半導体チップの製造方法及び半導体ウエハの処理方法
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8183062B2 (en) * 2009-02-24 2012-05-22 Tokyo Electron Limited Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468198A (zh) * 2010-11-01 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀残留的检测方法和系统、谱线模型的建立方法和系统
CN102468198B (zh) * 2010-11-01 2014-07-16 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀残留的检测方法和系统、谱线模型的建立方法和系统
CN104239675A (zh) * 2013-06-12 2014-12-24 英飞凌科技股份有限公司 用于确定技术装置的模型的参数的方法和设备
CN104239675B (zh) * 2013-06-12 2017-12-19 英飞凌科技股份有限公司 用于确定技术装置的模型的参数的方法和设备
US10502789B2 (en) 2013-06-12 2019-12-10 Infineon Technologies Ag Method and device for determining a parameter of a model of a technical installation
CN107689318A (zh) * 2016-08-03 2018-02-13 朗姆研究公司 监测等离子体处理系统和工艺与工具控制的方法和系统
CN107689318B (zh) * 2016-08-03 2019-12-06 朗姆研究公司 监测等离子体处理系统和工艺与工具控制的方法和系统
CN114063479A (zh) * 2021-11-12 2022-02-18 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Also Published As

Publication number Publication date
KR101568879B1 (ko) 2015-11-12
JP2009246368A (ja) 2009-10-22
KR20090104770A (ko) 2009-10-06
US20090242513A1 (en) 2009-10-01
JP2014027301A (ja) 2014-02-06
US7967995B2 (en) 2011-06-28
TW201005568A (en) 2010-02-01
JP5636486B2 (ja) 2014-12-03
TWI409658B (zh) 2013-09-21
CN101551834B (zh) 2012-08-22

Similar Documents

Publication Publication Date Title
CN101551834B (zh) 多层/多输入/多输出(mlmimo)模型和使用方法
CN101707189B (zh) 将多层/多输入/多输出(mlmimo)模型用于金属栅结构
US8501499B2 (en) Adaptive recipe selector
CN110832400B (zh) 通过边缘位置误差预测设计布局图案邻近校正
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US7993937B2 (en) DC and RF hybrid processing system
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
JP2017227892A (ja) 物理学ベースのエッチングプロファイルモデリングフレームワークを用いた高速エッジ配置誤差予測によるフォトレジスト設計レイアウトパターン近接効果補正
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
CN102804933A (zh) 可切换中性束源
Meyyappan et al. Proceedings of the Second International Symposium on Process Control, Diagnostics, and Modeling in Semiconductor Manufacturing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120822

Termination date: 20180331