JP2014027301A - 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法 - Google Patents

多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法 Download PDF

Info

Publication number
JP2014027301A
JP2014027301A JP2013219994A JP2013219994A JP2014027301A JP 2014027301 A JP2014027301 A JP 2014027301A JP 2013219994 A JP2013219994 A JP 2013219994A JP 2013219994 A JP2013219994 A JP 2013219994A JP 2014027301 A JP2014027301 A JP 2014027301A
Authority
JP
Japan
Prior art keywords
data
substrate
processing
map
subsystem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013219994A
Other languages
English (en)
Other versions
JP5636486B2 (ja
Inventor
Merritt Funk
ファンク メリット
Radha Sundararajan
サンダララジャン ラジャ
Hyung Joo Lee
ジョー リー ヒュン
Daniel J Prager
ジェイ プラガー ダニエル
Asao Yamashita
朝夫 山下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2014027301A publication Critical patent/JP2014027301A/ja
Application granted granted Critical
Publication of JP5636486B2 publication Critical patent/JP5636486B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

【課題】 本発明は基板処理に関する。
【解決手段】 本発明は、多層処理シーケンス、多層/多入力/多出力(MLMIMO)モデル及びライブラリを用いた基板処理方法を供する。当該方法は、1つ以上のマスク層生成手順、1つ以上の前処理測定手順、1つ以上の部分エッチング(P-E)手順、1つ以上の最終エッチング(F-E)手順、及び1つ以上の後処理測定手順を有して良い。
【選択図】 図7

Description

本発明は基板処理に関し、より詳細には、最適化された多層/多入力/多出力(MLMIMO)モデル、処理、及びサブシステムを用いた基板処理の改善に関する。
エッチングプロセスの挙動は本質的に非線形で、ステップ(層)間の相互作用すなわち処理された積層体がそのまま1つになる。東京エレクトロン株式会社(TEL)製チャンバの物理的モデル化、基礎となるプロセス、並びに、プロセスの改良及び調節からえられる経験的データ及び測定に基づくプロセス相互作用の知識によって、限界寸法(CD)、側壁角度(SWA)、深さ、膜厚、オーバーエッチング、アンダーカット、表面洗浄、及び損傷制御の制御は、多入力多出力非線形モデルを用いて帰納的に計算及び最適化可能である。現在の低コスト製品はバルクシリコン技術を用いている。トランジスタのサイズが縮小し続けているので、チャネル深さの効果は重要になってきている(非常に浅いソース/ドレイン拡張部)。SOI膜が薄くなることで、ゲート及び/又はスペーサの厚さの変化、並びにSOI(絶縁体上のシリコン)膜の厚さの変化が小さくなることで、トランジスタの性能に影響を及ぼすと考えられる。エッチング処理が制御されなければ、ゲート付近での材料の除去はその電気的特性に影響を及ぼす。
米国特許出願第11/156559号明細書 米国特許出願公開第2006/0037701号明細書
ジーン・ピール・コリウ(Jean Pierre Corriu)「プロセス制御:理論と応用」(Process Control:Theory and Applications)、
現在の高性能マイクロプロセッサは、PD SOI(部分的に空乏化したSOI)膜を用いることで、0.2[V]の閾値電圧を与えている。PD SOI膜が約50nmである一方で、ゲート及び/又はスペーサの減少量は、ゲート及び/又はスペーサの合計厚さに対して大きな割合(10%)にもなる。次世代のSOI膜はFD SOI(0.08[V]の閾値電圧と〜25nmの厚さを与える完全に空乏化したSOI)と呼ばれる。現在これらの膜は生産されていない。均一性及び欠陥に係る厚さの制御に限界があるためである。チャネル移動度はSOIの厚さと共に劣化する。SOI膜が薄くなることで、ゲート及び/又はスペーサ側壁の厚さ制御がより重要になる。
本発明は、ゲート及び/又はスペーサの厚さの均一性の制御並びにトランジスタ構造への損傷の除去のため、ゲート及び/又はスペーサの厚さを制御する多層/多入力/多出力(MLMIMO)プロセスシーケンス、MLMIMOモデル及び評価ライブラリを用いてリアルタイムで基板を処理する装置及び方法を供する。
本発明の他の態様は以降の記載及び添付の図面から明らかになる。
本発明の実施例による処理システムの典型的ブロック図を図示している。 A-Fは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を図示している。 A-Fは、本発明の実施例による別なエッチングサブシステムの典型的ブロック図を図示している。 本発明の実施例によるnチャネル電界効果型トランジスタ(nFET)構造とpチャネル電界効果型トランジスタ(pFET)構造の典型図を示している。 本発明の実施例による典型的な多層/多入力/多出力(MLMIMO)モデルの最適化及び制御方法の単純化されたブロック図を図示している。 本発明の実施例による多層処理シーケンスの単純化されたブロック図を図示している。 本発明の実施例による多層/多入力/多出力(MLMIMO)モデルの開発処理の典型的フローダイヤグラムを表している。 本発明の実施例による典型的な実験計画法の表を表している。 本発明の実施例によるMLMIMOの使用処理のランタイムフローダイヤグラムを表している。 図9のランタイムフローダイヤグラムに関連する典型的なモデルを図示している。
ここで本発明の実施例について、単なる例示でしかない添付図面を参照しながら説明する。図中、対応する参照番号は対応する部品を指すものとする。
本発明は、上に多数の半導体デバイス、並びにさらに多数のトランジスタゲート及び/又はスペーサ構造を有する基板を処理する装置と方法を供する。様々な実施例では、MLMIMO評価ライブラリを生成及び/若しくは使用し、1つ以上の測定手順、1つ以上の堆積手順、1つ以上の部分エッチング手順、1つ以上の完全エッチング(ポリエッチング)手順を有するMLMIMOプロセスシーケンスを実行し、並びに/又はMLMIMOモデル及び関連するプロセスシーケンスを検証する装置と方法が供される。
1つ以上の評価部が基板上の様々な場所に供されて良い。そしてその1つ以上の評価部は、MLMIMOモデル及び関連するプロセスシーケンスの評価及び/又は検証に用いられて良い。基板は関連する基板データを有して良い。その基板データはリアルタイムデータと履歴データを有して良い。それに加えて、基板は関連する他のデータを有しても良い。そしてその他のデータは、ゲート構造データ、必要なサイト数、アクセスサイト数、前記サイトについての信頼性及び/若しくは危険性データ、サイトのランキングデータ、搬送シーケンスデータ、若しくはプロセス関連データ、若しくは評価/検証関連データ、又はこれらの結合データを有して良い。MLMIMO関係基板に関連するデータは、いつどこに基板を搬送するのかを決定するのに用いることができる搬送シーケンスを有して良い。搬送シーケンスは動作状態データを用いることによって変更可能である。
MLMIMOモデルは、用途の必要性に基づいて、粒度の小さな複数の層に分割されて良い。各層は物理的な材料であって良く、層の分離は材料変化すなわち層の境界を表す。層は、複数の層の結合-たとえば複数の層からなる金属ゲート積層体とそれに続いてスペーサを堆積し、かつその金属ゲート層を覆う層をエッチングしたもの-であっても良い。
層は時間によってエッチング工程にマッピングされて良い。あるいは層は、そのエッチング工程を区別するのに用いられる終点データ(EPD)によってマッピングされても良い。それに加えて連続リアルタイム制御装置は、計測データ、センサ、及びエッチングモデルの組合せからのリアルタイム更新によって動作して良い。
実施例によっては、1つ以上のモデルについて2次計画法(QP)が用いられて良い。たとえば2次計画法は、以降に示すように中心エッチングバイアス(CEB)をモデル化するのに用いられて良い。
Figure 2014027301
ここで、u(1)は部分エッチング時間、u(2)は一部の工程でのO2流、u(3)はエッチング工程でのO2流、u(4)は一部の工程での端部基板温度である。
2次計画法が用いられるとき、目的関数及び制約条件は以降に示すように決定されて良い。
Figure 2014027301
ここで、[w1,w2,w3,w4]=[0.6,0.1,0.2,0.1]で、不等式で表される制約条件は、5≦u(1)≦50、5≦u(2)≦20、2≦u(3)≦10、45≦u(4)≦65である。
実施例によっては、DOEは、各可能な制御ノブと各層の入力と出力の相互作用のプロセスゲイン、及び層間プロセス制御の相互作用とゲインをモデル化するように実行されて良い。各制御ノブと出力との相互作用を決定する方法は、モデルの安定性-たとえば相対ゲインアレイ-の評価及び最適化に用いられて良い。この情報はまた相互作用しない個々のフィードバックループの設定をも行って良い。
たとえばMATLAB(登録商標)は、1つ以上の相対ゲインアレイ(RGA)の計算に用いられて良い(SkogestadとPostlethwaite、2005年)。ここでRGA=G×(G-1)Tで、□は要素間の乗算を表す。それに加えて、次のような対生成規則が用いられて良い。
(1)一のRGA要素に最も近いものを選択する
(2)負のRGA要素での対生成を作らないようにする
プロセス制御多変数アプリケーションで用いられる分析デバイスは、単一ループ制御と多変数制御との比較に基づき、かつ入力変数と出力変数の対の間での単一ループ挙動の測定値と、多変数制御をある程度理想化した状態での同一の入力変数と出力変数の対の測定との比からなる(全ての可能な入力変数と出力変数の対についての)アレイとして表される。
MLMIMOモデル化は、1組の目標(すなわち目標とされた出力)についての最適な入力を計算するのに用いられる。制約条件は、プロセスパラメータ-たとえば時間、気体流、及び層の側での温度-の範囲であって良い。MLMIMOによって、1組の重み付けが、最適化器が、ある所与の時間での現在のプロセス計算よりも、ほとんどの値での出力を優先させるために操作するように与えられる。標的の重み付けが用いられて良い。ここで方程式が重み付けの計算に適用される。この計算は、最適化器が直線的又は非直線的に標的から離れる用に移動することで、実効的に不利益となるような標的及び利得定数を与える。標的は中央の標的又は極限の標的であって良い(所与の値を超えた値-たとえばSWAによる)。
フィードバックは、多重ループの形式をとって良い。フィードバックエラーの計算による目標出力の各々は、実際に予測できないエラーに基づく。MLMIMOによって、各予測出力エラーは、実際のエラーを決定するフィードバック測定により計算され、かつ適合される必要がある。フィードバックフィルタリング法-たとえば指数重み付け移動平均(EWMA)又はカルマンフィルタ-が雑音のフィルタリングに用いられて良い。層の制御装置の出力は適合度を含んで良い。この適合度の値は、カスケード層制御装置の出力として用いられて良い。
基板は、1つ以上の上側端部領域、1つ以上の中央領域、及び1つ以上の下側端部領域に区分けされて良い。
プロセス工程が実行されることで、直前の更新に取り入れられている過去の計算、計算エラー、装置状態又は材料状態の変化に基づいて新たな更新が可能となるので、層の制御装置はそれぞれ異なる回数の更新を含んで良い。
一部の例では、受け取られた基板は、過去のプロセス又は外部システムによって、その受け取られた基板上にパターニングされたフォトレジスト層を有して良く、かつMLMIMO処理シーケンスは、1つ以上の搬送処理、1つ以上の計測処理、1つ以上の部分エッチング処理、1つ以上の全体エッチング処理、1つ以上のデータ評価処理、及び1つ以上のモデル化シーケンスを有して良い。たとえば搬送シーケンスは、部分エッチング処理を必要とする基板の枚数、全体エッチング処理を必要とする基板の枚数、評価を必要とする基板の枚数、利用可能な評価素子の数、及び1つ以上の搬送サブシステムの搬入出データに基づいて設定されて良い。
部位のサイズが65nmノード未満にまで減少することで、正確な処理及び/又は測定データが、より重要となり、かつより取得することが難しくなる。MLMIMOモデル及び関連する処理シーケンスは、これらの極小デバイス及び部位の正確な処理及び/又は測定に用いられて良い。MLMIMO処理から得られるデータは、警告及び/又は制御限界と比較することが可能で、実行規則が破られるときには処理に問題が生じたことを示す警告が発生し、かつ補正処理がリアルタイムで実行可能となる。
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、MLMIMOモデル及び関連する処理シーケンスで使用可能な1つ以上の処理要素を有して良い。
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、スキャナサブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、データ搬送サブシステム181を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、計測サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているTactras(商標)の一部であって良い。
リソグラフィサブシステム110は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、1つ以上の制御装置114、及び1つ以上の評価要素115を有して良い。1つ以上の搬送/格納要素112は、1つ以上の処理要素113及び/又は1つ以上の評価要素115と結合して良く、かつ111を介して搬送サブシステム170と結合して良い。搬送サブシステム170は111を介してリソグラフィサブシステム110と結合し、かつ1枚以上の基板105は111を介して搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。
実施例によっては、リソグラフィサブシステム110は、1枚以上の基板に対して、コーティング処理、測定処理、検査処理、位置合わせ処理、及び/又は格納処理を実行して良い。たとえば1つ以上のリソグラフィ関連プロセスは、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の堆積に用いられて良く、かつ1層以上のマスク層の熱処理(ベーキング)に用いられても良い。それに加えて、リソグラフィサブシステム110は、1枚以上の基板上の1層以上のマスク層の現像、測定、及び/又は検査に用いられて良い。
スキャナサブシステム120は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、1つ以上の制御装置124、及び1つ以上の評価要素125を有して良い。1つ以上の搬送/格納要素122は、1つ以上の処理要素123及び/又は1つ以上の評価要素125と結合して良く、かつ121を介して搬送サブシステム170と結合して良い。搬送サブシステム170は121を介してスキャナサブシステム120と結合し、かつ1枚以上の基板105は121を介して搬送サブシステム170とスキャナサブシステム120との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。
実施例によっては、スキャナサブシステム120は、湿式及び/又は乾式露光処理を実行するのに用いられて良く、また別な実施例では、スキャナサブシステム120は、極紫外(EUV)露光処理を実行するのに用いられて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上の基板105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上の基板及び/又は基板の1層以上の層の測定及び/又は検査に用いられて良い。エッチングサブシステム130は、図2A-2F及び図3A-3Fで記載されるように配置されて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上の基板105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上の基板及び/又は基板の1層以上の層の測定及び/又は検査に用いられて良い。エッチングサブシステム130は、図2A-2F及び図3A-3Fで記載されるように配置されて良い。
堆積サブシステム140は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、1つ以上の制御装置144、及び1つ以上の評価要素145を有して良い。1つ以上の搬送/格納要素142は、1つ以上の処理要素143及び/又は1つ以上の評価要素145と結合して良く、かつ141を介して搬送サブシステム170と結合して良い。搬送サブシステム170は141を介して堆積サブシステム140と結合し、かつ1枚以上の基板105は141を介して搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。たとえば1つ以上の処理要素143は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、基板の1つ以上の表面の測定及び/又は検査に用いられて良い。
検査サブシステム150は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、1つ以上の制御装置154、及び1つ以上の評価要素155を有して良い。1つ以上の搬送/格納要素152は、1つ以上の処理要素153及び/又は1つ以上の評価要素155と結合して良く、かつ151を介して搬送サブシステム170と結合して良い。搬送サブシステム170は151を介して検査サブシステム150と結合し、かつ1枚以上の基板105は151を介して搬送サブシステム170と検査サブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。
計測サブシステム160は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、1つ以上の制御装置164、及び1つ以上の評価要素165を有して良い。1つ以上の搬送/格納要素162は、1つ以上の処理要素163及び/又は1つ以上の評価要素165と結合して良く、かつ161を介して搬送サブシステム170と結合して良い。搬送サブシステム170は161を介して計測サブシステム160と結合し、かつ1枚以上の基板105は161を介して搬送サブシステム170と計測サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。計測サブシステム160は1つ以上の処理要素163を有して良く、1つ以上の処理要素163は、ライブラリに基づく又は回帰分析に基づく手法を用いて基板上での1つ以上の位置での標的構造の測定に用いられて良い。たとえば基板上の位置には、MLMIMO位置、標的位置、オーバーレイ位置、位置合わせ位置、測定位置、確認位置、検査位置、若しくは損傷評価位置、又はこれらの結合が含まれて良い。たとえば1つ以上の「金の基板」又は参照用チップが、1つ以上の処理要素163及び/又は1つ以上の評価要素165の性能の確認のため、周期的に格納及び使用されて良い。
実施例によっては、計測サブシステム160は、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)要素(図示されていない)を有して良い。iODP要素/システムはティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。あるいはその代わりに他の計測システムが用いられても良い。たとえばiODP技術は、限界寸法(CD)データ、ゲート構造データ、及び厚さデータを含むリアルタイムデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP要素は、ODPプロファイラライブラリ要素、プロファイラアプリケーションサーバ(PAS)要素、及びODPプロファイラソフトウエア要素を有して良い。ODPプロファイラライブラリ要素は、光スペクトルについての用途特定データベース要素、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PAS要素は光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PAS要素は、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエア要素は、PAS要素にインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ要素、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。
計測サブシステム160は、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確なCD、及び基板の複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測処理(iODP)は、サブシステムの一体化された群内での一体化された処理として実行されて良い。それに加えて、一体化された処理は、解析の実行又は外部システムからのデータを長期間待つのに基板を壊す必要がなくなる。iODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。シミュレーションによる計測データは、マクスウエル方程式を適用して、数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。
搬送サブシステム170は、搬送トラック(175、176、及び177)と結合する搬送要素174を有して良い。搬送トラック(175、176、及び177)は、基板の受け取り、基板の搬送、基板の位置合わせ、基板の格納、及び/又は基板の停留に用いられて良い。たとえば搬送要素174は、2枚以上の基板を支持して良い。搬送サブシステム170は、基板の搬入、搬送、格納、及び/又は搬出を行って良い。その際には、MLMIMOモデル、MLMIMOに関連する処理シーケンス、搬送シーケンス、動作状態、基板及び/又は処理状態、処理時間、現在の時刻、基板データ、基板上での位置の数、基板上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。
いくつかの例では、搬送サブシステム170は、どこにいつ基板を搬送するのかを決定するための搬入出データを用いて良い。他の例では、搬送システムは、どこにいつ基板を搬送するのかを決定するためのMLMIMOモデル化データを用いて良い。あるいはその代わりに他の処理が用いられても良い。たとえば基板の第1数が利用可能な処理要素の数以下であるとき、その第1数の基板は、搬送サブシステム170を用いることによって、その第1数の利用可能な処理要素へ搬送されて良い。基板の第1数が利用可能な処理要素の数よりも多いとき、一部の基板は、1つ以上の搬送/格納要素(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
それに加えて、リソグラフィ関連処理、スキャナ関連処理、検査関連処理、測定関連処理、評価関連処理、エッチング関連処理、堆積関連処理、熱処理、コーティング関連処理、位置合わせ関連処理、研磨関連処理、格納関連処理、搬送処理、クリーニング関連処理、再加工関連処理、酸化関連処理、窒化関連処理、若しくは外部処理要素、又はこれらの結合を実行するときには、1つ以上のサブシステム(110、120、130、140、150、160、及び170)が用いられて良い。
動作状態のデータがサブシステム(110、120、130、140、150、160、及び170)について設定され、かつMLMIMO関連の処理シーケンスによって使用及び/又は更新されて良い。それに加えて、動作状態のデータは、搬送/格納要素(112、122、132、142、152、及び162)、処理要素(113、123、133、143、153、及び163)、及び評価要素(115、125、135、145、155、及び165)について設定され、かつMLMIMO関連の処理シーケンスによって更新されて良い。たとえば処理要素についての動作状態を表すデータは、利用可能性のデータ、処理要素についての適合データ、一部の処理工程及び/若しくは位置についての予想された処理時間、処理要素についての歩留まりデータ、信頼性データ及び/若しくは危険性データ、又は、1つ以上のMLMIMO関連処理についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は1つ以上の処理要素及び/又は1つ以上のサブシステムへ問い合わせることによってリアルタイムで取得されて良い。更新された搬入出データは、1つ以上の搬送要素及び/又は1つ以上の搬送サブシステムへ問い合わせることによってリアルタイムで取得されて良い。
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理要素を有するマイクロプロセッサを有して良い。
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部デバイスと結合して良い。
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイムMLMIMO関連処理を実行するときに用いられて良い。制御装置は、MLMIMOモデルからリアルタイムデータを受け取ることで、サブシステム、処理要素、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、シーケンスデータ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、基板及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変化するとき、レジストモデルが変化するとき、処理シーケンスが変化するとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
例によっては、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、MLMIMO関連のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理シーケンスデータ、及び/又は基板データを供しても良い。
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム構成要素は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成要素を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。たとえばCD走査電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、光デジタルプロファイロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光計測システムを含む測定用構成要素が供されても良い。サブシステム及び/又は処理用の構成要素は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
1つ以上のサブシステム(110、120、130、140、150、160、及び170)は制御アプリケーション、グラフィカルユーザーインターフェース(GUI)アプリケーション、及び/又はデータベースアプリケーションを実行して良い。それに加えて、1つ以上のサブシステム(110、120、130、140、150、160、及び170)及び/又は制御装置(114、124、134、144、154、164及び190)は、実験計画法(DOE)アプリケーション、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。
MLMIMOモデル化処理からの出力データ及び/又はメッセージは、プロセス正確さ及び精度を最適化する後続の処理に用いられて良い。データは、リアルタイム変数パラメータとして、MLMIMO関連処理へリアルタイムで受け渡されて良い。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、MLMIMO関連処理を最適化して良い。
回帰分析に基づくライブラリ生成処理が用いられるとき、測定されたMLMIMOモデル関連データは、シミュレーションによるMLMIMOモデル関連データと比較されて良い。シミュレーションによるMLMIMOデータは、プロセス関連パラメータに基づいて繰り返し生成されることで、1組のプロセス関連パラメータの収束値を得て良い。その収束値は、測定されたMLMIMOモデル関連データに対して、最も良く一致するシミュレーションによるMLMIMOモデル関連データを生成する。ライブラリに基づく処理が用いられるとき、MLMIMOモデル関連のライブラリが、MLMIMOモデル関連の処理、レシピ、プロファイル、及び/又はモデルを用いて、生成及び/又は改良されて良い。たとえばMLMIMOモデル関連ライブラリは、シミュレーションによる及び/又は測定されたMLMIMO関連データ、並びに対応する処理シーケンスデータの組を有して良い。回帰分析に基づく及び/又はライブラリに基づくプロセスは、リアルタイムで実行されて良い。MLMIMO関連ライブラリ用のデータを生成する他の処理には、機械学習システム(MLS)を用いた処理が含まれて良い。たとえばMLMIMO関連ライブラリデータを生成する前に、MLSは既知の入出力データを用いて訓練されて良く、かつMLSはMLMIMO関連ライブラリデータの一部からなる組によって訓練されて良い。
MLMIMOモデルは、一致する条件に出会うときには常に実行される介入及び/又は判断規則を有して良い。介入並びに/又は判断規則及び/若しくは制限は、処理の履歴、使用者の経験、又は処理に関する知識に基づいて設定されて良く、又はホストコンピュータから得られても良い。規則は、警告条件、エラー条件、異常条件、及び/又は警報条件に対してどのように応答するのかを判断する、装置異常検出及び分類(FDC)処理に用いられて良い。規則に基づくFDC処理は、異常を優先させかつ/又は分類し、システム性能を予測し、予防保守スケジュールを予測し、保守のための不稼働時間を減らし、かつシステム内の消耗部品の寿命を延ばすことができる。警告/警報に対して様々な動作が行われて良い。その警告/警報に対して行われる動作は状態に基づく。その状態のデータは、規則、システム/プロセスレシピ、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータの種類によって特定されて良い。
成功しなかった処理シーケンスは、限界を超えるときに、故障を報告することができる。成功した処理シーケンスは、限界に近づいているときに、警告メッセージを生成することができる。処理エラーについて予め特定された行為は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。たとえばMLMIMO関連処理は、測定処理が失敗したときに、基板の1つ以上の位置でのデータを拒否して良い。
MLMIMOモデルは、様々な時間及び/又は位置で、孤立及び/又は入れ子構造の生成、修正、及び/又は評価に用いられて良い。たとえばゲート積層構造の大きさ及び基板の厚さデータは、孤立構造及び/又は入れ子構造付近でそれぞれ異なっていて良い。またゲート積層構造の大きさ及び基板の厚さデータは、開いた領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。MLMIMOモデルは、孤立構造及び/又は入れ子構造について最適化されたデータを生成して、プロセスレシピ及び/又はプロセス時間を更新及び/又は最適化して良い。
MLMIMOモデルは、終点検出(EPD)データ及びプロセス時間を用いて、正確さを改良して良い。EPDデータがエッチング処理の停止に用いられるとき、EPD時間データ及びプロセス時間データは、エッチング量の推定及び/又は厚さの推定に用いられて良い。
様々な例では、MLMIMOモデル関連の限界は、「金の」処理チャンバ内でMLMIMOモデル関連処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、シミュレーションデータであって良くかつ予測データであって良い。部分エッチング処理の限界は、「金の」処理チャンバ内で部分エッチング処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、MES180から得られて良く、シミュレーションデータであって良くかつ予測データであって良い。部分エッチング処理の限界は、「金の」処理チャンバ内でCORエッチング処理を実行することによって得られて良く、ライブラリ内に保存される履歴データであって良く、点検された堆積処理を実行することによって得られて良く、MES180から得られて良く、シミュレーションデータであって良くかつ予測データであって良い。
図2A-2Fは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を示している。
第1典型的エッチングサブシステム200Aが図2Aに図示されている。図示されたエッチングサブシステム200Aは、プラズマ処理チャンバ210、被処理基板225が上に固定される基板ホルダ220、ガス注入システム240、及び真空排気システム257を有する。たとえば基板ホルダ220は、基盤229を用いることによって、プラズマ処理チャンバ210と結合し、かつプラズマ処理チャンバ210から隔離されて良い。基板225はたとえば、半導体基板、試料、又は液晶ディスプレイ(LCD)であって良い。たとえばプラズマ処理チャンバ210は、基板225の表面に隣接する処理領域245内でのプラズマの発生を助けるように備えられていて良い。ここでプラズマは、熱電子と電離可能ガスとの衝突によって生成される。電離可能ガス又は複数の種類のガスの混合物はガス注入システム240を介して導入され、かつ処理圧力が調節される。望ましくは、プラズマは、所定の材料プロセスに特有な材料の生成、及び、基板225への材料の堆積又は基板225の露出表面からの材料の除去のいずれかを助けるのに利用される。たとえば制御装置255は、真空排気システム257及びガス注入システム240の制御装置に利用されて良い。
基板225はたとえば、ロボット搬送システムによって、スロットバルブ(図示されていない)及びチャンバフィードスルー(図示されていない)を介して、プラズマ処理チャンバ210に対して搬入出されて良い。基板225は基板ホルダ220内に格納された基板リフトピン(図示されていない)によって受け取られ、かつ基板ホルダ220内に格納された装置によって機械的に平行移動される。基板225が搬送システムから受け取られた後、基板225は基板ホルダ220の上面にまで下げられる。
たとえば基板225は、静電クランプシステム(図示されていない)によって基板ホルダ220に固定されて良い。さらに基板ホルダ220は温度制御システム228をさらに有して良い。しかもガスはデュアル(中心/端部)背面ガスシステム226を介して基板の背面へ供給されることで、基板225と基板ホルダ220との間のガスギャップ熱伝導が改善される。デュアル(中心/端部)背面ガスシステムは、昇温又は降温する際にさらなる基板温度制御が必要なときに利用されて良い。たとえば基板温度の制御は、プラズマから基板225へ供給される熱流束と、基板から熱伝導によって基板225から除去されてホルダ220へ向かう熱流束とのバランスによって実現される定常状態の温度を超えたときに有用となりうる。他の実施例では、加熱素子-たとえば抵抗加熱素子又は熱電ヒーター/冷却器-が含まれても良い。
図2Aに図示されているように、基板ホルダ220は下部電極221を有して良い。下部電極221を介して、高周波(RF)出力は、処理領域245内のプラズマと結合することができる。たとえば下部電極221は、RF発生装置230からインピーダンス整合ネットワーク232を介して下部電極221へ、RF出力を伝送することによって、RF電圧で電気的にバイアスがかけられて良い。RFバイアスは、電子を加熱してプラズマを生成及び維持する役割を果たして良い。RFバイアスにとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。
あるいはその代わりに、RF出力は、複数の周波数で下部電極221へ印加されて良い。さらにインピーダンス整合ネットワーク232は、反射出力を最小限に抑制することによって、処理チャンバ210内のプラズマへのRF出力の伝送を最大化するように機能する。様々な整合ネットワーク接続形態及び自動制御法が利用されて良い。
続けて図2Aを参照すると、プロセスガスが、ガス注入システム240を介して、1つ以上の処理領域245へ導入されて良い。プロセスガスはたとえば混合ガスを有して良い。混合ガスとはたとえば、酸化物エッチング用にアルゴン、CF4、及びO2、若しくはアルゴン、C4F8、及びO2、又は、他の化学物質-たとえばO2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、HBr-を有して良い。ガス注入システム240は、基板225への汚染物の導入を減少又は最小限に抑制するように備えられ、かつガス注入プレナム241及び多オリフィスシャワーヘッドガス注入板242を有して良い。たとえばプロセスガスは、ガス供給システム(図示されていない)から供給されて良い。ガス注入システム240は、処理領域245の各異なる領域に対して各異なる流速を供して良い。あるいはその代わりにガス注入システム240は、処理領域245の各異なる領域へ各異なるプロセスガスを供しても良い。
たとえば真空排気システム257は、毎秒最大5000リットル(以上)の排気能力を有するターボ分子ポンプ(TMP)258、及びチャンバ圧力を制御するゲートバルブ259を有して良い。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPが一般的に用いられている。TMPは、低圧-典型的には50mTorr未満-プロセスにとって有効である。高圧では、TMP排気速度は劇的に低下する。高いプロセス圧力(つまり100mTorrよりも高圧)では、メカニカルブースターポンプ及びドライ粗引きポンプが使用されて良い。さらにチャンバ圧力を監視する装置(図示されていない)が、処理チャンバ210に結合して良い。圧力測定装置はたとえば、MKSインスツルメンツ(MKS Instruments Inc)から販売されている628B型のバラトロン(登録商標)絶対キャパシタンスマノメータであって良い。
図2Aに図示されているように、エッチングサブシステム200Aは、性能データを得るためにプラズマ処理チャンバ210と結合する1つ以上のセンサ250、及び性能データを受け取るためにセンサ250と結合する制御装置255を有して良い。センサ250は、プラズマ処理チャンバ210内部のセンサと、プラズマ処理チャンバ210外部のセンサの両方を有して良い。内部センサは、プラズマ処理チャンバ210の機能に関するこれらのセンサを有して良い。これらのセンサが測定するのは、たとえばヘリウム背面ガス圧、ヘリウム背面流、静電クランプ(ESC)電圧、ESC電流、基板ホルダ220の温度(又は下部電極(LEL)温度)、冷媒温度、上部電極(UEL)温度、前進RF出力、反射RF出力、自己誘導DCバイアス、RFピーク間電圧、チャンバ壁温度、プロセスガス流速、プロセスガス分圧、チャンバ圧力、キャパシタ設定(つまりC1及びC2の位置)、焦点リング厚さ、RF時間、フォーカスリングRF時間、及びこれらの統計値である。あるいはその代わりに、外部センサは、図2Aに図示された処理領域245内のプラズマから放出される光を監視する1つ以上の光デバイス、及び/又は図2Aに図示されたプラズマ処理システム210の電気システムを監視する1つ以上の電気測定デバイス236を有して良い。光デバイス234は、終点検出器(EPD)として利用可能であってEPDデータを供することのできる光センサを有して良い。たとえば発光分光(OES)センサが用いられて良い。
電気測定デバイス236は、電流及び/若しくは電圧プローブ、パワーメータ、又はスペクトルアナライザを有して良い。たとえば電気測定デバイス236はRFインピーダンスアナライザを有して良い。さらに電気信号-たとえば電圧又は電流の時間経過-の測定は、離散的フーリエ級数表現(周期的な信号を仮定する)を用いることによる、周波数ドメインへの信号変換を可能にする。その後フーリエスペクトル(又は時間変化する信号であれば周波数スペクトル)が監視及び解析されることで、プラズマの状態が特徴付けられて良い。代替実施例では、電気測定デバイス236は、プラズマ処理チャンバ210の外部である放射RF場の測定に有用である。
制御装置255は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、エッチングサブシステム200からの出力を監視するのみならず、エッチングサブシステム200の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。図2Aに図示されているように、制御装置255は、第1RF発生装置230、インピーダンス整合ネットワーク232、ガス注入システム240、真空排気システム257、背面ガス供給システム226、温度制御システム228、光デバイス234、電気測定デバイス236、及びセンサ250と結合し、かつこれらと情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶された第2レシピに従ってエッチングサブシステム200の上記構成要素と相互作用するのに利用される。
図2Bに図示された典型的実施例では、図2Aを参照しながら説明されたそれらの構成要素に加えて、プラズマ密度の増大及び/又はプラズマ処理の均一性の改善を可能にするため、静磁場又は機械的若しくは電気的に回転する磁場システム260をさらに有して良い。しかも制御装置255は、回転速度及び磁場強度を制御するため、磁場システム260と結合して良い。回転磁場の設計及び実装は当業者には周知である。
図2Cに図示された実施例では、エッチングサブシステム200Cは、図2A又は図2Cの実施例と同様であり、かつ上部電極270をさらに有する。RF出力は、RF発生装置272から光インピーダンス整合ネットワーク274を介して上部電極270へ結合して良い。上部電極へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて下部電極へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。しかも制御装置255は、上部電極270へのRF出力の印加を制御するため、RF発生装置272及びインピーダンス整合ネットワーク274と結合して良い。上部電極の設計及び実装は当業者にとっては周知である。上部電極270及びガス分配システム240は、図示されているように互いに結合して良い。
図2Dに図示された実施例では、エッチングサブシステム200Dは、図2A及び図2Bの実施例と同様であり、かつ誘導コイル280をさらに有する。RF出力は、RF発生装置282によって光インピーダンス整合ネットワーク284を介して誘導コイル280へ結合して良い。RF出力は、誘導コイル280から誘電体窓(図示されていない)を介してプラズマ処理領域245と誘導結合して良い。誘導コイル280へRF出力を印加する際の周波数は、約0.1MHzから約200MHzの範囲であって良い。同様に下部電極へRF出力を印加する際の周波数は、約0.1MHzから約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)は、誘導コイル280とプラズマとの間の容量結合を減少させるように用いられて良い。しかも制御装置255は、誘導コイル280へのRF出力の印加を制御するため、RF発生装置282及びインピーダンス整合ネットワーク284と結合して良い。
代替実施例(図示されていない)では、「螺旋」又は「パンケーキ」コイル形態が、誘導コイルに用いられて良い。誘導結合プラズマ(ICP)源、又はトランス結合プラズマ(TCP)源の設計及び実装は当業者にとっては周知である。
図2Eに図示された実施例では、エッチングサブシステム200Eはたとえば、図2A、図2B、図2C、及び図2Dの実施例と同様であり、かつ第2RF発生装置235をさらに有する。第2RF発生装置は、RF出力を、他の光インピーダンス整合ネットワーク237を介して基板ホルダ220へ結合するように備えられていて良い。基板ホルダ220へRF出力を印加する際の典型的周波数は、第1RF発生装置及び/又は第2RF発生装置については、約0.1MHzから約200MHzの範囲であって良い。第2RF発生装置235のRF周波数は、第1RF発生装置230のRF周波数よりも相対的に大きくて良い。さらに、第1RF発生装置230からの基板ホルダ220へのRF出力は振幅変調であって良く、かつ/あるいは、第2RF発生装置235からの基板ホルダ220へのRF出力は振幅変調であって良い。望ましくは、より高い周波数でのRF出力は振幅変調である。しかも制御装置255は、基板ホルダ220へのRF出力の印加を制御するため、RF発生装置235及びインピーダンス整合ネットワーク237と結合して良い。基板ホルダ用のRFシステムの設計及び実装は当業者にとっては周知である。
図2Fに図示された実施例では、エッチングサブシステム200Fはたとえば、図2A及び図2Eの実施例と同様であり、かつ表面波プラズマ(SWP)源285をさらに有する。SWP源285はスロットアンテナ-たとえばラジアルラインスロットアンテナ(RLSA)-をさらに有して良い。マイクロ波出力は、マイクロ波発生装置によって、光インピーダンス整合ネットワーク287を介してスロットアンテナへ結合して良い。
図3A-3Fは、本発明の実施例によるエッチングサブシステムの追加実施例を図示している。図3A-3Fは、図2A-2Fに図示された典型的エッチングサブシステム200A-200Fと同様であるが、エッチングサブシステム300A-300Fは、少なくとも1つのDC電極305及び少なくとも1つのDC電源を有する。
パターンエッチング中、電子を加熱し、かつそれに続いてプロセスガスの原子及び/又は分子組成物を電離及び/又は分解するため、ドライプラズマエッチングプロセスが通常は利用され、プラズマは、電磁(EM)エネルギー-たとえば高周波(RF)出力-をプロセスガスと結合させることによって、プロセスガスから生成される。それに加えて、負の高電圧直流(DC)電源は、RFサイクルの一部の間、つまり結合RF出力の正である半周期の間、基板表面に衝突する活性の(弾道)電子ビームを生成するため、プラズマ処理システムと結合して良い。弾道電子ビームは、たとえば(エッチングされる)下地薄膜とマスク層との間のエッチング選択性を改善し、電子シェーディング損傷のような電荷損傷を減少させることによって、ドライプラズマエッチング処理の特性を改善して良い。弾道電子ビームの発生に関する他の詳細は、特許文献1及び特許文献2に開示されている。一般的には、弾道電子ビームは、図3A-3Fに図示されているように、様々な種類のプラズマ処理システム内で実装されて良い。
DC電極305は、シリコン含有材料及び/又はドーピングされたシリコン含有材料を有して良い。DC源306は可変DC電源を有して良い。それに加えてDC源306は双極性DC電源を有して良い。DC電源306は、そのDC電源306の極性、電流、電圧、及び/若しくはオン/オフ状態の監視、調節、並びに/又は制御のうちの少なくとも1つを実行するように備えられていて良い。一旦プラズマが生成されると、DC電源306は弾道電子ビームの生成を補助する。電気フィルタは、DC電源306からRF出力を切り離すのに利用されて良い。
たとえばDC電源306によってDC電極305へ印加されるDC電圧は、約-2000ボルト(V)から約1000ボルト(V)の範囲であって良い。望ましくはDC電圧の絶対値は約100V以上の値を有し、より望ましくはDC電圧の絶対値は約500V以上の値を有する。それに加えてDC電圧は負の極性を有することが望ましい。さらに、DC電圧は、自己バイアス電圧よりも大きな絶対値を有する負の電圧であることが望ましい。
代替実施例では、化学酸化物除去(COR)サブシステム(図示されていない)が、酸化多結晶シリコン材料の除去又は削除に用いられて良い。それに加えて、CORサブシステムは、酸化物マスク層の除去又は削除に用いられて良い。たとえばCORサブシステムは、基板上の露出表面層-たとえば酸化物表面層-を化学処理する化学処理モジュール(図示されていない)を有して良い。露出表面上の処理化学物質の吸着は、表面層の化学的な変化に影響を及ぼす。それに加えてCORサブシステムは、基板を熱処理する熱処理モジュール(図示されていない)を有して良い。ここで基板上の化学的に変化した露出表面層を脱離(又は蒸発)させるため、基板温度を上昇させる。
図4は、本発明の実施例による、負のチャネルの電界効果型トランジスタ(nFET)及び正のチャネルの電界効果型トランジスタ(pFET)の典型図を表している。図4は、nFET構造400aの典型的ゲート積層体、及びpFET構造400bの典型的ゲート積層体を図示している。nFET構造400aの典型的ゲート積層体は、バルクシリコン層405a、埋め込み酸化層410a、絶縁体上のシリコン(SOI)層415a、ゲート酸化層420a、第1多結晶シリコンゲート構造430a、ドーピングされた多結晶シリコン層440a、窒化物キャップ層445a、酸化層450a、及び底部反射防止コーティング(BARC)層455aを有して良い。第1多結晶シリコンゲート構造430aは、第1側壁角431a及びそれに関連する第1底部CD432aを有して良い。第1底部CD432aは、ゲート長パラメータ及び/又はゲート幅パラメータに関連づけられて良い。あるいはその代わりに、第1ゲート構造は異なって良く、層数は異なって良く、かつ他の材料が用いられても良い。pFET構造400bの典型的ゲート積層体は、バルクシリコン層405b、埋め込み酸化層410b、絶縁体上のシリコン(SOI)層415b、ゲート酸化層420b、第2多結晶シリコンゲート構造430b、窒化物キャップ層445b、酸化層450b、及び底部反射防止コーティング(BARC)層455bを有して良い。第2多結晶シリコンゲート構造430bは、第2側壁角431b及びそれに関連する第2底部CD432bを有して良い。第2底部CD432bは、ゲート長パラメータ及び/又はゲート幅パラメータに関連づけられて良い。あるいはその代わりに、第2ゲート構造は異なって良く、層数は異なって良く、かつ他の材料が用いられても良い。実施例によっては、MLMIMOシーケンスについて前処理データが設定されて良く、かつMLMIMOシーケンスの実行前に典型的データ積層体が測定されて良い。また実施例によっては、1つ以上のパターニングされたマスク層を用いたMLMIMO処理について前処理データが設定されて良く、かつゲート積層体の生成前に1つ以上のパターニングされたマスク層が測定されて良い。
側壁角度(431a及び431b)は約80°から約90°の範囲で変化して良い。底部CD(432a及び432b)は約25nmから約60nmの範囲で変化して良い。ゲート酸化層(450a及び450b)は約25nmから約60nmの範囲で変化して良い。窒化物キャップ層(445a及び445b)の厚さは約1nmから約10nmの範囲で変化して良い。ゲート構造(400a及び400b)の厚さは約125nmから約160nmの範囲で変化して良い。
図5は、本発明の実施例による典型的な多層/多入力/多出力(MLMIMO)モデルの最適化及び制御法の単純化されたブロック図を示している。図示されたMLMIMOモデルの方法では、パターニングされたフォトレジスト(PR)積層体1と後処理されたゲート積層体5の一部の典型的な像が図示されている。PR積層体1の”PR”層は、1つ以上のPR層CD2及び1つ以上のPR層側壁角(SWA)3を有して良い。PR積層体1は第1組のパラメータ4を用いることによって特徴付けられて良い。第1組のパラメータ4は、中心及び端部フォトレジスト(PR C/E)データアイテム、中心及び端部厚さ(Thick C/E)データアイテム、CD中心部データアイテム、CD端部データアイテム、SWA中心部データアイテム、及びSWA端部データアイテムを含んで良い。あるいはその代わりに、異なる組のパラメータが用いられても良い。ゲート積層体5の”Poly”層は1つ以上のPoly層CD6及び1つ以上のPoly層SWA7を含んで良い。ゲート積層体5は第2組のパラメータ8を用いることによって特徴付けられて良い。第2組のパラメータ8は、中心及び端部”Poly”フォトレジスト(Poly C/E)データアイテム、中心及び端部BARC(BARC C/E)データアイテム、CD中心部データアイテム、CD端部データアイテム、SWA中心部データアイテム、及びSWA端部データアイテムを含んで良い。あるいはその代わりに、異なる組のパラメータが用いられても良い。
図示された方法では、第1集積計測(IM)装置(第1ODP-IM)用制御装置/モデル10は1つ以上のエッチング装置用制御装置/モデル20と結合して良く、かつ1つ以上のエッチング装置用制御装置/モデル20は1つ以上の第2集積計測(IM)装置(第2ODP-IM)用制御装置/モデル30と結合して良い。第1集積計測(IM)装置(第1ODP-IM)用制御装置/モデル10はCDデータ11及びSWAデータ12を受け取り、かつフィードフォワードデータ15を供して良い。第2集積計測(IM)装置(第2ODP-IM)用制御装置/モデル30はCDデータ31及びSWAデータ32を受け取り、かつフィードバックデータ35を供して良い。一部の例では、ウエハ間フィードフォワードデータ(W2W FF)15は第1ODP-IM制御装置/モデル10と関連づけられ、かつウエハ間フィードバックデータ(W2W FB)35は第2ODP-IM制御装置/モデル30と関連づけられて良い。それに加えて、1つ以上のエッチング制御装置/モデル20は、ウエハ間(W2W)を基礎とするゲート積層体のプロファイル制御25、及びウエハ内(WiW)を基礎とするゲート積層体プロファイルの制御25に用いられて良い。
データアイテム16は、基板中心部及び基板端部でのエッチングバイアスの計算に用いることのできる第1計算用構成要素40へ送られて良い。第1組の標的パラメータ41は第1計算用構成要素40へ供され、かつ第2組のフィルタ出力71は第1計算用構成要素40へ供されて良い。第1計算用構成要素40からの出力データアイテム42は1つ以上のMLMIMOモデル最適化器50へ供されて良い。
1つ以上のMLMIMOモデル最適化器50には、装置の制限、レシピの制限、及び/又は時間の制限を含む制約パラメータ51が供されて良い。図示された例では、制約パラメータ51は工程に基づくプロセスガス制限を含んで良い。1つ以上のMLMIMOモデル最適化器50は、1つ以上のエッチング装置用制御装置/モデル20へ送ることの可能な1組以上のレシピパラメータ21を決定して良い。
1つ以上のエッチング装置用制御装置/モデル20は予測データアイテム27の計算に用いられて良い。予測データアイテム27は、1つ以上の予測エッチングバイアス、1つ以上の予測SWAバイアス、1つ以上のエッチング用レシピについての1つ以上の予測工程アイテム、及び1つ以上のエッチング用レシピについての1種類以上の予測プロセスガス流を有して良い。
1つ以上の第2ODP-IM制御装置/モデル30は1つ以上の実際の出力33を1つ以上の比較用構成要素60へ供して良い。1つ以上の実際の出力33は1つ以上の予測データアイテム27と比較されて良い。1つ以上の比較用構成要素60からの1つ以上のエラー値65は1つ以上のEWMAフィルタ70へ供されて良い。
1つ以上のEWMAフィルタ70は1つ以上の第1のフィルタリングされた出力71を第1計算用構成要素40へ供して良い。1つ以上のEWMAフィルタ70は1つ以上の第2のフィルタリングされた出力72を1つ以上の重み付け用構成要素80へ供して良い。EWMAフィルタ70の各々は単一のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。あるいはその代わりにEWMAフィルタ70の各々は多数のパラメータ又はエラー値についてフィードバックデータをフィルタリング及び提供して良い。1つ以上の重み付け用構成要素80は、1つ以上のMLMIMOモデル最適化器50から1つ以上の標的データアイテム45及び1つ以上のフィードバックデータアイテム55を受け取って良い。それに加えて、1つ以上の重み付け用構成要素80は、1つ以上の動的に変化する重み付け入力81を1つ以上のMLMIMOモデル最適化器50へ供して良い。フィードバックエラーに基づく動的重み付けの考え方は、最適化器に、最も重要な制御変数-動作時での制御システムの手動調節の自動化-を良好に制御するという目標によって、重み付け(再バランス)を優先させることである。
図6は、本発明の実施例による多層処理シーケンスの単純化されたブロック図を示している。図6は、多層処理シーケンスの単純化された図、並びに事前測定処理、部分エッチング(P-E)処理、最終エッチング(F-E)処理、及び事後測定処理の監視と制御に必要な臨界測定数を図示している。たとえば、ゲートCD制御は、多数の入力パラメータ-たとえばレジストのCD及び側壁角(SWA)で、図ではそれぞれCRPR及びθRで表されている-を含むように発展してきた。CRPR及びCDPは、半導体デバイスが縮小し続けることで小さくなる。
デバイスが縮小することで、プロセスウインドウは小さくなり、かつこれまでは互いに独立していると推定されてきた変数-たとえばSWA、膜の厚さ、及びCD-は相互作用するようになる。この相互作用は多変数プロセス制御方法及びモデルを必要とする。最新のモデルに基づく多変数制御技術はプラズマエッチングについては確立できる。エッチングプロセスの定常状態及び動的数式モデルをプロセス進行中に作成することができる。そのモデルは、エッチングプロセスに関連する物理及び化学に基づき、かつデバイスの電気特性に直接影響を及ぼす多層でのプロセス変数間での複雑な相互作用に価値ある知見を与えることができる。多層プロセスについては、1つ以上の制御変数(CV)は1つ以上の測定パラメータ(CD、SWA、深さ等)に関連づけられて良い。たとえばCVの中には、基板の均一性及び線密度感受性を維持するのに用いられて良いものがある。エッチングプロファイル及び線幅の制御は、中心及び端部プロファイル制御用の操作変数(MV)と呼ばれる2つ以上のレシピパラメータ(工程時間、ガス流、圧力等)の調節を必要とする。プロファイル及び均一性制御を解決するため、システムは、CV、外乱変数(DV)、及びMVについての相互作用の項を含む多層/多入力/多出力(MLMIMO)手法を必要とする。
MLMIMOモデル及び関連する閉ループエッチングプロセス用制御装置が作成されているとき、入ってくるデータの解析が行われて良い。リソグラフィ装置からのプロファイルのバリエーションの源は、厚さ、公称CD、及び側壁角を含むパターニングされたレジスト部位の形状に関連する第1組のパラメータを用いて検討されて良い。それに加えて、他の組のパラメータは、光学データ、反射率データ、透過率データ、回折データ、下地層データ等を含んで良い。
一部の例では、レジスト厚さ(高さ)TPRは、必要なエッチング耐性を供するのに十分な厚さで、かつ良好な像の品質を供するのに十分な薄さであって良い。CDスイングカーブ効果はまたレジスト厚さの操作制御限界をも狭くすることができる。それに加えて、パターニングされた部位の高さは、パターニングされていないレジスト厚さから、現像液のダークロス(dark loss)と低レベル露光強度を引いたものに依存すると考えられる。コーター回転速度の調節は、平均レジスト厚さのばらつきを補正するのに用いられて良い。集積計測装置は、パターニングされたレジスト高さTPR及びBARC厚さTBの監視に用いられて良い。
リソグラフィ後の現像で検査されたCD(DICD)の制御は、エッチング後に最終検査されたCD(FICD)の制御の確認に用いられて良く、かつ典型的には局所的、領域にわたって、及び全体的に監視される。場合によっては、局所的又は領域にわたるCDのばらつきはマスク及び露光効果によって支配され、かつ全体的なCDのばらつきはスキャナ、トラック、及びエッチング装置に起因すると考えられる。均一な露光量マップ及び露光後ベーキング(PEB)温度プロファイルを生成する定期的な装置の最適化は、基板にわたるDICDのばらつきが小さいことを保証するように実行されて良い。それに加えて、ある領域から別な領域への露光量調節マップは、エッチング後でのCD均一性を補正するのに用いられて良く、かつ多領域PEBオフセット調節はウエハ内(WiW)及びウエハ間(W2W)のCD均一性レベル制御の最適化に用いられて良い。さらにフォトレジストSWAはFICDに影響を及ぼして良く、かつSWAのばらつきは露光装置の焦点のばらつきに起因すると考えられる。
図7は、本発明の実施例による多層/多入力/多出力(MLMIMO)モデルを作成する処理についての典型的なフローダイアグラムを表している。図示された実施例では、多数の工程を有する処理700が示されている。あるいはその代わりに異なる数の代替工程が用いられても良い。
710では、多層/多入力/多出力(MLMIMO)モデル化解析処理用候補として1つ以上の多層処理シーケンスが特定されて良い。例によっては、1つ以上の多層ゲート構造(図4の400a及び400b)を形成するために1つ以上のMLMIMOモデルが設定されて良い。
715では、第1組の制御される出力変数(CV)及びCVに関する範囲が決定されて良い。1つ以上のCVはエンドユーザー又は顧客によって特定されて良い。CVは、1つ以上の多層ゲート構造(図1の400a及び400b)に関連する1つ以上の限界寸法(CD)及び/又は1つ以上の側壁角を有して良い。例によっては、1つ以上の部分エッチング(PE)処理及び1つ以上の最終エッチング(FE)処理を有する最終エッチングシーケンスが実行されて良い。たとえば、最終エッチングシーケンスはゲート積層体の1つ以上の部分を完成させるように行われて良く、かつ、pFETデバイス、nFETデバイス、3ゲートデバイス、及びFinFETデバイスについて異なる最終エッチングシーケンスが行われて良い。
720では、MLMIMOに関連する操作変数(MV)についての第1組の候補が、1つ以上の候補レシピを用いて決定されて良い。MVはWiWでの操作変数(WiW-MV)を有して良い。WiW-MVは、基板が処理されている間に制御可能な“速い”MVを有して良い。MVはW2W操作された変数(W2W-MV)を有して良い。W2W-MVは、ウエハロットが処理されている間に制御可能な“遅い”MVを有して良い。候補レシピの各工程についてMVの範囲が検討されて良い。
725では、実験計画(DOE)法は、MLMIMOモデルを解析するように実行されて良い。物理的解析及び工学的経験を用いることによって、実験計画(DOE)法は、各CVとMVを関連させることのできる統計的モデルを確立するように実行されて良い。実験回数が増えれば、より正確なモデルを得ることができるが、さらなる材料と時間を犠牲にすることになる。従ってコストと供給の程度はDOEの基板数を制限すると考えられる。可能な限りDOE基板数を減らすだけでなく誤りを防ぐためには、十分に設計されたDOEが極めて重要である。そのようなDOEにとって最も重要な因子は予測モデルの形式である。1つ以上のモデルの種類が選択され、CV及び/又はMVの範囲が与えられ、かつ統計ソフトウエア-たとえばJMP(登録商標)(SAS研究所から販売されている統計ソフトウエア)が1つ以上のDOEテーブル(図8)の作成に用いられて良い。典型的な1組のDOEデータが図8に示されている。その典型的な1組のDOEデータは、第1エッチング処理に関連づけることのできる第1組の操作変数(MV-11、MV-12、及びMV-13)、第2エッチング処理に関連づけることのできる第2組の操作変数(MV-21、MV-22、及びMV-23)、及び第1組の制御変数(CV-01、CV-02、CV-03、CV-04、CV-05、及びCV-06)を有する。他の解析処理では、他のMV及びCVが用いられて良い。プロセスのモデル化は、チャンバ状態が基板とロットとの間で安定することを仮定している。
実施例によっては、図2Cに図示されたエッチングサブシステム200Cは、エッチングプラズマの生成に用いられて良い。たとえば上部源272は60MHzで動作して良く、かつ底部源230は13.56HMzで動作して良い。それに加えて、第1部分エッチング処理及び第1最終エッチング(F-E)処理の間、上部源272の出力は約100ワットから約700ワットの間で変化して良く、かつ下部源230の出力は約10ワットから約170ワットの間で変化して良い。部分エッチング工程の間ではCF4/O2プラズマが用いられて良く、かつ最終エッチング(多結晶Siエッチング)の間ではHBr/O2プラズマが用いられて良い。DOE処理を用いることによって、多結晶SiのCD及びSWAデータが得られることで、様々なプロセスパラメータ(ガス流、圧力、温度、出力等)の効果が理解され、かつそのデータは、フォトレジストのSWAが多結晶SiのSWAに重要な影響を有することを示している。たとえばフォトレジストにおいてSWAが大きくなればSWAバイアスも大きくなる。部分エッチング処理の間、一旦PR/ARCの足の端部が洗浄されると、多結晶Siのエッチングが始まる。最終エッチング(多結晶Siエッチング)の間、フォトレジストのプロファイル(SWA)が多結晶Siへ転写される。たとえば、O2流速は最終エッチング(多結晶Siエッチング)中、約2sccmから約10sccmまで変化して良く、かつO2流速は部分エッチング(多結晶Siエッチング)中、約3sccmから約20sccmまで変化して良い。それに加えて、チャンバ圧力は、部分エッチングプロセス及び最終エッチング(多結晶Siエッチング)プロセス中、約5mTorrから約40mTorrまで変化して良く、かつ中心及び端部の温度は、部分エッチングプロセス及び最終エッチング(多結晶Siエッチング)プロセス中、約45℃から約66℃まで変化して良い。
部分エッチングプロセスの間、CF4は分解してCFxラジカルとフッ素原子を生成する。CF2ラジカルはPR表面上へのポリマーの堆積に関与し、かつ異方性エッチングを補助する。O2は分解して酸素原子を生成し、原子状酸素及びフッ素原子がPRを異方的にエッチングすることを可能にする。この工程の間、下部電極の出力は、イオンがPRの物理スパッタリングに寄与しないように低い値に保持される。中性種(酸素及びフッ素)は、広い角度分布を有するので、(原子状酸素及びフッ素原子から生じる)部分エッチング比は、部位の“下部”でよりも、その部位の“上部”で高くなる。部位の上部はその部位の底部よりも多くの中性原子を受けることで、部分エッチング工程の間、PRラインは先細り形状になる。これらのエッチング処理の間、部分エッチング比(CD損失/CDバイアス)及び側壁の先細り(SWAバイアス)はO2の流速と共に増大する。部分エッチングの間、ラインは、酸素及びフッ素原子の衝突によって、全方向からエッチングされる。O2の流速が増大する一方でCF4の流速は一定に保たれるので、原子状酸素の濃度は増大し、その結果基板への酸素流束が増大する。原子状酸素及びフッ素とPRとの反応速度は温度の上昇と共に増大する。それによりPRのエッチング比、ひいてはCD損失が増大する。
2種類の周波数の容量結合プラズマでは、基板へのイオン衝突エネルギーは低周波数で制御され、かつプラズマ密度は高い周波数で制御される。下部電極出力が上部電極出力よりも小さいとき、イオン及び中性の流束は大抵の場合電極の出力に依存する。基板に衝突するイオンの速度は異方的なので、ほとんどのイオンは部位の上部と下部に衝突する。PRの側壁に衝突するイオンはほとんどない。PRのエッチングは本質的に等方性エッチングなので、CD損失は下部電極の出力に依存しない。しかしエッチング比は、イオンと衝突するようにプラズマに対して曝露された部位の下部と上部へのイオン衝突比である。部位のこれらの領域の垂直エッチング比は下部電極の出力と共に増大する。同時により多くの材料が部位底部から離れるようにスパッタされ、PRの側壁に再蒸着する。この結果PRが先細り形状となる。SWAバイアスは下部電極の出力と共に増大する。
最終エッチング(多結晶Siエッチング)工程の間、HBr/O2化学物質が用いられて良く、かつ臭素の多い酸化物のような保護膜が、部位の底部だけではなく側壁にも形成されて良い。イオンは部位の下部に衝突し、その下部から保護膜を除去する一方で、側壁の保護層はそのまま残す(異方性エッチング)。この工程で用いられるO2の濃度はSWAとCDの両方に影響を及ぼす。SWAバイアスは、最終エッチング(多結晶Siエッチング)工程の間、O2流速と共に増大する。たとえばこれは、部位の下部からスパッタされて除去される保護膜の再蒸着によるものと考えられる。またSWAが増大することで下部CDが狭くなる。
最終エッチング(多結晶Siエッチング)工程の間、原子状酸素の濃度は圧力と共に増大し、かつ原子状酸素は部位の側壁を保護して良い。保護膜の厚さは酸素濃度と共に増大する。多結晶Siエッチング中でのCD損失は圧力と共に減少する。保護層は部位の下部からスパッタされて除去され、かつ側壁に再蒸着すると考えられる。従って多結晶Siエッチング中、SWAバイアスは圧力と共に増大する。
それに加えて、P-E処理の処理時間は約30秒から約60秒まで変化して良く、かつF-E処理の処理時間は約30秒から約300秒まで変化して良い。
730では、1つ以上のDOEテーブルの分布に必要なエッチング処理を実行した後、2次及び相互作用の項を有する非線形モデルが、最小自乗法及び統計ソフトウエアを用いることによって作成されて良い。モデルによっては、極端に小さな係数の項は削除されて良い。
735では、1つ以上の線形ゲイン行列(G)が、DOEデータを用いて作成されて良い。たとえば、次式のようなものである。
Figure 2014027301
i=1,2,…nで、かつj=1,2,…nでは、(∂CVi/∂MVjMVは、全操作変数で求められた偏微分を表す。ただしMVjは一定である。この項はCViとMVjとの間での開ループゲインである。それに加えて、(∂CVi/∂MVjCVは、全制御ループが閉じられるときのMVjとCViの効果を表す閉ループゲインと解されて良い。
正方行列ではない行列で開始する場合、目標の1つは一部のMV又はCVを除去して正方行列を作成することである。多くの場合最善なのは、CVよりもMVの方が多いため、非正方行列となっていて、非正方行列RGAが用いられている。たとえば、
Figure 2014027301
である。
擬逆行列G+が通常の逆行列G-1の代わりに用いられる。NRGAは正方系を選択するための複数の基準を供するが、非正方行列の中にはこれらの基準が常に有効とはならないものがあるので、サブシステムの正方対の全組合せについて検討する必要があると考えられる。一のサブシステムと他とを比較するため、RGA対生成規則が基準として用いられて良い。これにより、最善の正方行列に相当しうる組合せが生成される。
740では、1つ以上の相対ゲインアレイ(RGA)が、1つ以上の線形ゲイン行列(G)を用いて計算されて良い。たとえば正方行列であれば次式のようになる。
Figure 2014027301
745では、MVの最善の組合せを調べるため、測定されたモデルパラメータの選択についてRGA解析が用いられて良く、かつRGA中の対生成規則を用いることによって、各CVについて最善のMVが決定されて良い。たとえばRGA構成要素は、合計が1に最も近くなるように選ばれて良い。それに加えて負の構成要素での対生成は回避されて良い。それに加えて、RGA解析は、多数の候補モデルの決定、及び最善の事例解決を特定するのに用いられて良い。
745では、MVよりもCVが多い場合には、RGA解析は、最も制御可能なCVの選択(MVに対するCVの感度解析)に用いられて良い。
750では、システムの安定性及び条件が決定されて良い。たとえばNiedeerlinski安定性定理は、対角対生成の結果生じる閉ループ系は、次式の場合では不安定になることを示している。
Figure 2014027301
ここでGはゲイン行列で、giiはゲイン行列の対角要素である。ゲイン行列(G)の条件は次式を用いて決定されて良い。
Figure 2014027301
ここでG、U、S、及びVは、特異値分解(SVD)を用いて決定される。それに加えて、条件数(CN)は、S行列内の小さな値と大きな値との比を用いて決定されて良い。Niedeerlinski定理に関するさらなる情報は非特許文献1を参照のこと。たとえばCNが50よりも大きいときには、システムは特異点近くであり、制御性能は不十分である。
755では、MLMIMOモデルは、実際の装置及び/又は性能の制約を用いて最適化されて良い。例によっては、測定位置は性能を最適化するように検査及び選択されて良く、事前測定及び/又は事後測定処理の数は性能を最適化するように設定されて良く、多チャンバシーケンスは、処理を最適化するように検討されて良い。フィードバックはEWMAフィルタの調節によって最適化されて良い。MVについての時定数が決定されて良く、かつこの更新周波数は、ロット間(L2L)、W2W、WiW、及び処理工程値に基づいて良い。それに加えて、プロセスの中心点、CVの中心点、及びMVの中心点が、性能を最適化するように検討されて良い。履歴データはシミュレーションの実行に用いられて良い。
基板は1層以上の層を有して良い。その1層以上の層は、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの混合材料を有して良い。
他の実施例では、1つ以上の基板は、検証されたMLMIMOモデルを用いて処理されて良い。検証されたMLMIMOモデルが用いられるとき、1つ以上の検証された構造が基板(“金のウエハ”)上に作製されて良い。基板が検査されるとき、検査用参照構造は、基板上の多数の検証された構造から選ばれて良い。その検査の間、検査データは、検査用参照構造から得られて良い。最善の推定構造及び関連する最善推定データは、検証された構造及び関連するデータを有するMLMIMOライブラリから選ばれて良い。検査用参照構造とライブラリからの最善の推定構造との間で1つ以上の差異が計算されて良く、その差異は一致基準、生成基準、若しくは製造要件、又はこれらの結合と比較されて良い。一致基準が用いられるとき、検査用参照構造は多数のMLMIMOライブラリとして特定され、かつ現在の基板は、一致基準が満たされない、つまり超えている場合には、参照用の“金の”基板として特定されて良い。生成基準が用いられるときには、検査用参照構造は新たなMLMIMOライブラリの一員として特定されて良く、かつ現在の基板は、生成基準が満たされる場合には、検証された参照基板として特定されて良い。製造要件データが用いられるときには、検査用参照構造は検証された構造として特定され、かつ基板は、1つ以上の製造要件が満たされる場合には、検証された製造用基板として特定されて良い。1つ以上の基準又は製造要件が満たされない場合には、腐食作用が適用されて良い。MLMIMO信頼性データ及び/又はリスクデータが、検査用参照構造データ及び最善の推定構造データを用いて、検査用参照構造について設定されて良い。
MLMIMO関連構造が製造され、かつ/又は検査されるとき、正確さ及び/又は許容限界が用いられて良い。これらの限界が正しくないとき、精緻化処理が行われて良い。あるいはその代わりに、他の処理が行われて良く、他の位置が用いられて良く、又は他の基板が用いられて良い。精緻化処理が用いられるとき、その精緻化処理は、双一次精緻化、ラグランジュ精緻化、キュービックスプライン精緻化、アイトケン(Aitken)精緻化、重み付け平均精緻化、多重二次精緻化、3次補間精緻化、チュラン(Turran)精緻化、ウエーブレット精緻化、ベッセル精緻化、エバレット精緻化、有限差分精緻化、ガウス精緻化、エルミート精緻化、ニュートン差分精緻化、接触精緻化、若しくはティールズ精緻化アルゴリズム、又はこれらの組合せを利用して良い。
一部の実施例では、MLMIMO評価ライブラリデータは、適合度(GOF)データ、生成規則データ、測定データ、検査データ、検証データ、マップデータ、信頼性データ、正確性データ、プロセスデータ、若しくは均一性データ、又はこれらの結合を有して良い。
図9は本発明の実施例によるMLMIMOの使用処理のランタイムフローダイヤグラムを表している。データが収集されるとき、多数の基板が用いられて良く、かつ候補となる外乱変数が特定されて良い。データ収集の間、1つ以上のCVに関連するばらつきは最小限に抑制され、かつ収集されたデータはシミュレーションに用いられて良い。そのシミュレーションは、製造に用いられるゲートエッチングプロセスと同一のシーケンスを実行して良い。
910では、1つ以上の基板が集積計測チャンバ内で測定され、かつ外乱変数D(l)の第1数(l)の値を得ることができる。それに加えて、他のセンサデータが受け取られ、かつ解析されても良い。IMデータは、各入ってくる基板上のパターニングされたマスク層内の多数の位置から得られるCD及びSWAを有して良い。操作変数MV(m)の第2数(m)が設定されて良い。
実施例によっては、基板状態に関連して入り込む外乱変数はIM装置を用いて測定されて良く、かつIMデータは、基板全体にわたる多数の位置でのプロファイルデータ、CDデータ、SWAデータ、及びBARC膜厚データを有して良い。たとえば基板の中心を表すことのできる8-10の中心位置が選ばれて良い。端部半径の形跡を表し、かつエッチング制御にとって最適である同一半径にある8-10の端部位置が選ばれて良い。全領域に同一の正確性の重み付けを与えるように、同一位置の数が基板の各領域について選ばれて良い。回折格子密度及びトランジスタの種類(たとえばp又はn型チャネルトランジスタ)が、最も重要なチップレベルでの性能と相関するように選ばれなくてはならない。その理由は、トランジスタ構造の各々は、エッチングプロファイル制御の必要性に関連づけることのできる複数の変数を有しているからである。
部分エッチング処理の間に動作している機構により、CDのDVは限界DVであって良く、かつ測定結果を修正する関連DVを有して良い。SWAは、角度が90°未満になることで感度を増大させる1次調節器であって良い。それに加えて、中央CDが用いられて良い。なぜなら中央CDは最終CDに対して最も正確な相関を与えるからである。中央CDは単純にいうと最善の動作をする。その理由は、中央CDは上部CD測定結果と下部CD測定結果とのばらつきを平均化するからである。
CDの第2修正器は基板全体にわたって、かつウエハ間でのBARC厚さのばらつきであって良い。BARCの厚さは、その厚さが不均一である場合にはCDに影響を及ぼすと考えられる。なぜならBARCエッチングの間、レジストはエッチングされ続けるからである。薄くなったBARCはエッチング時間を短くすることが可能で、かつ厚いBARCはエッチング時間を長くすると考えられ、かつエッチング時間が長くなることでCDが小さくなると考えられる。従ってBARCが不均一性である結果、部分エッチング及び最終エッチングの間での制御についてのモデル化に必要な端部CDに対する中心CDのばらつきが増大する。
IMデータは現像処理後に得られて良い。IMデータは、リソグラフィサブシステム内のIMユニット、エッチングサブシステム内のIMユニット、又はスタンドアローンのIMユニットを用いて得られて良い。
それに加えて、予測プラズマチャンバ状態を表すDVについてセンサ及び状態データが用いられて良い。たとえばロット(基板)が基板の条件設定をすることなく処理されているとき、チャンバ状態はドリフトによる影響を受ける恐れがある。チャンバ状態のフィードフォワードDVに寄与するばらつきは、たとえばチャンバ洗浄、部品の交換、化学変化、不稼働時間、条件出しされた基板、チャンバ中断、手動調節、ウエハ基板材料変化、及び製造密度変化のような事象を含んで良い。
915では、受け取られたデータはフィルタリング及び/又は適合されて良い。たとえば測定DVは、箱とウイスカーアルゴリズムを用いてフィルタリングされて良い。そのアルゴリズムは、統計的に同一の分布と見なせない位置を除去する。残りの位置は、基板の物理領域を表すように平均化されて良い。
920では、1つ以上のCVが計算され、かつCD、SWA、均一性の値、及び/又はプロファイル変化が決定されて良い。例によっては、制御変数の第3の値(n)が次式を用いて設定されて良い。
CV(n)=fn{MV(1),…MV(m-1), MV(m),DV(1),…DV(l-1),DV(l)}+オフセットn
ここでl、m、及びnは3以上の整数である。
たとえば4つのCV、6つのMV、及び4つのDVが図10に示されているように特定された。高次及び相互作用の項を有する4つの非線形モデルは次式のように定義されて良い。
CV(1)=f1{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+オフセット1
CV(2)=f1{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+オフセット2
CV(3)=f1{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+オフセット3
CV(4)=f1{MV(1),MV(2),MV(3),MV(4),MV(5),MV(6),DV(1),DV(2),DV(3),DV(4)}+オフセット4
925では、最適化されたプロセス設定が、2次目的関数を用いて計算されて良く、かつ標的偏差t(n)は以下のように定義されて良い。
t(n)={DV(l)-標的CV(n)}
n=4及びl=4では、以下の式を得ることができる。
t(1)={DV(l)-標的CV(1)}
t(2)={DV(2)-標的CV(2)}
t(3)={DV(3)-標的CV(3)}
t(4)={DV(4)-標的CV(4)}
モデル及び標的の項を用いることによって、非線形プログラムに用いることのできる2次目的関数は以下のように定義できる。
Figure 2014027301
及びn=4のときには、次式のような単純化された式を得ることができる。
Figure 2014027301
wjは重み付け因子である。それに加えて、操作変数MV(l)は、不等式で表される制約として含まれる上限及び下限を有して良い。l=4のときには以下の式を得ることができる。
Figure 2014027301
ここでa-hは装置の制約に依存する定数である。測定されたCD及びSWA値は、MVを計算する最適化器によって用いられて良い。その最適化器は、非線形プログラムを用いることによって、式(2)によって式(1)を最小にすることによって、エッチング用レシピを決定して良い。たとえばMATLAB(登録商標)最適化ツールボックスがこのシミュレーションに用いられて良い。
930では、プロセスレシピは、最適化器によって設定される1つ以上のMVを用いて定義されて良く、かつそのプロセスレシピは、MVについての新たな値を用いて調節されて良い。非線形最適化は、エッチングプロセスに関連する非線形の関係及び制約を処理して、各実行動作後にレシピを調節することによって多工程エッチングプロセスの性能を最大化するのに用いられて良い。
IMデータが最適化器へフィードフォワードされることで、操作変数(MV)の値が計算されて良い。各制御変数(CV)に関連する非線形モデルの式は各CV標的値と共に用いられて良い。2次目的関数は、その目的関数の各CV項に重要度を与えるために重み付け因子を利用して良い。MLMIMOの最適化器は、非線形プログラムを用いたMVの制約で目的関数を最小化又は最大化することによって、エッチング用レシピを決定するのに用いられて良い。
935では、1つ以上の基板が、調節されたレシピを用いて処理されて良い。たとえば調節されたレシピは最適化器からの最適化されたMVを有して良い。
940では、1つ以上の被処理基板についての測定データが得られる。たとえば測定は、基板上の1つ以上の位置で行われて良い。各エッチングプロセス後、CVの出力はIM装置を用いて測定されて良い。
945では、得られたデータがフィルタリング及び/又は適合されて良い。
950では、プロセスエラーが計算されて良い。たとえば各CVについてエラー(実際の出力からモデルの出力を引いた値)が計算されて良い。
955では、フィードバックデータアイテムが計算されて良い。たとえばエラーは、指数重み付け移動平均(EWMA)フィルタを用いてMLMIMOモデルのCVオフセットを更新するのに用いられて良い。
960では、新たなモデルオフセットが更新されて良い。これらのオフセット値は、次の実行動作の外乱を補償するのに用いられる最適化器へ供されて良い。このオフセットは、新たな更新が実現するまで用いられる。この手順は最終基板が処理されるまで行われて良い。
新たな及び/若しくは追加の測定データ、検査データ、並びに/又は評価データが必要とされるとき、追加のMLMIMOデータが、基板上の1つ以上の位置から得られて良い。たとえば測定構造-たとえば周期回折格子、周期アレイ、及び/又は周期構造-が、1つ以上の測定位置で測定されて良い。
実施例によっては、1つ以上の基板についての、履歴及び/又はリアルタイムデータは、MLMIMOマップ、基板関連マップ、プロセス関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、危険性マップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は信頼性マップを有して良い。それに加えて、MLMIMO処理は、1つ以上の適合度(GOF)マップ、1つ以上の厚さマップ、1つ以上のゲート関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の構造関連マップ、1つ以上の側壁角マップ、1つ以上の差幅マップ、又はこれらの結合を含む基板マップを用いて良い。
基板マップが生成及び/又は修正されるとき、基板全体についての値は計算されなくて良く、かつ/又は必要とされず、また基板マップは、1つ以上の位置、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有して良い。たとえば処理チャンバは、基板の特定領域での処理結果の品質に影響を及ぼしうる独自の特性を有して良い。それに加えて、製造者は、基板の1つ以上の領域でのチップ/ダイについてのプロセスデータ及び/又は評価データがそれほど正確でなくても、歩留まりを最大にすることが可能となる。マップ内での値が限界に近いとき、信頼性値は、そのマップ内の値が限界に近くないときよりも低くて良い。それに加えて、各異なるチップ/ダイ及び/又は各異なる基板領域について、正確さの値が重み付けされて良い。たとえばより高い信頼性の重み付けが、正確さの計算及び/又はこれまでに用いられてきた1つ以上の評価位置に関連する正確さのデータに割り当てられて良い。
それに加えて、1つ以上のプロセスに関連する、プロセスの結果、測定、検査、検証、評価、及び/又は予測マップは、基板についての信頼性マップを計算するのに用いられて良い。たとえば他のマップからの値が重み付け因子として用いられて良い。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。
よって本記載は本発明を限定するものではない。本発明の設定、動作、及び挙動は、本明細書に存在するレベルの詳細が与えられれば、実施例の修正型及び変化型が可能であるという理解を前提として記載されている。従って前述の詳細な説明は如何なる意味においても本発明を限定するものではない。本発明の技術的範囲は、この詳細な説明によってではなく「特許請求の範囲」の請求項によって定義される。
1 フォトレジスト積層体
2 限界寸法(CD)
3 側壁角(SWA)
4 第1組のパラメータ
5 ゲート積層体
6 CD
7 SWA
8 第2組のパラメータ
10 第1集積計測装置用制御装置/モデル
11 CDデータ
12 SWAデータ
15 フィードフォワードデータ
16 データアイテム
20 エッチング装置用制御装置/モデル
21 1組以上のレシピパラメータ
25 ゲート積層体のプロファイル制御
27 予測データアイテム
30 第2集積計測装置用制御装置/モデル
31 CDデータ
32 SWAデータ
33 実際の出力
35 フィードバックデータ
40 第1計算構成要素
41 第1組の標的パラメータ
42 出力データアイテム
45 標的データアイテム
50 MLMIMOモデル最適化器
51 制約パラメータ
55 フィードバックデータアイテム
65 エラー値
70 EWMAフィルタ
71 第1のフィルタリングされた出力
72 第2のフィルタリングされた出力
80 重み付け用構成要素
81 動的に変化する重み付け入力
100 処理システム
105 基板
110 リソグラフィサブシステム
111 接続
112 搬送/格納部
113 処理部
114 制御装置
115 評価部
120 スキャナサブシステム
121 接続
122 搬送/格納部
123 処理部
124 制御装置
125 評価部
130 エッチングサブシステム
131 接続
132 搬送/格納部
133 処理部
134 制御装置
135 評価部
140 堆積サブシステム
141 接続
142 搬送/格納部
143 処理部
144 制御装置
145 評価部
150 検査サブシステム
151 接続
152 搬送/格納部
153 処理部
154 制御装置
155 評価部
160 計測サブシステム
161 接続
162 搬送/格納部
163 処理部
164 制御装置
165 評価部
170 搬送サブシステム
174 搬送部
175 搬送トラック
176 搬送トラック
177 搬送トラック
180 製造実行システム
181 接続
190 システム制御装置
195 メモリ/データベース
305 DC電極
306 DC電源

Claims (12)

  1. モデルを用いて複数の基板上にゲート構造を作製する方法であって:
    a)第1組の基板及び関連する基板データを受け取る工程であって、前記基板データはリアルタイムデータ及び履歴データを有する、工程;
    b)1つ以上の入ってくる基板上でのパターニングされたフォトレジスト層に関連するリアルタイム集積計測(IM)データを用いて外乱変数DV(l)の第1数(l)を設定する工程であって、
    前記リアルタイムIMデータは、各入ってくる基板上の前記パターニングされたフォトレジスト層内の複数の位置から得られる、限界寸法(CD)データ、側壁角(SWA)データ、厚さデータ、フォトレジストデータ、BARCデータ、ウエハ基板データ、及び回折信号データを有し、
    lは3以上の第1整数である、
    工程;
    c)操作変数MV(m)の第2数を設定する工程であって、mは3以上の第2整数である、工程;
    d)制御変数の第3数(n)を設定する工程であって、
    nは3以上の第3整数で、
    CV(n)は、
    CV(n)=fn{MV(1),…MV(m-1), MV(m),DV(1),…DV(l-1),DV(l)}+オフセットn
    と定義されて、
    工程;
    e)2次目的関数を用いて最適化されたプロセス変数を計算する工程であって、標的偏差は、t(n)={DV(l)-標的CV(n)}で定義される、工程;
    f)複数の操作変数MV(l)を計算する工程;
    g)非線形プログラムの間に設定された前記の計算された1つ以上の操作変数MV(l)を用いて調節されたプロセスレシピを定義する工程;
    h)前記調節されたプロセスレシピを用いて1つ以上の前記第1組の基板を処理する工程;
    i)前記の1つ以上の処理された基板についての追加測定データを得る工程であって、新たな制御変数(CV)データが得られ、かつフィルタリングされる、工程;
    j)測定制御変数データと予測制御変数データとの間の差異を用いて1つ以上のプロセスエラーを計算する工程;
    k)フィードバックデータアイテムを計算する工程であって、エラーは、指数重み付け移動平均(EWMA)フィルタを用いたオフセットnの更新に用いられる、工程;
    l)最適化ユニット内で前記モデルのオフセットnを更新する工程;並びに
    m)前記第1組の基板内の各基板を用いて工程a)-l)を繰り返す工程;
    を有する方法。
  2. 前記複数の操作変数MV(l)が、2次目的関数を用いた非線形プログラムの実行によって計算され、
    前記2次目的関数は、
    Figure 2014027301
    で定義され、
    wjは重み付け因子で、
    前記操作変数MV(l)は、不等式で定義される上限及び下限を有し、
    前記不等式は、下限(l)≦MV(l)≦上限(l)で、
    前記下限(l)及び上限(l)は複数の装置の制約を用いて決定される、
    請求項1に記載の方法。
  3. 前記重み付けwjは各CVアイテムについてフィードバックエラーに基づいて動的に更新される、請求項1に記載の方法。
  4. 1つ以上の制御変数標的は、1つ以上の操作変数が許容プロセス窓の範囲外のときに優先される、請求項1に記載の方法。
  5. 前記調節されたプロセスレシピはプロセス状態データを用いて定義される、請求項1に記載の方法。
  6. 前記調節されたプロセスレシピはチャンバ状態データを用いて定義される、請求項1に記載の方法。
  7. 追加の測定データ、検査データ、及び/又は評価データが、前記基板上の1つ以上の位置から得られる、請求項1に記載の方法。
  8. 前記追加の測定データ、検査データ、及び/又は評価データが、1つ以上の基板についての、基板関連マップ、プロセス関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、危険性マップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は、(複数の)信頼性マップを有する、請求項7に記載の方法。
  9. 前記基板関連マップが、適合度(GOF)マップ、1つ以上の厚さマップ、1つ以上のゲート関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の構造関連マップ、1つ以上の側壁角関連マップ、1つ以上の差幅マップ、又は前記マップの組み合わせを有する、請求項8に記載の方法。
  10. 前記基板関連マップが、1つ以上の位置、1つ以上のチップ/ダイ、1つ以上の異なる位置の領域、及び/又は1つ以上の異なる形状の領域を有する、請求項8に記載の方法。
  11. 1つ以上のプロセスに係るプロセス結果、測定、検査、検証、評価、及び/又は予測マップが、基板の信頼性マップを計算するのに用いられる、請求項10に記載の方法。
  12. 前記複数の基板上のゲート構造が、多層ゲート構造、pFET構造、nFET構造、トリゲート構造、及び、FinFET構造を有する、請求項1に記載の方法。
JP2013219994A 2008-03-31 2013-10-23 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法 Expired - Fee Related JP5636486B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/059,624 US7967995B2 (en) 2008-03-31 2008-03-31 Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US12/059,624 2008-03-31

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009081805A Division JP2009246368A (ja) 2008-03-31 2009-03-30 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法

Publications (2)

Publication Number Publication Date
JP2014027301A true JP2014027301A (ja) 2014-02-06
JP5636486B2 JP5636486B2 (ja) 2014-12-03

Family

ID=41115535

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009081805A Pending JP2009246368A (ja) 2008-03-31 2009-03-30 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2013219994A Expired - Fee Related JP5636486B2 (ja) 2008-03-31 2013-10-23 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009081805A Pending JP2009246368A (ja) 2008-03-31 2009-03-30 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法

Country Status (5)

Country Link
US (1) US7967995B2 (ja)
JP (2) JP2009246368A (ja)
KR (1) KR101568879B1 (ja)
CN (1) CN101551834B (ja)
TW (1) TWI409658B (ja)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8233568B2 (en) * 2008-09-05 2012-07-31 Rajendra Kumar Adaptive receiver for high-order modulated signals over fading channels
US8612045B2 (en) * 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US8407632B2 (en) * 2010-09-14 2013-03-26 International Business Machines Corporation Detecting dose and focus variations during photolithography
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
CN102468198B (zh) * 2010-11-01 2014-07-16 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀残留的检测方法和系统、谱线模型的建立方法和系统
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US20130193513A1 (en) * 2012-02-01 2013-08-01 International Business Machines Corporation Multi-Gate Field Effect Transistor with a Tapered Gate Profile
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
DE102013106083B4 (de) * 2013-06-12 2022-02-10 Infineon Technologies Ag Verfahren und Vorrichtung zum Bestimmen eines Parameters eines Modells einer technischen Einrichtung
CN103354212B (zh) * 2013-06-25 2016-04-06 上海华力微电子有限公司 测算接触孔与多晶硅栅极对准偏差值的方法
CN103346100B (zh) * 2013-06-27 2016-04-20 上海华力微电子有限公司 检测接触孔与多晶硅栅极对准度的方法
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
JP6623943B2 (ja) * 2016-06-14 2019-12-25 東京エレクトロン株式会社 半導体装置の製造方法、熱処理装置及び記憶媒体。
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
KR102159894B1 (ko) * 2016-11-30 2020-09-24 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치
KR102420164B1 (ko) * 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10337852B1 (en) * 2017-12-18 2019-07-02 Kla-Tencor Corporation Method for measuring positions of structures on a substrate and computer program product for determining positions of structures on a substrate
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200131342A (ko) * 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
TWI830812B (zh) * 2018-11-21 2024-02-01 日商東京威力科創股份有限公司 基板處理之條件設定支援方法、基板處理系統、記錄媒體及學習模型
CN111210467A (zh) * 2018-12-27 2020-05-29 上海商汤智能科技有限公司 图像处理方法、装置、电子设备及计算机可读存储介质
TWI704494B (zh) * 2018-12-28 2020-09-11 技嘉科技股份有限公司 處理器的效能優化方法以及使用其的主機板
TW202347125A (zh) * 2019-11-20 2023-12-01 美商奈米創尼克影像公司 用於判定網路攻擊及產生警告之製造系統及電腦實施方法
CN110849478A (zh) * 2019-11-28 2020-02-28 陕西师范大学 一种阿基米德螺线的圆二色性光谱的调节装置
EP3839632A1 (en) * 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
WO2021130798A1 (ja) 2019-12-23 2021-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
JP2022021712A (ja) * 2020-07-22 2022-02-03 株式会社ディスコ ウェーハの加工方法
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219601A (ja) * 1982-06-14 1983-12-21 Hitachi Ltd ドライエツチング制御方式
JPH07191737A (ja) * 1993-07-19 1995-07-28 Texas Instr Inc <Ti> 工場制御装置および制御方法
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
JP2004119753A (ja) * 2002-09-27 2004-04-15 Hitachi High-Technologies Corp エッチング処理装置およびエッチング処理方法
JP2007521652A (ja) * 2003-06-30 2007-08-02 東京エレクトロン株式会社 エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。
JP2007250902A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd 基板処理装置の予測方法及び予測装置
JP2008513997A (ja) * 2004-09-20 2008-05-01 東京エレクトロン株式会社 モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3226069B2 (ja) * 1993-10-04 2001-11-05 キヤノン株式会社 半導体積層構造および半導体光素子
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5710653A (en) * 1995-03-06 1998-01-20 Fiber Optic Network Solutions Corp. Linear multi-output optical transmitter system
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3551560B2 (ja) * 1995-07-18 2004-08-11 ソニー株式会社 Mosトランジスタのゲート電極加工方法
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6221746B1 (en) * 1998-12-30 2001-04-24 United Microelectronics Corp. Method for forming a poly gate structure
EP1202144A1 (en) * 2000-10-25 2002-05-02 Semiconductor300 GmbH &amp; Co KG Method for controlling a process line in semiconductor device manufacturing
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6901576B2 (en) * 2002-11-20 2005-05-31 International Business Machines Corporation Phase-width balanced alternating phase shift mask design
KR101037308B1 (ko) * 2003-05-30 2011-05-27 도쿄엘렉트론가부시키가이샤 고-k 유전성 재료 에칭 방법 및 시스템
JP4220335B2 (ja) * 2003-08-29 2009-02-04 株式会社日立ハイテクノロジーズ 立体形状測定装置
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7430672B2 (en) * 2004-10-27 2008-09-30 Intel Corporation Method and apparatus to monitor power consumption of processor
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4940300B2 (ja) * 2006-07-20 2012-05-30 サンディスク コーポレイション プログラミング中における結合の補償
JP5023614B2 (ja) * 2006-08-24 2012-09-12 パナソニック株式会社 半導体チップの製造方法及び半導体ウエハの処理方法
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8183062B2 (en) * 2009-02-24 2012-05-22 Tokyo Electron Limited Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219601A (ja) * 1982-06-14 1983-12-21 Hitachi Ltd ドライエツチング制御方式
JPH07191737A (ja) * 1993-07-19 1995-07-28 Texas Instr Inc <Ti> 工場制御装置および制御方法
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
JP2004119753A (ja) * 2002-09-27 2004-04-15 Hitachi High-Technologies Corp エッチング処理装置およびエッチング処理方法
JP2007521652A (ja) * 2003-06-30 2007-08-02 東京エレクトロン株式会社 エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。
JP2008513997A (ja) * 2004-09-20 2008-05-01 東京エレクトロン株式会社 モデルフィードバックアップデートを用いた分離/入れ子形カスケーディングトリム制御
JP2007250902A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd 基板処理装置の予測方法及び予測装置
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法

Also Published As

Publication number Publication date
US7967995B2 (en) 2011-06-28
JP5636486B2 (ja) 2014-12-03
CN101551834A (zh) 2009-10-07
JP2009246368A (ja) 2009-10-22
TWI409658B (zh) 2013-09-21
CN101551834B (zh) 2012-08-22
KR101568879B1 (ko) 2015-11-12
TW201005568A (en) 2010-02-01
KR20090104770A (ko) 2009-10-06
US20090242513A1 (en) 2009-10-01

Similar Documents

Publication Publication Date Title
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US8501499B2 (en) Adaptive recipe selector
US7894927B2 (en) Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
JP5577532B2 (ja) Dc/rfハイブリッド処理システム
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20110177694A1 (en) Switchable Neutral Beam Source

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131023

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140922

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141014

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141020

LAPS Cancellation because of no payment of annual fees