JP2007521652A - エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。 - Google Patents

エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。 Download PDF

Info

Publication number
JP2007521652A
JP2007521652A JP2006517141A JP2006517141A JP2007521652A JP 2007521652 A JP2007521652 A JP 2007521652A JP 2006517141 A JP2006517141 A JP 2006517141A JP 2006517141 A JP2006517141 A JP 2006517141A JP 2007521652 A JP2007521652 A JP 2007521652A
Authority
JP
Japan
Prior art keywords
controller
state
wafer
data
determining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006517141A
Other languages
English (en)
Inventor
フンク、マーリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007521652A publication Critical patent/JP2007521652A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31265Control process by combining history and real time data
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32195Feedforward quality control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

【課題】 エッチングプロセスのためにフィードフォワード、フィードバックウェーハtoウェーハ制御法の提供。
【解決手段】 半導体処理システムのウェーハtoウェーハ(W2W)制御を提供するように、ランtoラン(R2R)コントローラを使用する方法は、提供される。R2Rコントローラは、フィードフォワード(FF)コントローラと、プロセスモデルコントローラと、フィードバック(FB)コントローラと、プロセスコントローラとを含む。R2Rコントローラは、ウェーハtoウェーハ時間フレームにおけるプロセスレシピをアップデートするように、フィードフォワードデータと、モデリングデータと、フィードバックデータと、プロセスデータとを使用する。
【選択図】

Description

この国際出願は、2003年6月30日に出願された米国特許出願番号第10/609,129号の出願日における優先権によるものであり、およびその利益を主張するものであり、その内容は、それらの全体において本願明細書に引用したものとする。
本発明は、半導体処理システムのウェーハtoウェーハ制御法に、そして特に、エッチングプロセスに対するウェーハtoウェーハ制御法に関連する。
半導体またはディスプレイ製造などのようなプラズマ処理のさまざまな段階にわたって、プロセスパラメータは、変化することがあり得る。プロセス条件は、好ましくない結果を生ずるプロセスパラメータと共に、オーバータイムを変えることができる。小さい変化は、エッチングガスの組成または圧力、プロセスチャンバ条件、またはウェーハ温度に生じ得る。このように、プラズマ処理設備は、一定のモニタリングを必要とする。
何らかの与えられた時間でのこれらプロセスパラメータの測定およびモニタすることは、有用なデータを蓄積し、分析することを可能とする。プロセスコントロールのフィードバックは、プロセスパラメータを調整するかまたは特定のプロセス材料の生存性(viability)を決定するように使用されることがあり得る。しかしながら、多くの場合、プロセス特性の悪化を反映しているプロセスデータの変化は、表示されたプロセスデータを単に参照することによっては検出され得ない。プロセスの初期段階の異常および特性悪化を検出することは、困難であり、多くの場合、高度プロセスコントロール(advanced process control:APC)によるパターン認識と同様に、それは、故障の検出および予測を得るのに必要であり得る。
本発明は、半導体処理システムのウェーハtoウェーハ(wafer−to−wafer:W2W)制御を提供するための方法と装置である。
ランtoラン(run−to−run:R2R)コントローラは、フィードフォワード(FF)コントローラと、プロセスモデルコントローラと、フィードバック(FB)コントローラと、プロセスコントローラとを含む。R2Rコントローラは、ウェーハtoウェーハ時間フレームにおけるプロセスレシピをアップデートするように、フィードフォワードデータと、モデリングデータと、フィードバックデータと、プロセスデータとを使用する。
本発明は、ウェーハtoウェーハレベルでプロセスパラメータを制御する方法と装置を提供する。精密に集積化された測定デバイスを使用することにより、後継材料(incoming material)の限界寸法(critical dimensions:CD)のリアルタイム測定を提供することは、可能である。例えば、プロセスレシピは、プロセスが完了した後、所望の限界寸法を示している目標CDを含むことができる。R2Rコントローラの目的は、ウェーハtoウェーハ(W2W)制御を使用して所望の結果を達成する、各々のウェーハに対するレシピパラメータのセットを提供することである。
それらの結果を達成するために必要な所望のプロセス結果と、プロセスパラメータとの間の関係は、プロセスモデルと呼ばれている。例えば、エッチングツールで、エッチングされるのに必要とされる材料の量と、エッチ速度と、エッチング時間と間の単純な関係は、存在し得る。
何らかの処理ツールのパーフォーマンスは、オーバータイムでドリフトすると予想され、プロセスモデルは、ドリフトと関連した(drift−related)コンポーネントを含むことができる。実際のプロセス結果を測定することと、それを所望の結果と比較することとによって、プロセスモデルの小さい偏差を修正することは、可能である。この違いは、それで、モデルの精度をアップデートするように使用されることができる。
プロセスコントロールの「現実の(real−world)」アプリケーションにおいて、妨害およびノイズは、正確にプロセスを制御する我々の能力を制限する。ノイズおよび妨害のこれらのソースは、最適の結果を達成するために、理解され、減少されなければならない。例えば、仮にゲートスタックの底部CDの測定値の再現性が40ナノメートルトータルから1ナノメートル外れると、これは、2.5%の誤差率を表す。もし、この測定誤差に対する修正がなされないならば、それで、我々は、プロセス結果が少なくとも2.5%変化するのを予想するだろう。
R2R制御策を開発することにおいて、次のアイテムは、考慮されることができる:測定デバイスから観察されたパラメータの選択;レシピの制御パラメータの選択;観察されたパラメータに基づいて制御パラメータの値を決定するように使用されるアルゴリズム;各々の制御パラメータの範囲の定義;各々の制御パラメータのステップ幅の定義;プロセス(どれくらいのトリムが、実行されることができるか)の範囲;制御パラメータの制御のプレシジョン、制御パラメータの精度;観察されたパラメータのノイズを省く手順;および、必要に応じてプロセスモデルをアップデートする手順。
図1は、本発明の実施形態に係る処理システムの典型的なブロックダイヤグラムを示す。図示された実施形態において、処理システム100は、工場システム110と、工場システムに組み合わせられたランtoラン(R2R)コントローラ120と、R2Rコントローラに組み合わせられたツールレベルコントローラ130とを備えている。加えて、GUIコンポーネント122と、データベースコンポーネント124とは、R2Rコントローラ120に組み合わせられて示される。代わりの実施形態において、GUIコンポーネント122および/またはデータベースコンポーネント124は、必要ではない。また、GUIコンポーネント132と、データベースコンポーネント134とは、ツールレベルコントローラ130に組み合わせられて示される。別の実施形態では、GUIコンポーネント132および/またはデータベースコンポーネント134は、必要でない。
いくつかのセットアップおよび/または構成情報は、工場システム110からのツールレベルコントローラ130および/またはR2Rコントローラ120によって得られることができる。工場レベルビジネスルールは、制御階層(control hierarchy)を決めるために使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立して動作することができ、または工場システム110によって、いくつかの度合いに(to some degree)制御されることができる。また、工場レベルビジネスルールは、いつプロセスが休止および/または停止されるかを、そして、プロセスが休止および/または停止されたときに、何をするかを決定するように使用されることができる。加えて、工場レベルビジネスルールは、いつプロセスを変えるか、そしてどのようにプロセスを変えるかを決定するように使用されることができる。
工場システムは、ツールレベルコントローラ130および/またはR2Rコントローラ120と関連するデータベースから報告されるデータを使用して、いくつかのシステムプロセスをモニタすることができる。工場レベルビジネスルールは、どのプロセスがモニタされるか、および、どのデータが使用されるか決定するように使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立してデータを収集することができ、または、データ収集プロセスは、工場システム110によって、いくつかの度合いに制御されることができる。また、工場レベルビジネスルールは、いつプロセスが変更され、休止され、停止されるかのデータをどのように管理するかを決定するように使用されることができる。
また、工場システム110は、ツールレベルコントローラ130および/またはR2Rコントローラ120にランタイム構成情報を提供することができる。例えば、設定、目標、制限、ルール、およびアルゴリズムは、ランタイムで「APCレシピ」、「APCシステムルール」、および「APCレシピパラメータ」として工場からツールレベルコントローラ130および/またはR2Rコントローラ120へダウンロードされることができる。
いくつかのセットアップおよび/または構成情報は、それらがまず最初にAPCシステムによって構成されるときに、ツールレベルコントローラ130および/またはR2Rコントローラ120によって決定されることができる。システムレベルビジネスルール(APCシステムルール)は、制御階層を決めるように使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立して動作することができ、または、ツールレベルコントローラ130は、R2Rコントローラ120によって、いくつかの度合いに制御されることができる。また、APCシステムルールは、いつプロセスが休止および/または停止されるかを、そして、プロセスが休止および/または停止されたときに、何がされるかを決定するように使用されることができる。加えて、APCシステムルールは、いつプロセスを変更するか、および、どのようにプロセスを変更するかを決定するように使用されることができる。さらにまた、ツールレベルコントローラ130は、いくつかのツールレベルオペレーションを制御するように、ツールレベルルールを使用することができる。
一般に、ルールは、システムおよび/またはツールオペレーションに、システムの動的な状態(dynamic state)に基づいて変化することを許可する。
図1において、1つのR2Rコントローラ120と、1つのツールレベルコントローラ130とは、示され、しかし、これは、本発明に対しては必要ではない。半導体処理システムは、それら、および独立した処理モジュールと関連する多くのR2Rコントローラを有する多くの処理ツールを備えることができる。
ツールレベルコントローラ130は、それら、および独立処理モジュールと関連する多くの処理モジュールを有する多くの処理ツールを構成するように使用されることができる。ツールレベルコントローラ130は、処理ツールと、処理モジュールと、センサとを必要とするプロセスからのデータを、収集し、提供し、処理し、保存し、および表示することができる。
ツールレベルコントローラ130は、少なくとも1つのツール関連のアプリケーション、少なくとも1つのモジュール関連のアプリケーション、少なくとも1つのセンサ関連のアプリケーション、少なくとも1つのインターフェース関連のアプリケーション、少なくとも1つのデータベース関連のアプリケーション、少なくとも1つのGUI関連のアプリケーション、および/または少なくとも1つの設定アプリケーションを含む多くのアプリケーションを有することができる。
例えば、ツールレベルコントローラ130と、R2Rコントローラ120とは、Unityツール、Teliusツール、および/またはTriasツールおよびそれらの関連した処理モジュールを含むことができる、東京エレクトロン株式会社からのAPCシステムをサポートすることができる。代わりとして、ツールレベルコントローラ130は、他の処理ツールおよび他の処理モジュールをサポートすることができる。
GUIコンポーネント132は、ユーザに以下のことをすることを可能とする使いやすいインターフェースを提供する:ツール状態および処理モジュール状態を見ること;サマリーのx―yチャートおよび選択されたウェーハに対する生の(トレース)パラメータデータを作成し、編集すること;ツールアラームログを見ること;データをデータベースにまたは出力ファイルに書き込むための条件を特定するデータ収集プランを構成すること;統計的プロセスコントロール(statistical process control:SPC)チャート作成、モデリング、および表計算プログラムへファイルを入力すること;特定のウェーハに対するウエハ処理情報を調べ、データベースに現在保存されているデータをみること;プロセスパラメータのSPCチャートを作成および編集し、電子メール警告を生成するSPCアラームを設定すること;多変量(multivariate)主成分分析(principal component analysis:PCA)および/または部分最小二乗(partial least squares:PLS)モデルを実行すること;および/または、問題を解決し、ツールレベルコントローラ130に問題を報告するために、診断スクリーンを見ること。
ツールからの生データおよびトレースデータは、データベース134にファイルとして保存されることができる。データ量は、プロセスが実行され、処理ツールが動作する頻度と同様に、ユーザによって構成されるデータ収集プランに依存する。処理ツールと、処理チャンバと、センサと、オペレーティングシステムとから得られるデータは、テーブルに保存される。
図1の示された例示の実施形態において、単一のクライアントワークステーション112は、示されるが、これは、本発明に対して必要ではない。システムは、複数のクライアントワークステーション112をサポートすることができる。1つの実施形態において、クライアントワークステーション112は、ユーザが設定手順である、ツールと、R2Rコントローラと、プロセスと、工場状態を含んだ状態を見ること;現在および履歴のデータを見ること;モデリングおよびチャート作成機能を実行すること;および/またはR2Rコントローラにデータを入力すること;を実行するのを許可する。例えば、ユーザは、R2Rコントローラによって実行された1つ以上のプロセスを制御することを彼に許可する管理者権限を提供されることがあり得る。
GUIコンポーネント122は、R2Rコントローラ120と、ユーザとの間のインタラクションの手段を提供する。GUIが開始するときに、ユーザーIDおよびパスワードを確証するログオンスクリーンは、示されることができ、第1のセキュリティレベルを提供する。ユーザは、ログオンする前にセキュリティアプリケーションを使用して登録されることができる。ユーザーIDのデータベースチェックは、認可レベルを示し、そして、それは、利用できるGUI機能を合理化する。ユーザが許可されない選択項目は、異なって示されることができ、および利用できなくなることができる。セキュリティシステムも、ユーザが既存のパスワードを変えるのを許可する。例えば、ログオンパネル/スクリーンは、NetscapeまたはInternet Explorerのようなブラウザツールから開かれることができる。ユーザは、ログオン項目にユーザーIDおよびパスワードを入れることができる。
正規ユーザおよび管理者は、R2Rコントローラ設定およびデフォルトパラメータを修正するように、GUIパネル/スクリーンを使用することができる。コンフィギュレーションデータは、属性データベース124に保存されることができ、およびインスタレーションでデフォルトでセットアップされることができる。
GUIコンポーネント122は、R2Rコントローラに対する現在の状態を示すための状態コンポーネントを備えることができる。加えて、状態コンポーネントは、1つ以上の異なるタイプのチャートを使用して、システム関連の、およびプロセス関連のデータをユーザに示すためのチャート作成コンポーネントを含むことができる。
R2Rコントローラ120は、このプロセスの前に実行されたプロセスと関係している少なくとも1つの他のR2Rコントローラに組み合わせるためのリンク126と、このプロセスの後、実行されるプロセスと関係している少なくとも1つの他のR2Rコントローラへの組み合わせるためのリンク128とを備える。リンク126およびリンク128は、フィードフォワードおよび/またはフィードバック情報に使用されることができる。
R2Rコントローラ120は、工場システム110に組み合わせられ、E診断システム(E−Diagnostic System)の部分であり得る。R2Rコントローラ120は、情報を工場システムと交換することができる。加えて、工場システム110は、コマンドを送ることができ、および/またはR2Rコントローラ120に情報をオーバーライドすることができる。例えば、工場システムは、処理モジュールと、ツールと、測定器との多くに対するダウンロード可能なレシピを、各々のレシピに対する変数パラメータを伴って、R2Rコントローラにフィードフォワードすることができる。変数パラメータは、ロットによって調節可能なことを必要とする、最終的なCD目標と、限界と、オフセットと、ツールレベルシステムの変数とを含むことができる。また、工場リソ(litho)CD計測学(metrology)データは、R2Rコントローラ120にフィードフォワードされることができる。
さらにまた、工場システムは、R2Rコントローラに、CD走査型電子顕微鏡(scanning electron microscope:SEM)情報のような測定データを提供するように使用されることができる。代わりとして、CD SEM情報は、マニュアルで提供されることができる。調整係数は、集積化された計測学(integrated metrology:IM)と、CD SEM測定値との間の何らかのオフセットのために調整するように用いられる。CD SEMデータのマニュアルおよびオートメーション化した入力は、R2Rコントローラのフィードバック(FB)制御ループの履歴への適当な挿入のための、日付のようなタイムスタンプを含む。
配置可能な項目は、一般器材モジュール/半導体製造装置通信標準(general equipment module/semiconductor equipment communications standard:GEM SECS)通信プロトコルを使用して工場システムから送られた変数パラメータのセットとして、構成されることができる。例えば、変数パラメータは、「APCレシピ」の一部として通過されることができる。APCレシピは、複数のサブレシピを含むことがあり得て、各々のサブレシピは、変数パラメータを含むことができる。
R2Rコントローラ120は、ツールレベルコントローラ130に組み合わせられる。R2Rコントローラ120と、ツールレベルコントローラ130との間で提供される情報は、フィードバックと、フィードフォワードデータとを含む。例えば、内部リセットされたイベントがツールから生成されるときに、R2Rコントローラ120は、アラームのようなメッセージを工場システムに送信することができる。大きな変更(湿式クリーンまたは部品交換)が起こったあと、これは、工場システムに、危険にさらされている多数のウェーハを最小化するように、必要な変化をさせるのを許可する。
図2は、本発明の実施形態に係る処理システムのより詳細なブロックダイヤグラムを示す。図示の実施形態において、処理ツール280は、第1の測定デバイス210と、処理モジュール220と、第2の測定デバイス230とを含むことを示すが、これは、本発明のためには必要ではない。代わりとして、他の構成は、使用されることができる。
R2Rコントローラ290は、また、図2に示されるが、これは、本発明のためには必要ではない。代わりとして、追加のR2Rコントローラは、使用されることができる。R2Rコントローラ290は、フィードフォワード(FF)コントローラ240と、プロセスモデルコントローラ250と、FBコントローラ260と、プロセスコントローラ270とを備える。
図示の実施形態において、スタートイベント205は、入力を第1の測定デバイス210に提供する。第1の測定デバイス210は、FFコントローラ240と、処理モジュール220とに組み合わせられる。処理モジュール220は、プロセスモデルコントローラ250と、第2の測定デバイス230とに組み合わせられる。第2の測定デバイス230は、FBコントローラ260に組み合わせられる。FFコントローラ240と、FBコントローラ260とは、処理モジュール220に組み合わせられるプロセスコントローラ270に組み合わせられる。
例えば、スタートイベント205は、イベントにおいてウェーハであり得て、スタートイベントは、後継のウェーハと関連するデータを備えることができる。このデータは、ロットデータと、バッチデータと、ランデータと、組成データと、ウェーハ履歴データとを含むことができる。代わりとして、スタートイベントは、異なるプロセス関連のイベントであり得る。
第1の測定デバイス210は、FFコントローラ240にデータの第1のセットを提供することができ、処理モジュール220にデータの第2のセットを提供することができる。代わりとして、データの2つのセットは、同一データを備えることができる。第1の測定デバイス210は、単一の測定デバイスまたは複数測定デバイスを備えることができる。第1の測定デバイス210は、モジュール関連した測定デバイス、ツール関連の測定デバイス、および/または外部の測定デバイスを含むことができる。例えば、データは、1つ以上の処理モジュールに組み合わせられたセンサと、処理ツールに組み合わせられたセンサとから得られることができる。加えて、データは、SEMツールおよび光学デジタルプロフィーリング(Optical Digital Profiling:ODP)ツールのような外部デバイスから得られることができる。ODPツールは、半導体デバイスの形態のプロファイルを測定する特許を受けた技術を提供するTimbre Technologies社(TELの会社)から入手可能である。
FFコントローラ240は、所望の結果を達成するために、プロセスパラメーターセットを選択するか、または計算するように、後継の材料の測定された限界寸法と、目標限界寸法との間の違いを使用することができる。例えば、予測されたウェーハ状態は、判断されることができる。
FFコントローラ240は、プロセスパラメーターの第1のセットを予測するように、第1の測定デバイス210から、データの第1のセットを使用する。この予測されたプロセスパラメーターのセットは、予想された結果と、データの第1のセットに基づいて使用するレシピの第1の評価であり得る。第1の測定デバイス210は、第1の状態を有するウェーハを記載しているデータの第1のセットを提供することができる。
一方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態へ変化させるように、ウェーハに実行されることができる少なくとも1つのレシピを決定する。
他方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態でない1つ以上の異なる状態へ変化させるように、ウェーハに実行されることができる少なくとも1つのレシピを決定する。
他方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態へ変化させるように、ウェーハに実行されることができるレシピのセットを決定する。例えば、プロセスがマルチステッププロセスであるときに、これは、起こることができる。
FFコントローラ240は、テーブルに基づくおよび/またはフォーミュラに基づく技術と、入力レンジ、出力レンジ、ウェーハタイプ、プロセスタイプ、モジュールタイプ、ツールタイプ、ウェーハ状態および/またはプロセス状態の少なくとも1つに基づくことがあり得る技術の間をいつ切り替えるかを決定するルールとを使用することができる。例えば、レシピは、テーブルにあり得て、FFコントローラ240は、どのレシピが最良の解を提供するのかを決定するようにテーブルルックアップをする。代わりとして、レシピは、フォーミュラのセットにおいてあり得て、FFコントローラ240は、どのレシピフォーミュラが、最良の解を提供するのかについて決定する。
フィードフォワードコントローラ240がテーブルに基づく技術を使用するときに、フィードフォワード制御変数は設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルと、入力レンジまたは出力レンジに基づくことがあり得るテーブルの間をいつ切り替えをするかを決定するルールとは、あり得る。
フィードフォワードコントローラ240がフォーミュラに基づく制御を使用するときに、フィードフォワード制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。
FFコントローラ240の時定数は、測定間隔に基づく。ロットが完了されたあと測定したデータが利用できるときに、FFコントローラの時定数は、ロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、FFコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。測定データがプロセスの間の提供されたリアルタイムであるときに、ウェーハ内で、FFコントローラの時定数は、処理ステップに基づくことがあり得る。ウェーハが処理される間か、またはウェーハが完了された後か、またはロットが完了された後に、測定されたデータが利用できるときに、FFコントローラ240は、プロセスステップ間の、ウェーハ間の、および/またはロット間の時間に基づくことがありえる多重時定数を有することができる。
1つ以上のFFコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のFFコントローラがモニタモードにあり得る間、1つのFFコントローラは、運転モードにあり得る。加えて、他のFFコントローラは、シミュレーションモードで動作していることができる。FFコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、制御ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
FFコントローラ240は、単一入力単一出力(single input single output:SISO)デバイスとして、単一入力多重出力(single input multiple output:SIMO)デバイスとして、多重入力単一出力(multiple input single output:MISO)デバイスとして、および多重入力多重出力(multiple input multiple output:MIMO)デバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラの範囲内、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は2台のモジュール(すなわちCD制御のために1つ、および側壁角度制御のために1つ)の間でフィードフォワードとバックワード(前後)されることができる。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへのフィードフォワードであり得る。
処理モジュール220は、ウェーハを第1の状態から第2の状態へ変える手段を提供する。例えば、処理モジュール220は、エッチングモジュール、堆積モジュール、研磨モジュール、コーティングモジュール、現像モジュール、トリミングモジュール、および/または熱処理モジュールのうちの少なくとも1つを備えることができる。加えて、処理モジュール220は、ウェーハを第1の状態から第2の状態まで変えるように使用されたプロセス特性を備えるデータを提供することができる。
処理ツールおよび/または処理モジュールがデータを含むときに、このデータは、R2Rコントローラに送られることができる。例えば、このデータは、ツールトレースデータ、メンテナンスデータおよび/または終点検出(end point detection:EPD)データを含むことができる。トレースデータは、プロセスについて重要情報を提供することができる。トレースデータは、ウェーハのプロセス中またはプロセスが完了された後、アップデートされることができ、保存されることができる。
プロセスモデルコントローラ250は、プロセス特性およびプロセスモデルに基づいたウェーハの第2の状態を予測する。例えば、エッチング速度モデルは、エッチング深さを計算するように処理時間と一緒に使用されることができ、体積速度モデルは、堆積厚さを計算するように処理時間と一緒に使用されることができる。例えば、モデルは、SPCチャートと、PLモデルと、PCAモデルと、故障検出/修正(fault detection/correction:FDO)モデルと、多変量解析(multivariate analysis:MVA)モデルとを含むことができる。加えて、モデル化されたウェーハ状態は、決定されることができる。
R2Rコントローラは、外部的に提供されたデータを処理モジュールのプロセスパラメータ制限のために受けることができ、利用することができる。例えば、R2RコントローラGUIコンポーネントは、プロセスパラメータ制限のマニュアル入力の手段を提供する。加えて、工場レベルコントローラは、各々の処理モジュールに対するプロセスパラメータの制限を提供することができる。
R2Rコントローラは、市販のモデリングソフトウェアによって作成されるモデルを受けることができ、実行することができる。例えば、R2Rコントローラは、外部アプリケーションによって作成され、コントローラに送られたモデル(PLA、PCAなど)を受けることができ、実行することができる。
第2の測定デバイス230は、FBコントローラ260にデータの第1のセットを提供することができ、ウェーハアウトイベント295にデータの第2のセットを提供することができる。代わりとして、データの2つのセットは、同一データを備えることができる。第2の測定デバイス230は、単一の測定デバイスまたは多重測定デバイスを備えることができる。第2の測定デバイス230は、処理モジュールに関連した測定デバイス、ツール関連の測定デバイスおよび/または外部の測定デバイスを含むことができる。例えば、データは、1つ以上の処理モジュールに組み合わせられるセンサ、および処理ツールに組み合わせられるセンサから得られることができる。加えて、データは、SEMツール、光学発光分光計(optical emissions spectrometer:OES)ツール、および光学デジタルプロフィーリング(Optical Digital Profiling:ODP)ツールのような外部デバイスから得られることができる。ODPツールは、半導体デバイスの形態のプロファイルを測定する特許を受けた技術を提供するTimbre Technologies社(TELの会社)から市販されている。
FBコントローラ260は、プロセス偏差の第1のセットを計算するように、第2の測定デバイス230からのデータの第1のセットを使用する。プロセス偏差のこの計算されたセットは、第2の測定デバイス230からの、予想されたウェーハ状態、およびデータの第1のセットに基づいて決定されることができる。例えば、測定されたウェーハ状態は、決定されることができる。
第2の測定デバイス230は、第2の状態を有するウェーハを記載しているデータの第1のセットを提供することができる。一方のケースにおいて、FBコントローラ260は、所望の状態およびウェーハに対する第2の状態を知っており、FBコントローラ260は、所望の状態と、第2の状態との間の違いを決定する。このように、測定された実際のプロセス結果は、プロセスレシピに修正を決定するために、所望のプロセス結果と比較される。
他方のケースにおいて、FBコントローラ260は、所望の状態および第2のウェーハに対する状態を知っており、FBコントローラ260は、ウェーハを第2の状態から所望の状態へ変えるようにウェーハに実行されることができる修正のセットを決定する。例えば、プロセスがマルチステッププロセスであるときに、これは、起こることができる。
FBコントローラ260は、テーブルに基づくおよび/またはフォーミュラに基づく技術を使用することができる。例えば、レシピは、テーブルにあり得て、FBコントローラ260は、どの修正が最良の解を提供するかについて決定するようにテーブルルックアップをする。代わりとして、修正は、フォーミュラのセットを使用して決定されることができ、FBコントローラ260は、どの修正フォーミュラが最良の解を提供するかについて決定する。
FBコントローラ260がテーブルに基づく技術を使用するときに、フィードバック制御変数は、設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルがある得て、ルールに基づく切替は、入力レンジまたは出力レンジに基づいて達成されることができる。
FBコントローラ260がフォーミュラ基礎を形成された制御を使用するときに、フィードバック制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、ルールに基づく切替は、入力レンジまたは出力レンジに基づいて達成されることができる。
場合によっては、FBコントローラ260は、目標CDを調整するようにルックアップテーブルまたはフォーミュラ方法を使用する必要はない。例えば、目標CDおよびフィルタ処理された計測学CDを提供された工場間の単純なデルタ(delta)は、修正として、適用されることができる。
FBコントローラ260のための時定数は、測定間の時間に基づく。ロットが完了されたあと測定したデータが利用できるときに、FBコントローラの時定数は、ロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、FBコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。ウェーハが完了されたあと、およびロットが完了されたあと測定したデータが利用できるときに、FBコントローラ260は、ウェーハ間の、および、ロット間の時間に基づくことがあり得る多重時定数を有することができる。
1つ以上のFBコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のFBコントローラがモニタモードにあり得る間、1つのFBコントローラは、運転モードにあり得る。加えて、他のFBコントローラは、シミュレーションモードで動作していることができる。FBコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
モデルアップデートは、モニターウェーハを動かすことと、プロセス設定を変化することと、結果を観察することと、モデルをアップデートすることとによって行われることができるフィードバックの他のフォームである。例えば、モデルアップデートは、処理80時間ごとに、モニターウェーハのフィルム特性の前後を測定することによって、行われることができる。異なる動作領域をチェックするようにオーバータイムの設定を変えることによって、完全な作動領域オーバータイムを確証してもよく、または、異なるレシピ設定ですぐに、いくつかのモニターウェーハを動かしてもよい。モデルアップデートは、ツールまたは工場でR2Rコントローラ内で、行われることができ、工場制御がモニターウェーハ、およびモデルアップデートを管理するのを許可する。
FBコントローラ260は、SISOデバイスとして、SIMOデバイスとして、MISOデバイスとして、およびMIMOデバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラ内に、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は、2台のモジュール(すなわち、CD制御に対して1つ、および側壁角度制御に対して1つ)の間で、フィードフォワードおよびバックフォワードであり得る。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへのフィードフォワード(fed―forward)であり得る。
プロセスコントローラ270は、次のウェーハのアップデートされたレシピを計算する。一方のケースにおいて、プロセスコントローラ270は、現在のウェーハを動かす前に、現在のレシピを変えるか変えないかを決定するように、FFコントローラ240からのフィードフォワード情報と、モデルコントローラ250からのモデリング情報と、FBコントローラ260からのフィードバック情報とを使用する。他方のケースにおいて、プロセスコントローラ270は、次のウェーハを動かす前に、現在のレシピを変えるか変えないかを決定するように、FFコントローラ240からのフィードフォワード情報と、モデルコントローラ250からのモデリング情報と、FBコントローラ260からのフィードバック情報とを使用する。
プロセスコントローラ270は、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができ、そしてどの技術を使用するべきかについて決定するように、ルールに基づく方法を使用することができる。例えば、レシピはテーブルにあり得て、プロセスコントローラ270は、どのレシピが最良の解を提供するかについて決定するようにテーブルルックアップをする。代わりとして、レシピは、フォーミュラのセットを使用して決定されることができ、プロセスコントローラ270は、どのレシピフォーミュラが最良の解を提供するかについて決定する。
プロセスコントローラ270がテーブルに基づく技術を使用するときに、制御変数は、設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルであることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。
プロセスコントローラ270がフォーミュラに基づく制御を使用するときに、制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。プロセスコントローラ270の時定数は、測定間の時間に基づく。ロットが完了されたあと測定したデータが利用できるときに、プロセスコントローラの時定数はロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、プロセスコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。ウェーハが完了されたあと、およびロットが完了されたあと測定したデータが利用できるときに、プロセスコントローラ270は、ウェーハ間の、およびロット間の時間に基づくことがあり得る多重時定数を有することができる。
1つ以上のプロセスコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のプロセスコントローラがモニタモードにあり得る間、1つのプロセスコントローラは、運転モードにあり得る。加えて、他のプロセスコントローラは、シミュレーションモードで動作していることができる。プロセスコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
プロセスコントローラは、SISOデバイスとして、SIMOデバイスとして、MISOデバイスとして、および、MIMOデバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラ内で、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は、2台のモジュール(すなわち、CD制御に対して1つ、および側壁角度制御に対して1つ)の間でフィードフォワードおよびバックフォワードされることができる。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへフィードフォワードされることができる。
R2Rコントローラ290は、ランダムノイズを取り除くために計測学データにフィルターをかけるように、1つ以上のフィルタ(図示せず)を備える。例えば、フィルタは、コントローラの入力にまたは出力に適用されることができる。1つのケースにおいて、フィルタは、制御の方法の心配のない(すなわち、ルックアップテーブルまたは方程式を使用することから独立している)フィルタリングを許可するように、後継の変数に適用されることができる。これは、また、制御のレンジ上の出力変数を変えるようにコントローラを使用可能にし、例えば小さいステップの流量を変え、それで、圧力および流量変化のステッピングの段階の変化がなされる。
外れ値フィルタ(outlier filter)は、静的に有効でなく、ウェーハ測定の平均において考慮されるべきでない外れ値を取り除くように使用されることができる。外れ値フィルタは、平均から高いおよび低い外れ値を省くために使用されることができる。例えば、ボックスおよびウィスカ(whisker)方法は、サイト計測学データに適用されることができる。この方法は、効果的で、絶対限界値なしで維持しやすくて、フィルタ制限の1つのセットが後継のCD平均データ(目標は、フィルタ制限をもたらさずに変化することができる)の変化しているセットに適用されるのを許可して、および、視覚化しやすい。外れ値フィルタで、追加のルールは、維持されることができる(静的なウェーハを表すウェーハ内のポイントの最小数、およびロットを表すウェーハの最小数。)
ノイズフィルタは、ランダムノイズを取り除き、制御ループを安定させるように使用されることができ、指数的加重移動平均(Exponentially Weighed Moving Average:EWMA)またはカルマンフィルタ(Kalman filter)は、適用されることができる。フィルタが使用されるときに、フィルタ時定数はセットされなければならない(EWMAの場合には、ラムダは、時定数である)。たとえば、EWMA算出は、故障が加えられたデータポイントを捕獲するために、プロセス日付時間によって、各時完全な履歴を使用してなされることができる。
R2Rコントローラは、フィードフォワードデータを受けることができ、利用することができる。たとえば、R2Rコントローラは、処理される後継の材料に関する情報と、所望のプロセス結果(目標CD)とを受けることができ、R2Rコントローラは、所望のプロセス結果を達成するようにレシピパラメータのセットを提供することができる。R2Rコントローラは、フィードバックデータを受けることができ、利用することができる。例えば、R2Rコントローラは、すでに処理された材料に関する情報を受けることができて、および、このデータに基づいてプロセスモデルを調整することができる。R2Rコントローラは、遅れるフィードバックデータを受けることができ、利用することができる。例えば、ツールによって処理された順番でデータが受けられない場合であっても、R2Rコントローラは、すでに処理された材料に関する情報を受けることができ、このデータに基づいてプロセスモデルを調整することができる。R2Rコントローラは、コントローラを構成し、制御するために、マニュアルで入力されたデータを受けることができ、利用することができる。例えば、R2RコントローラGUIコンポーネントは、コントローラ構成情報のマニュアル入力の手段を提供する。
R2Rコントローラは、例外条件の通知を送受信することができる。例えば、R2Rコントローラは、工場レベルコントローラまたはツールレベルコントローラへ/から通知を送受信することができる。加えて、通知は、例外条件の識別の後、e診断ネットワーク、電子メールまたはページャを介して送られることができる。
R2Rコントローラは、シミュレーションモードで動作することができる。例えば、R2Rコントローラは、実際のプロセスモードと並列にシミュレーションモードで動作することができる。この場合、シミュレーションされたアクションは、R2Rコントローラログ、および履歴データベースに記録されることができ、即時のアクションはとられない。
プロセスモデルは、ガス流量の入力パラメータを提供するだけでなくて、ガス流量比率の入力パラメータをも提供する。例えば、R2Rコントローラは、ガス流比率を算出することができ、決めることができて、および複合ガスのトータルフローを調整することができる。
R2Rコントローラは、後継の材料コンテキストに基づいてプロセスモデルを選ぶことができる。例えば、R2Rコントローラは、後継の材料状態およびプロセスレシピに基づいてプロセスモデルを選ぶことができる。R2Rコントローラは、システムが有効なR2R設定を算出することができることを確かめる手段を備えることができる。例えば、R2Rコントローラは、ロットスタート前のレシピパラメータ設定を確かめる手段を備えることができる。R2Rコントローラは、レシピセットポイントのデフォルト設定を使用する手段を備えることができる。例えば、R2Rコントローラが特定のウェーハに対してレシピパラメータを提供することができないときに、「公称(nominal)」レシピのレシピパラメータは使用されることができる。
R2Rコントローラは、入出力データをアーカイブするデータベースコンポーネントを備えることができる。例えば、R2Rコントローラは、受けられた入力、送られた出力、および、検索可能なデータベースのコントローラによってとられたアクションをアーカイブすることができる。加えて、R2Rコントローラは、データバックアップおよび回復の手段を備えることができる。また、検索可能なデータベースは、モデル情報、構成情報、および履歴情報を含むことができ、R2Rコントローラは、履歴および現在の両方の、モデル情報およびモデル構成情報をバックアップに復元するように、データベースコンポーネントを使用することができる。
R2Rコントローラは、ウェブに基づくユーザーインターフェースを備えることができる。例えば、R2Rコントローラは、データベースのデータを表示するウェブ使用可能なGUIコンポーネントを有することができる。
R2Rコントローラは、セキュリティー管理者によって付与される許可に従い多重アクセスのレベルを提供することができるセキュリティコンポーネントを有することができる。
R2Rコントローラは、インスタレーション時に提供されるデフォルトモデルのセットを備え、デフォルト条件にリセットする能力を有する。
R2Rコントローラは、例外の質(nature)に従うさまざまなアクションをとることができる。例えば、例外条件は、失われた測定データ、失われた目標CD、計測学エラー、制限を上回ったレシピパラメータ、制限を上回った処理モジュールパラメータ、および故障を受けたフィードバックイベントを含むことができる。
R2Rコントローラ入力は、命令(Instructions)、基板状態、モジュールの物理的状態、プロセス状態、およびコントローラパラメータを含むことができる。加えて、R2Rコントローラ入力は、フィードフォワード/フィードバックループ、蓄積に対するリセットイベント、IMステップ、およびODPオフセットのための時定数を含むことができる。命令は、目標、許容範囲、計算コマンド、データ収集プラン、アルゴリズム、モデル、係数、およびレシピを含むことができる。基板状態は、処理される基板(サイト、ウェーハ、ロット、バッチ状態)、プロファイル、および物理的にまたは電気的に測定された特性からの情報を含むことができる。モジュールの物理的状態は、基板−RF時間と、ウェーハ数と、消耗品状態とを処理するのに使用された、モジュールおよびコンポーネントの現在または最後の周知の記録された状態を含むことができる。プロセス状態は、トレースデータ、およびサマリー統計量を含むプロセス環境のセンサからの現在または最後の周知の測定された状態を含むことができる。コントローラパラメータは、基板状態、モジュールの物理的状態、およびプロセス状態を作成したレシピ/コントローラセットポイント、およびプロセス目標に対する最後の設定を含むことができる。
R2Rコントローラ出力は、導き出されたパラメータ、設定、イベントまたはメッセージ、介入(Intervention)、導き出されたコンテキスト、ログメッセージ、および履歴のアイテムを含むことができる。例えば、データは、解析のためオフラインシステムに送信される。導き出されたパラメータは、コントローラ、プロセス、材料、または装置の状態を表すことができるコントローラによって生成される情報を含むことができる。設定は、R2Rコントローラによって算出され、一般的にランタイムでツールにダウンロードされる処理ツールパラメータを含むことができる。例えば、これらのパラメータは、ステップ毎の時間、圧力、温度(temp)、ガス流、および/またはパワーを含むことができる。イベントまたはメッセージは、制御されているシステムで起こった例外を示す情報を含むことができる。介入は、解析結果に基づいてR2Rコントローラによって推奨される(またはとられる)アクションに関する情報を含むことができる。導き出されたコンテキストは、R2Rコントローラによって導き出されるコンテキスト情報を含むことができる。ログメッセージは、R2Rコントローラの動作を記載しているテキストメッセージであり得る。履歴アイテムは、解析の意思決定支援システム(decision support systems:DSS)タイプに対してオフラインシステムに送信されるデータを含むことができる。
R2Rコントローラは、多重コントローラアプリケーションをサポートする少なくとも1つのコンピュータおよびソフトウェアを備えることができる。R2Rコントローラは、データを保存する少なくとも1つの記憶機器を備えることができる。例えば、少なくとも1つのコンピュータは、東京エレクトロンからの、lngenio(登録商標)ソフトウェアのようなオペレーショナルソフトウェアを備えることができる。1つのケースにおいて、オペレーショナルソフトウェアは、構成手段と、データ管理手段と、GUI手段と、故障管理手段と、トラブルシューティング手段とのうちの少なくとも1つのを備えている。また、構成GUIスクリーンは、処理部材(すなわちツール、モジュール、センサなど)に対して、デバイスタイプを決定するように、コンピュータと、処理部材との間のインターフェースを構成するように使用されることができ、およびデータ管理GUIスクリーンは、収集するデータの量およびタイプを決定するように、およびどのように、そしてどこに収集されたデータを保存するかを決定するように使用されることができる。さらにまた、故障管理GUIスクリーンは、ユーザに故障条件を知らせるように使用されることができる。
図3は、本発明の実施形態に係るR2Rコントローラを動作する方法の流れ図を示す。手順300は、310でスタートする。例えば、R2Rコントローラは、少なくとも1つの処理モジュールを備えることができる少なくとも1つの処理ツールと、少なくとも1つの測定器とに組み合わせられることができる。例えば、R2Rコントローラは、東京エレクトロンによって提供されることができ、処理ツールは、クラスターツールを、例えば東京エレクトロンからのものを含むことができる。また、R2Rコントローラは、オペレーショナルソフトウェアを含んでいるコンピュータと、R2Rコントローラに組み合わせられるデータベースと、R2Rコントローラに組み合わせられるGUIとを有することができる。オペレーショナルソフトウェアは、制御ジョブにAPCレシピを対応することができ、サブレシピは、APCレシピ内で提供される。APCレシピおよびサブレシピは、標準のSEMIフォーマット化されたレシピに従う。フォーマット化されたレシピは、工場システムのレシピエディタと互換性を持つ。
315において、R2Rコントローラは、後継の材料(プロセスの前に)を記載する測定されたデータの第1のセットを受けることができる。例えば、測定されたデータの第1のセットが、ODPツールのような第1の測定器からであることができる。加えて、データの第1のセットは、SEMデータおよび光データを含むことができる。また、データの第1のセットは、物理データと、電気的なデータと、プロセスデータとを含むウェーハデータを備えることができる。
320において、FFコントローラは、R2Rコントローラにおいて、レシピ選択と、プロセスコントローラに対して選択をフィードフォワードとをなさせる。FFコントローラは、最良のレシピを決定するテーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。FFコントローラは、処理される後継の材料に関する情報と、所望のプロセス結果とを受け、FFコントローラは、所望のプロセス結果を達成させることを決定された少なくとも1つのレシピ選択を提供する。FFコントローラは、受けられた情報に制限を適用することができ、所望のプロセス結果の周りにwindows(登録商標)を提供する。FFコントローラは、現在のレシピおよび公称レシピを選択プロセスに含むことができる。
325において、R2Rコントローラは、プロセスデータを受けることができる。例えば、プロセスデータは、処理ツール、処理モジュール(チャンバ)、および/またはプロセスセンサからのものであることができる。
330において、モデルコントローラは、R2Rコントローラにおいて、後継のウェーハ状態に基づいた結果のセットと、1つのプロセスモデルとを決定する。例えば、プロセスモデルは、処理モジュール(チャンバ)の現在状態に基づくことがあり得る。
335において、R2Rコントローラは、出て行く材料(プロセスの後で)を記載する測定されたデータの第2のセットを受けることができる。例えば、測定されたデータの第2のセットは、ODPツールのような第2の測定器からのものであることができる。加えて、データの第2のセットは、SEMデータおよび光データを含むことができる。また、データの第2のセットは、物理データ、電気的なデータ、およびプロセスデータを含むウェーハデータを備えることができる。
340において、FBコントローラは、R2Rコントローラにおいて、測定したデータの第2のセットに基づいた修正のセットを決定する。FBコントローラは、修正を決定するため、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。FBコントローラは、出て行く材料(プロセスの後で)の情報と、所望のプロセス結果とを受け、FBコントローラは、達成された結果(プロセスの後で)と、所望のプロセス結果との間の違いを表すことを決定した少なくとも1つの修正を提供する。FBコントローラは、受けられた情報に制限を適用することができ、修正の周りにウインドウを提供することができる。FBコントローラは、修正を決定するように、現在のデータ、遅延データ、および/または履歴データを含むことができる。
345において、プロセスコントローラは、R2Rコントローラにおいて、アップデートされたプロセスレシピを算出する。プロセスコントローラは、アップデートされたレシピを算出するように、FFコントローラ、モデルコントローラ、およびFBコントローラからの結果を使用する。プロセスコントローラは、アップデートされたレシピを決定するため、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。プロセスコントローラは、後継の材料(プロセスの前に)についての情報を受け、出て行く材料(プロセスの後で)についての情報を受け、モデリング情報を受け、プロセスデータおよび所望のプロセス結果を受ける。プロセスコントローラは、達成された結果(プロセスの後)と、所望のプロセスが結果との間の違いに対する修正をを決定した少なくとも1つのアップデートレシピを提供する。プロセスコントローラは、受けられた情報に制限を適用することができ、アップデートレシピパラメータの周りにwindows(登録商標)を提供することができる。プロセスコントローラは、アップデートされたレシピを決定するように、現行データ、遅延データ、および/または履歴データを含むことができる。350で、プロセスは、終了する。
本発明の多数の修正および変更は、上記の教示を考慮し可能である。従って、添付の請求の範囲内で、本発明は、ここに特に記載されているより別な方法で実施されることがあり得ると理解されるものである。
本発明の実施形態に係る処理システムの典型的なブロックダイヤグラムを示す図である。 本発明の実施形態に係る処理システムの、より詳細なブロックダイヤグラムを示す図である。 本発明の実施形態に係るR2Rコントローラを操作する方法の流れ図である。

Claims (9)

  1. ウェーハの第1の状態を決定することと、
    ウェーハの第2の状態を決定することと、
    前記第1の状態から前記第2の状態へウェーハの状態を変えるようにプロセスレシピを決定することと、
    ウェーハの状態が前記第1の状態から被処理状態へ変わるプロセスレシピをウェーハに実行することと、
    前記被処理状態が前記第2の状態でないときを決定することと、
    前記プロセスレシピをアップデートすることとを具備する半導体処理システムを操作する方法。
  2. 前記第1の状態を決定することは、光学的性質と、電気的性質と、物理的性質とのうちの少なくとも1つを測定することを備えている請求項1に記載の半導体処理システムを操作する方法。
  3. 前記第1の状態を決定することは、光学的データと、電気的データと、物理的データとのうちの少なくとも1つを受けることを備えている請求項1に記載の半導体処理システムを操作する方法。
  4. 前記第2の状態を決定することは、光学的性質と、電気的性質と、物理的性質とのうちの少なくとも1つを測定することを備えている請求項1に記載の半導体処理システムを操作する方法。
  5. ウェーハの前記第2の状態を決定することは、光学的データと、電気的データと、物理的データとのうちの少なくとも1つを受けること備えている請求項1に記載の半導体処理システムを操作する方法。
  6. 前記プロセスレシピを決定することは、ウェーハの前記第1および前記第2の状態に基づく少なくとも1つのプロセスレシピをフィードフォワードすることを備えている請求項1に記載の半導体処理システムを操作する方法。
  7. 前記プロセスレシピを決定することは、ウェーハの前記第1の状態と、処理条件に基づくプロセスモデルとを使用して、前記第2の状態を予測することを備えている請求項1に記載の半導体処理システムを操作する方法。
  8. 前記被処理状態と、前記第2の状態との間の違いを決定することと、
    この違いをフィードバックすることとを、さらに具備する請求項1に記載の半導体処理システムを操作する方法。
  9. 半導体処理システムを操作する方法であって、
    ウェーハの第1の状態を決定することと、
    ウェーハの第2の状態を決定することと、
    ウェーハの予測状態を決定することと、を具備し、
    予測プロセスレシピが前記第1の状態から前記予測状態へウェーハの状態を変えるように使用され、
    ウェーハのモデル化された状態を決定することと、をさらに具備し、
    処理モジュールが前記第1の状態から前記モデル化された状態へウェーハの状態を変えるように使用され、
    ウェーハの測定状態を決定することと、
    ウェーハの状態を前記第1の状態と、前記予測状態と、モデル化される状態と、測定状態とを使用して前記第2の状態に変えるレシピを決定することと、をまたさらに具備する方法。
JP2006517141A 2003-06-30 2004-05-24 エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。 Pending JP2007521652A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/609,129 US7158851B2 (en) 2003-06-30 2003-06-30 Feedforward, feedback wafer to wafer control method for an etch process
PCT/US2004/016404 WO2005006411A2 (en) 2003-06-30 2004-05-24 Feedforward, feedback wafer to wafer control method for an etch process

Publications (1)

Publication Number Publication Date
JP2007521652A true JP2007521652A (ja) 2007-08-02

Family

ID=33540772

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006517141A Pending JP2007521652A (ja) 2003-06-30 2004-05-24 エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。

Country Status (6)

Country Link
US (1) US7158851B2 (ja)
JP (1) JP2007521652A (ja)
KR (1) KR101032931B1 (ja)
CN (1) CN1816905A (ja)
TW (1) TW200500920A (ja)
WO (1) WO2005006411A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014027301A (ja) * 2008-03-31 2014-02-06 Tokyo Electron Ltd 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2015015315A (ja) * 2013-07-03 2015-01-22 東京エレクトロン株式会社 基板処理方法及び制御装置
JP2015215116A (ja) * 2014-05-09 2015-12-03 パナソニック株式会社 ガス遮断装置

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
JP2004047885A (ja) * 2002-07-15 2004-02-12 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム及びモニタリング方法
US20050004780A1 (en) * 2003-07-03 2005-01-06 Taiwan Semiconductor Manufacturing Co., Ltd Virtual assistant for semiconductor tool maintenance
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7355470B2 (en) 2006-04-24 2008-04-08 Parkervision, Inc. Systems and methods of RF power transmission, modulation, and amplification, including embodiments for amplifier class transitioning
US7327803B2 (en) 2004-10-22 2008-02-05 Parkervision, Inc. Systems and methods for vector power amplification
US7477991B2 (en) * 2005-03-12 2009-01-13 Bushnell Performance Optics GPS device and method for reducing light emitted by display
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US9106316B2 (en) 2005-10-24 2015-08-11 Parkervision, Inc. Systems and methods of RF power transmission, modulation, and amplification
US8334722B2 (en) 2007-06-28 2012-12-18 Parkervision, Inc. Systems and methods of RF power transmission, modulation and amplification
US7911272B2 (en) 2007-06-19 2011-03-22 Parkervision, Inc. Systems and methods of RF power transmission, modulation, and amplification, including blended control embodiments
US8719327B2 (en) * 2005-10-25 2014-05-06 Fisher-Rosemount Systems, Inc. Wireless communication of process measurements
US7620460B2 (en) * 2005-10-25 2009-11-17 Fisher-Rosemount Systems, Inc. Process control with unreliable communications
US7587252B2 (en) * 2005-10-25 2009-09-08 Fisher-Rosemount Systems, Inc. Non-periodic control communications in wireless and other process control systems
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
US7305320B2 (en) * 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
JP2007250748A (ja) * 2006-03-15 2007-09-27 Omron Corp プロセス異常分析装置および方法並びにプログラム
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7542880B2 (en) * 2006-04-06 2009-06-02 Advanced Micro Devices, Inc. Time weighted moving average filter
US8031804B2 (en) * 2006-04-24 2011-10-04 Parkervision, Inc. Systems and methods of RF tower transmission, modulation, and amplification, including embodiments for compensating for waveform distortion
US8055370B1 (en) 2006-06-23 2011-11-08 Novellus Systems, Inc. Apparatus and methods for monitoring health of semiconductor process systems
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
JP4942174B2 (ja) 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US7477948B1 (en) 2006-11-06 2009-01-13 Novellus Systems, Inc. Apparatus and methods for precompiling program sequences for wafer processing
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8271103B2 (en) * 2007-05-02 2012-09-18 Mks Instruments, Inc. Automated model building and model updating
WO2008144017A1 (en) 2007-05-18 2008-11-27 Parkervision, Inc. Systems and methods of rf power transmission, modulation, and amplification
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
WO2008156800A1 (en) 2007-06-19 2008-12-24 Parkervision, Inc. Combiner-less multiple input single output (miso) amplification with blended control
CN101329986B (zh) * 2007-06-21 2011-08-17 中芯国际集成电路制造(上海)有限公司 刻蚀方法
CN101572218B (zh) * 2008-04-28 2011-03-23 中芯国际集成电路制造(北京)有限公司 半导体器件及栅极的形成方法
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US9768082B2 (en) * 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US8406911B2 (en) 2010-07-16 2013-03-26 HGST Netherlands B.V. Implementing sequential segmented interleaving algorithm for enhanced process control
EP2695294A1 (en) 2011-04-08 2014-02-12 Parkervision, Inc. Systems and methods of rf power transmission, modulation, and amplification
WO2012167111A2 (en) 2011-06-02 2012-12-06 Parkervision, Inc. Antenna control
US10047439B2 (en) * 2011-12-08 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tool condition monitoring based on a simulated inline measurement
US11199824B2 (en) 2012-01-17 2021-12-14 Fisher-Rosemount Systems, Inc. Reducing controller updates in a control loop
US10423127B2 (en) 2012-01-17 2019-09-24 Fisher-Rosemount Systems, Inc. Velocity based control in a non-periodically updated controller
US9298176B2 (en) 2012-01-17 2016-03-29 Fisher-Rosemount Systems, Inc. Compensating for setpoint changes in a non-periodically updated controller
CN103681250B (zh) * 2012-09-17 2016-08-17 上海华虹宏力半导体制造有限公司 两次刻蚀成型图形的关键尺寸的控制方法
WO2014068981A1 (ja) * 2012-10-31 2014-05-08 東京エレクトロン株式会社 基板処理装置及び基板処理装置の制御装置
US8892237B2 (en) * 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
KR20160058855A (ko) 2013-09-17 2016-05-25 파커비전, 인크. 정보를 포함하는 시간의 함수를 렌더링하기 위한 방법, 장치 및 시스템
JP6805028B2 (ja) * 2017-03-07 2020-12-23 東京エレクトロン株式会社 液滴吐出装置、液滴吐出方法、プログラム及びコンピュータ記憶媒体
FR3094504A1 (fr) * 2019-03-29 2020-10-02 Braincube Appareil numérique de régulation d’un processus industriel avec contexte
FR3089024A1 (fr) * 2018-11-26 2020-05-29 Braincube Appareil numérique de régulation d’un processus industriel
WO2020109945A1 (fr) 2018-11-26 2020-06-04 Braincube Procede de regulation d'un processus industriel
US11569135B2 (en) 2019-12-23 2023-01-31 Hitachi High-Tech Corporation Plasma processing method and wavelength selection method used in plasma processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
JP2003017471A (ja) * 2001-06-29 2003-01-17 Hitachi Ltd プラズマ処理装置および処理方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
AU2002341677A1 (en) 2001-09-18 2003-04-01 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US6725098B2 (en) * 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
JP2003017471A (ja) * 2001-06-29 2003-01-17 Hitachi Ltd プラズマ処理装置および処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014027301A (ja) * 2008-03-31 2014-02-06 Tokyo Electron Ltd 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP2015015315A (ja) * 2013-07-03 2015-01-22 東京エレクトロン株式会社 基板処理方法及び制御装置
JP2015215116A (ja) * 2014-05-09 2015-12-03 パナソニック株式会社 ガス遮断装置

Also Published As

Publication number Publication date
US7158851B2 (en) 2007-01-02
WO2005006411A2 (en) 2005-01-20
US20040267399A1 (en) 2004-12-30
TW200500920A (en) 2005-01-01
KR20060026902A (ko) 2006-03-24
KR101032931B1 (ko) 2011-05-06
CN1816905A (zh) 2006-08-09
WO2005006411A3 (en) 2005-03-31

Similar Documents

Publication Publication Date Title
US7158851B2 (en) Feedforward, feedback wafer to wafer control method for an etch process
US7292906B2 (en) Formula-based run-to-run control
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
KR101311640B1 (ko) 웨이퍼 균일성 제어에서의 동적 계측 샘플링을 이용한 웨이퍼 처리 방법
US7877161B2 (en) Method and system for performing a chemical oxide removal process
KR101113203B1 (ko) 상태 추정 결과에 기초한 샘플링 레이트 조정
WO2006044016A2 (en) R2r controller to automate the data collection during a doe
US7153709B1 (en) Method and apparatus for calibrating degradable components using process state data
TWI803364B (zh) 蝕刻機台的控制方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100323

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100518