KR101158950B1 - 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법 - Google Patents

반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법 Download PDF

Info

Publication number
KR101158950B1
KR101158950B1 KR1020077002175A KR20077002175A KR101158950B1 KR 101158950 B1 KR101158950 B1 KR 101158950B1 KR 1020077002175 A KR1020077002175 A KR 1020077002175A KR 20077002175 A KR20077002175 A KR 20077002175A KR 101158950 B1 KR101158950 B1 KR 101158950B1
Authority
KR
South Korea
Prior art keywords
data
processing
trim
value
obtaining
Prior art date
Application number
KR1020077002175A
Other languages
English (en)
Other versions
KR20070052746A (ko
Inventor
아사오 야마시타
메리트 펑크
다니엘 제이 프래거
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070052746A publication Critical patent/KR20070052746A/ko
Application granted granted Critical
Publication of KR101158950B1 publication Critical patent/KR101158950B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 방법은 격리 구조물/피쳐와 내포 구조물/피쳐 간의 바이어스가 조정되게 하는 에칭 처리 방법, 임계 트리밍 제어를 가능하게 하면서 격리 구조물/피쳐가 내포 구조물/피쳐보다 더 작게 될 필요가 있고 내포 구조물/피쳐가 격리 구조물/피쳐에 비하여 감소될 필요가 있는 처리의 보정 방법을 포함한다.
반도체 웨이퍼 처리, 격리 구조물, 내포 구조물

Description

반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법{METHOD OF OPERATING A SEMICONDUCTOR PROCESSING SYSTEM, AND METHOD OF OPERATING A SEMICONDUCTOR PROCESSING TOOL}
이 PCT 출원은 2004년 9월 20일에 출원한 미국 가 특허 출원 제10/944,463호에 근거를 둔 것으로 이 특허 출원을 우선권 주장하며, 그 전체 내용은 인용에 의해 여기에 통합된다.
본 발명은 반도체 웨이퍼 처리에 관한 것이다. 특히, 본 발명은 격리 구조물(isolated structures) 및 내포 구조물(nested structures)을 가진 웨이퍼의 처리와 관련이 있다.
반도체 처리에서 피드포워드 제어기를 사용하는 것은 반도체 제조 설비(패브)(fab)에 의한 반도체 집적 회로의 제조에서 오랫동안 확립되어 왔다. 최근까지, 웨이퍼는 배치(batch) 또는 로트(lot)로서 취급되었고, 로트의 각 웨이퍼에 대하여 동일한 처리가 수행되었다. 로트의 크기는 패브(fab)의 제조 관례에 따라 달라지지만 전형적으로 최대 25개의 웨어퍼로 제한된다. 측정은 로트 내의 수 개의 웨이퍼에 대하여 루틴하게 행하여지고 조정은 이들 샘플 측정에 기초한 처리시에 행하여진다. 로트에서 샘플 측정에 기초한 상기 제어 방법 및 다음 로트에 대한 처리 레시피(recipe) 조정은 로트 대 로트(lot-to-lot; L2L) 제어라고 부른다. L2L 제어를 위한 처리 레시피를 수정하기 위해 필요한 처리 모델 및 정보가 유지되고 패브 레벨에서 연산이 수행되었다. 최근의 반도체 처리 장비(SPE)의 제조자들은 처리가 수행되기 직전 및 직후에 각 웨이퍼를 측정할 능력을 갖고 있다. 처리 도구(processing tool)에서 각 웨이퍼를 측정하는 능력은 통합 계측(integrated metrology; IM)이라고 부른다. IM은 처리 레시피를 웨이퍼간(wafer-to-wafer; W2W) 레벨에서 측정 및 조정하는 능력을 가능하게 한다.
반도체 웨이퍼 상의 구조물들은 크기가 감소할 뿐만 아니라 밀도가 증가되어 추가적인 처리 제어 문제점들을 야기한다. 반도체 웨이퍼 상의 지역들은 특수 지역 내에서 구조물들의 밀도에 기초하여 격리 지역 또는 내포 지역으로서 식별되고 이러한 상이한 밀도 때문에 반도체 처리에서 문제점들이 발생된다.
트림 에칭의 필요성이 보편화되고, 게이트 길이 조절을 위한 임계 차원(Critical Dimension; CD)을 트리밍하기 위한 많은 방법들이 개발되었다. 격리/내포 조절은 에처(etcher)를 통한 처리의 모델링을 비롯하여 마스크 설계 처리의 일부가 된다. 그러나, 마스크 제조 처리에 설계된 격리/내포 모델은 격리 구조물 또는 내포 구조물에 관한 단일 CD 목표(target)에 대하여 최적화된다. 트리밍에 의한 게이트 축소의 필요성 및 게이트 목표 변경의 필요성이 시간에 따라 변화하기 때문에, 새로운 마스크를 생성하고 격리/내포 바이어스(bias)를 재최적화하는 데에 많은 비용이 든다. 마스크 바이어스 제어는 가끔 광학 근접 보정이라고 부르는 광학 및 처리 보정(OPC)을 사용함으로써 행하여지고, 이때, 패턴 충실도(fidelity)를 증가시키기 위해 필요한 광을 추가하거나 감소시키도록 레티클의 개공들이 조정된 다. 다른 하나의 방법은 위상 반전 마스크(phase-shift mask; PSM)이고, 이미지에서 콘트라스트 강화 간섭 프린지를 도입하도록 레티클 상에서 지형학적 구조물이 생성된다. 다른 문제점은 마스크의 생성 및 최초 셋업 샘플 부분 생성 후에 성능을 최적화하기 위해 격리/내포 바이어스가 조정을 필요로 한다는 것을 마스크가 만들어진 후에 설계자가 알게 되었을 때 발생할 수 있다.
에칭 공정의 일부로서의 패터닝 후에 격리된 및 내포된 라인들 사이에서 웨이퍼 CD 바이어스를 조정하는 방법에 대해서는 아직 취급되지 않았다.
이 방법은 격리 및 내포 구조물/피쳐(feature)들 간의 바이어스가 조정되게 하는 에칭 처리 방법, 임계 트리밍 제어를 허용하면서 격리 구조물/피쳐가 내포 구조물/피쳐보다 더 작게 될 필요가 있고 내포 구조물/피쳐가 격리 구조물/피쳐에 비하여 감소될 필요가 있는 처리의 보정 방법을 포함한다.
다른 신규의 방법은 격리/내포 바이어스 제어 및 트림 제어를 포함하는 단계들의 캐스캐이딩 시퀀스에서 공정을 분리하는 시스템의 제어에 처리를 적용하고 그 다음에 피드백을 이용하여 처리 모델을 업데이트하는 방법을 제공한다. 제3 방법은 피드포워드 및 피드백 제어에 사용된 측정의 캘리브레이션(calibration)에 포함된다.
본 발명은 공장 시스템(factory system)이 통합 계측 및 통합 자동화 공정 제어(APC) 제어 시스템과 함께 TEL의 텔리우스(TELIUS) 폴리 게이트 처리를 이용하여 로트간 또는 웨이퍼간 빈도에서 바이어스 목표량 및 최종 CD량을 변경할 수 있게 한다.
본 발명의 각종 실시예의 더 완전한 응용 및 그에 따른 많은 부수적 장점들은 첨부 도면과 함께 이하의 상세한 설명을 참조함으로써 쉽게 이해할 수 있을 것이다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도이다.
도 2는 본 발명의 실시예에 따른 통합 처리 시스템의 간단한 블록도이다.
도 3은 본 발명의 실시예에 따른 다단계 처리 시퀀스의 간단한 흐름도이다.
도 4는 본 발명의 실시예에 따른 제어 처리의 간단한 블록도이다.
도 5는 본 발명의 실시예에 따른 처리 시스템의 간단한 블록도이다.
도 6은 본 발명의 실시예에 따른 레시피 생성 처리의 간단한 블록도이다.
도 7은 본 발명의 실시예에 따른 측정 데이터에 대한 방정식 관련 참조 데이터의 예시적 그래프를 나타낸 도이다.
도 8은 본 발명의 실시예에 따른 예시적인 트림 방정식의 그래프를 나타낸 도이다.
도 9는 본 발명의 실시예에 따른 예시적인 처리로부터의 결과들을 나타내는 SEM 사진들을 보인 도이다.
도 10은 본 발명의 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 11은 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 12는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 13은 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 14는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 15는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 16은 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법을 보이는 간단한 순서도이다.
도 17은 본 발명의 실시예에 따른 격리/내포 제어 전략 화면의 예시적인 모양을 보인 도이다.
도 18은 본 발명의 실시예에 따른 내포 제어 계획 편집자 화면의 예시적인 모양을 보인 도이다.
도 19는 본 발명의 실시예에 따른 격리 제어 계획 편집자 화면의 예시적인 모양을 보인 도이다.
도 20은 본 발명의 실시예에 따른 공식 모델 편집자 화면의 예시적인 모양을 보인 도이다.
이하, 본 발명의 각종 실시예를 설명한다. 도면에서 동일한 참조 번호는 동일한 구성 요소를 인용하기 위해 사용된다. 여기에서 제시된 실시예들은 이 기술 분야에서 통상의 지식을 가진 자가 예상할 수 있는 바와 같이, 단순히 본 발명의 범위 내에서 생각되어지는 각종 실시예의 예를 보이기 위한 것이다. 따라서, 본 발명은 여기에서 제시된 실시예로 제한되는 것이 아니고 당업자가 예상할 수 있는 임의의 및 모든 변형예 및 등가물을 포함하는 것으로 한다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 도시한 것이다. 도시된 실시예에서, 처리 시스템(100)은 처리 도구(110), 처리 도구(110)에 결합된 제어기(120) 및 제어기(120)에 결합된 제조 설비 시스템(MES)(130)("공장 시스템(130)"이라고도 부름)을 포함한다. 또한, 처리 도구(110), 제어기(120) 및 MES(130) 중의 적어도 하나는 그래픽 사용자 인터페이스("GUI") 요소 및/또는 데이터베이스 요소(도시 생략됨)를 포함할 수 있다. 당업자라면 예상할 수 있는 바와 같이, 다른 실시예 및 변형예에서, GUI 요소 및/또는 데이터베이스 요소는 본 발명 및 그 변형예를 실시하기 위해 꼭 필요한 것은 아니다.
일부 셋업 및/또는 구성 정보는 처리 도구(110) 및/또는 제어기(120)에 의해 공장 시스템(130)으로부터 얻어질 수 있다. 공장 레벨 비지니스 룰(factory level business rule)은 제어 계층(control hierarchy)을 확립하기 위해 사용될 수 있다. 예를 들면, 처리 도구(110) 및/또는 제어기(120)는 독립적으로 동작할 수도 있고, 또는 어느 정도까지 공장 시스템(130)에 의해 제어될 수도 있다. 또한, 공장 레벨 비지니스 룰은 처리가 언제 일시중지(pause) 및/또는 중단(stop)될 것인지, 및 처리가 일시중지 및/또는 중단되었을 때 무엇이 행하여져야 하는지를 결정하기 위해 사용될 수 있다. 또한, 공장 레벨 비지니스 룰은 처리를 언제 바꾸어야 하고 처리를 어떻게 바꾸어야 하는지를 결정하기 위해 사용될 수 있다.
비지니스 룰은 정상 처리를 위해 취해지는 동작 및 예외적 조건에서 취해지는 동작을 지정하기 위해 사용될 수 있다. 이 동작들은 몇 가지 그러한 예들을 리스트하기 위한 초기 모델 로딩, 사전 에칭(pre-etch) 계측 데이터 필터링, 제어기 레시피 선택, 사후 에칭(post-etch) 계측 데이터 필터링, 피드백 계산, 및 모델 업데이트를 포함할 수 있다.
비지니스 룰들은 다른 무엇보다도 제어 전략(control strategy) 레벨, 제어 계획(control plan) 레벨 또는 제어 모델 레벨에서 정의될 수 있다. 비지니스 룰은 특수한 콘텍스트를 만날 때마다 실행되도록 할당될 수 있다. 하위 레벨에서뿐만 아니라 상위 레벨에서 적합하는 상황을 만났을 때, 상위 레벨과 관련된 비지니스 룰이 실행될 수 있다. GUI 화면은 비지니스 룰을 정의하고 유지하기 위해 사용될 수 있다. 비지니스 룰 정의 및 할당은 통상의 보안 레벨보다 더 큰 레벨을 가진 사용자에게 허용될 수 있다. 비지니스 룰은 데이터베이스에 유지될 수 있다. 문서화(documentation) 및 도움 화면(help screen)은 비지니스 룰을 정의하고 할당하고 유지하는 방법에 대하여 제공될 수 있다.
MES(130)는 처리 도구(110) 및/또는 제어기(120)와 관련된 데이터베이스로부터 보고된 데이터를 이용하여 일부 시스템 처리를 감시할 수 있다. 공장 레벨 비지니스 룰을 이용하여 어떤 처리가 감시되고 어떤 데이터가 사용되는지를 결정할 수 있다. 예를 들면, 처리 도구(110) 및/또는 제어기(120)는 데이터를 독립적으로 수집할 수 있고, 또는 데이터 수집 처리는 어느 정도까지 공장 시스템(130)에 의해 제어될 수 있다. 또한, 공장 레벨 비지니스 룰을 이용하여 처리가 변경, 일시중지 및/또는 중단될 때 데이터를 어떻게 관리할지를 결정할 수 있다.
또한, MES(130)는 처리 도구(110) 및/또는 제어기(120)에 런타임 구성 정보를 제공할 수 있다. 예를 들면, 자동화 공정 제어(APC) 세팅, 목표, 한계, 규칙 및 알고리즘은 런타임에서 "APC 레시피", "APC 시스템 룰", 및 "APC 레시피 파라미터"로서 공장으로부터 처리 도구(110) 및/또는 제어기(120)에 다운로드될 수 있다.
일부 셋업 및/또는 구성 정보는 이들이 시스템에 의해 초기 구성될 때 처리 도구(110) 및/또는 제어기(120)에 의해 결정될 수 있다. 시스템 레벨 비지니스 룰(시스템 룰)을 이용하여 제어 계층을 확립할 수 있다. 예를 들면, 처리 도구(110) 및/또는 제어기(120)는 독립적으로 동작할 수 있고, 또는 처리 도구(110)는 어느 정도까지 제어기(120)에 의해 제어될 수 있다. 또한, 시스템 룰을 이용하여 처리가 언제 일시중지 및/또는 중단될 것인지, 및 처리가 일시중지 및/또는 중단된 때 무엇을 하여야 할지를 결정할 수 있다. 또한, 시스템 룰을 이용하여 처리를 언제 변경하고 처리를 어떻게 변경할지를 결정할 수 있다. 더 나아가, 제어기(120)는 도구 레벨 룰을 이용하여 일부, 대부분, 또는 모든 도구 레벨 동작을 제어할 수 있다.
일반적으로, 룰은 시스템 및/또는 도구 동작이 시스템의 동적 상태에 기초하여 변경될 수 있게 한다.
도 1에서는 단지 하나의 처리 도구(110)와 하나의 제어기(120)가 도시되어 있지만 이 특수한 구성이 본 발명에 꼭 필요한 것은 아니다. 반도체 처리 시스템은 독립적인 처리 도구 및 모듈들 외에 임의 갯수의 처리 도구 및 이들 처리 도구와 관련된 임의 갯수의 제어기를 포함할 수 있다.
처리 도구(110) 및/또는 제어기(120)는 임의 갯수의 독립적인 처리 도구 및 모듈들 외에 임의 갯수의 처리 도구 및 이들과 관련된 임의 갯수의 처리 도구를 구성하기 위해 사용될 수 있다. 처리 도구(110) 및/또는 제어기(120)는 처리 도구, 처리 서브시스템, 처리 모듈 및 센서를 수반하는 처리로부터 데이터를 수집하고 제공하고 처리하고 저장하고 디스플레이할 수 있다.
처리 도구(110) 및/또는 제어기(120)는 비제한적인 예로서 적어도 하나의 도구 관련 응용, 적어도 하나의 모듈 관련 응용, 적어도 하나의 센서 관련 응용, 적어도 하나의 인터페이스 관련 응용, 적어도 하나의 데이터베이스 관련 응용, 적어도 하나의 GUI 관련 응용, 및 적어도 하나의 구성 응용을 포함한 다수의 응용들을 포함할 수 있다.
예를 들면, 시스템(100)은 Unity Tool™, Telius Tool™, 및/또는 Trias Tool™, 및 이들의 관련 처리 서브시스템과 처리 모듈들을 포함할 수 있는 도쿄 일렉트론 리미티드로부터의 APC 시스템을 포함할 수 있다. 또한 시스템(100)은 도쿄 일렉트론 리미티드로부터의 Ingenio™ TL ES(Tool Level Etch System) 또는 Ingenio TM GL ES(Group Level Etch System) 서버와 같은 런 투 런(run-to-run; R2R) 제어기, 및 도쿄 일렉트론 리미티드로부터의 통합 계측 모듈(IMM)을 포함할 수 있다. 대안적으로, 제어기(120)는 다른 처리 도구 및 다른 처리 모듈을 지원할 수 있다.
GUI 요소(도시 생략됨)는 다른 것 중에서도 특히 사용자가 도구 상태 및 처리 모듈 상태를 보는 것; 선택된 웨이퍼에 대한 요약(summary) 및 생(raw)(트레이스) 파라미터 데이터의 x-y 챠트를 생성하고 편집하는 것; 도구 알람 로그를 보는 것; 데이터를 데이터베이스에 또는 출력 파일에 기록하기 위한 조건을 지정하는 데이터 수집 계획을 구성하는 것; 파일들을 통계적 공정 제어(SPC) 챠팅, 모델링 및 스프레드시트 프로그램에 입력하는 것; 특정 웨이퍼에 대한 웨이퍼 처리 정보를 시험하고 현재 데이터베이스에 저장되어 있는 데이터를 검토하는 것; 처리 파라미터의 SPC 챠트를 생성 및 편집하며, 이메일 경고를 발생하는 SPC 알람을 설정하는 것; 다변량 PCA(Principle Component Analysis) 및/또는 PLS(Partial Least Squares) 모델을 동작시키는 것; 및 TL 제어기(120)를 가진 문제점들을 조정(troubleshoot)하고 보고하기 위해 진단 화면을 보는 것을 가능하게 하는 인터페이스의 사용을 용이하게 한다.
도구로부터의 생 데이터 및 트레이스 데이터는 데이터베이스에 파일로서 저장될 수 있다. 또한, IM 데이터와 호스트 계측 데이터가 데이터베이스에 저장될 수 있다. 데이터의 양은 구성되는 데이터 수집 계획, 및 처리가 수행되고 처리 도구가 동작하는 빈도에 의존한다. 처리 도구, 처리실, 센서 및 운영체계로부터 얻어진 데이터는 데이터베이스에 저장될 수 있다.
대안적인 실시예에서, 시스템(100)은 클라이언트 워크스테이션(도시 생략됨)을 포함할 수 있다. 시스템(100)은 또한 복수의 클라이언트 워크스테이션을 지원할 수 있다. 클라이언트 워크스테이션은 사용자가 다른 무엇보다도 구성 절차를 수행하는 것; 도구, 제어기, 처리 및 공장 상태를 포함한 상태를 보는 것; 현재 및 이력 데이터(historical data)를 보는 것; 모델링 및 챠팅 기능을 수행하는 것; 및 데이터를 제어기에 입력하는 것을 가능하게 할 수 있다. 예를 들면, 사용자에게 제어기(120)에 의해 수행된 하나 이상의 처리를 제어할 수 있는 관리 권한이 주어질 수 있다.
처리 도구(110) 및 제어기(120)는 MES(130)에 결합될 수 있고 E-진단 시스템(전자식 진단 시스템)의 일부가 될 수 있다. 처리 도구(110) 및/또는 제어기(120)는 공장 시스템(130)과 정보를 교환할 수 있다. 또한, MES(130)는 커맨드 및/또는 오버라이드 정보를 처리 도구(110) 및/또는 제어기(120)에 보낼 수 있다. 예를 들면, MES(130)는 각 레시피에 대한 가변 파라미터와 함께 임의 수의 처리 모듈, 도구 및 측정 장치들의 다운로드 가능한 레시피들을 처리 도구(110) 및/또는 제어기(120)에 피드포워드(feed-forward)할 수 있다. 가변 파라미터들은 최종 CD 목표, 한계, 옵셋, 및 로트별로 조정가능하게 될 필요가 있는 도구 레벨 시스템의 변수들을 포함할 수 있다. 또한, 공장 리소(litho) CD 계측 데이터는 제어기(120)에 피드포워드될 수 있다.
또한, MES(130)는 측정 데이터, 예컨대 임계 차원 주사 전자 현미경(CD SEM) 정보 등을 제어기(120)에 제공하기 위해 사용될 수 있다. 대안적으로, CD SEM 정보는 수동으로 제공될 수 있다. 조정 인자(adjustment factor)는 IM 측정과 CD SEM 측정 간의 임의의 옵셋을 조정하기 위해 사용된다. CD SEM 데이터의 수동 및 자동 입력은 R2R 제어기의 피드백(FB) 제어 루프의 이력에 적절히 삽입하기 위한 날짜(date) 등의 타임스탬프를 포함한다.
구성가능한 항목(item)은 GEM SECS(Generic Equipment Model/SEMI Equipment Communication Standard(GEM)/SECS) 인터페이스 통신 프로토콜을 이용하여 공장 시스템으로부터 보내진 가변 파라미터의 세트로서 구성될 수 있다. 예를 들면, 가변 파라미터들은 "APC 레시피"의 일부로서 전달될 수 있다. APC 레시피는 하나보다 많은 서브 레시피를 포함할 수 있고, 각 서브 레시피는 가변 파라미터를 포함할 수 있다.
단일의 처리 도구(110)가 도 1에 도시되어 있지만, 이것은 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 추가적인 처리 도구가 사용될 수 있다. 일 실시예에서, 처리 도구(110)는 하나 이상의 처리 모듈을 포함할 수 있다. 처리 도구(110)는 다른 모듈 유형 중에서도 특히 에칭 모듈, 증착 모듈, 연마 모듈, 코팅 모듈, 현상 모듈 및 열처리 모듈 중의 적어도 하나를 포함할 수 있다.
처리 도구(110)는 적어도 하나의 다른 처리 도구(110) 및/또는 제어기(120)에 결합하기 위한 링크(112, 114)를 포함할 수 있다. 예를 들어서, 다른 처리 도구(110) 및/또는 제어기(120)는 이 처리 전에 수행된 처리와 관련될 수 있고, 및/또는 다른 제어기(120)는 이 처리 후에 수행된 처리와 관련될 수 있다. 링크(112)와 링크(114)는 정보를 피드포워드(FF) 및/또는 피드백(FB)하기 위해 사용될 수 있다. 예를 들어서, 피드포워드 정보는 인입 웨이퍼(in-coming wafer)와 관련된 데이터를 포함할 수 있다. 이 데이터는 다른 정보 중에서도 특히 로트 데이터, 배치 데이터, 런 데이터, 복합 데이터 및 웨이퍼 이력 데이터를 포함할 수 있다. 데이터는 웨이퍼의 입력 상태를 확립하기 위해 사용될 수 있는 전처리 데이터(pre-process data)를 포함할 수 있다. 전처리 데이터의 제1 부분은 제어기(120)에 제공되고 전처리 데이터의 제2 부분은 처리 도구(110)에 제공될 수 있다. 대안적으로, 상기 2개의 부분은 동일한 데이터를 포함할 수 있다.
처리 도구(110)는 단일의 통합 계측 모듈(IMM) 장치(도시 생략됨) 또는 다중 측정 장치를 포함할 수 있다. 시스템(100)은 모듈 관련 측정 장치, 도구 관련 측정 장치, 및 외부 측정 장치를 포함할 수 있다. 예를 들어서, 데이터는 하나 이상의 처리 모듈에 결합된 센서 및 처리 도구(110)에 결합된 센서로부터 얻어질 수 있다. 또한, 데이터는 SEM 도구 및 광학 디지털 프로파일링(ODP) 도구와 같은 외부 장치로부터 얻어질 수 있다. ODP 도구는 반도체 장치의 구조의 프로파일을 측정하는 독점 기술을 제공하는 Timbre Technologies Inc.(TEL 컴패니)로부터 입수할 수 있다. 예를 들면, ODP 기술은 CD 정보, 구조 프로파일 정보, 또는 비아 프로파일 정보를 획득하기 위해 사용될 수 있다.
제어기(120)는 처리 도구(110) 및 MES(130)에 결합될 수 있고, 전처리 데이터 및 후처리 데이터 등의 정보가 이들 사이에서 교환될 수 있다. 예를 들면, 처리 도구(110)로부터 내부 리셋 이벤트가 발생될 때, 제어기(120)는 알람 등의 메시지를 MES(130)에 보낼 수 있다. 이것은 공장 시스템 및/또는 공장 직원이 고장 수리 또는 보호 유지 보수 중에 발생하는 것과 같은 중요 변경이 발생한 후에 위험에 빠지는 웨이퍼의 수를 최소화하기 위한 필요한 변경을 행할 수 있게 한다.
도 1에는 또한 하나의 제어기(120)가 도시되어 있지만, 단지 하나의 제어기(120)에 의존하는 것은 본 발명의 실시에 꼭 필요한 것은 아니다. 대안적으로, 추가의 제어기(120)가 사용될 수 있다. 예를 들면, 제어기(120)는 런 투 런(R2R) 제어기, 피드포워드(FF) 제어기, 처리 모델 제어기, 피드백(FB) 제어기, 또는 처리 제어기, 또는 이들 제어기의 2개 이상의 조합(도 1에는 이들 모두가 생략됨)을 포함할 수 있다.
제어기(120)는 적어도 하나의 다른 제어기(120)에 결합하기 위한 링크(122, 124)를 포함할 수 있다. 예를 들면, 다른 제어기(120)는 이 처리 전에 수행된 처리와 관련될 수 있고, 및/또는 다른 제어기(120)는 이 처리 후에 수행된 처리와 관련될 수 있다. 링크(122)와 링크(124)는 정보를 피드포워드 및/또는 피드백하기 위해 사용될 수 있다.
제어기(120)는 인입 물질의 측정 임계 차원(입력 상태)과 목표 임계 차원(희망 상태) 간의 차를 이용하여 웨이퍼의 상태를 입력 상태로부터 희망 상태(desired state)로 변경하는 희망 처리 결과를 달성하기 위한 처리 파라미터의 세트를 예측하거나 선택하거나 계산할 수 있다. 예를 들면, 이 예측된 처리 파라미터의 세트는 입력 상태와 희망 상태에 기초하여 사용할 레시피의 최초의 추정일 수 있다. 일 실시예에서, 이러한 입력 상태 데이터 및/또는 희망 상태 데이터는 호스트로부터 획득될 수 있다.
한가지 경우에서, 제어기(120)는 웨이퍼의 희망 상태의 모델 방정식 및 입력 상태를 인지하고, 제어기(120)는 웨이퍼를 입력 상태로부터 처리된 상태로 변경하 기 위하여 웨이퍼 상에서 수행될 수 있는 레시피의 세트를 결정한다. 예를 들면, 레시피의 세트는 처리 모듈의 세트를 수반하는 다단계 처리를 설명할 수 있다.
제어기(120)의 시상수는 측정들 사이의 시간에 기초할 수 있다. 로트가 완료된 후 측정 데이터가 이용가능할 때, 제어기의 시상수는 로트들 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 측정 데이터가 이용 가능할 때, 제어기의 시 상수는 웨이퍼들 간의 시간에 기초할 수 있다. 측정 데이터에 처리 중의 실시간이 제공될 때, 제어기의 시상수는 처리 단계에 기초할 수 있고, 이것은 웨이퍼에서 수행된다. 웨이퍼가 처리되는 동안 또는 웨이퍼가 완료된 후 또는 로트가 완료된 후 측정 데이터를 이용할 수 있을 때, 제어기(120)는 처리 단계들 사이, 웨이퍼들 사이, 및/또는 로트들 사이의 시간에 기초할 수 있는 다중 시상수를 가질 수 있다.
하나 이상의 제어기(120)는 임의의 시점에서 동작할 수 있다. 예를 들면, 제1 제어기(120)는 동작 모드에 있고 제2 제어기(120)는 감시 모드에 있을 수 있다. 또한, 다른 제어기(120)는 시뮬레이션 모드에서 동작할 수 있다. 제어기(120)는 단일 루프 또는 다중 루프를 포함할 수 있고, 루프들은 다른 시상수를 가질 수 있다. 예를 들면, 다른 루프들은 다른 인자들 중에서도 특히 웨이퍼 타이밍, 로트 타이밍, 배치 타이밍, 챔버 타이밍, 도구 타이밍, 및/또는 공장 타이밍에 의존할 수 있다.
제어기(120)는 입력 상태, 처리 특성 및 처리 모델에 기초한 웨이퍼의 예측 상태를 연산할 수 있다. 예를 들면, 예측된 트림량을 연산하기 위해 트림률 모델(trim rate model)을 처리 시간과 함께 사용할 수 있다. 대안적으로, 에칭 깊이 를 연산하기 위해 에칭률 모델을 처리 시간과 함께 사용할 수 있고, 증착 두께를 연산하기 위해 증착률 모델을 처리 시간과 함께 사용할 수 있다. 또한, 모델들은 다른 무엇보다도 특히 SPC 챠트, PLS 모델, PCA 모델, 오류 검출 및 분류(FDC) 모델, 및 다변량 분석(MVA) 모델을 포함할 수 있다.
제어기(120)는 처리 모듈에서 처리 파라미터 및/또는 처리 파라미터 한계용으로 외부에서 공급된 데이터를 수신하여 활용할 수 있다. 예를 들면, 제어기 GUI 요소는 처리 파라미터 및/또는 처리 파라미터 한계의 수동 입력 수단을 제공한다. 또한, 공장 레벨 제어기는 하나 이상의 처리 모듈용의 처리 파라미터 한계 및/또는 처리 파라미터를 제공할 수 있다.
또한, 제어기(120)는 처리 모듈용으로 외부에서 공급된 처리 모듈 상태 데이터를 수신하여 활용할 수 있다. 처리 모듈 상태 데이터는 현재의 처리 상태 및/또는 예측된 처리 상태를 계산하기 위해 사용될 수 있다. 예를 들면, 처리 모듈 상태 데이터는 처리 시간, RF 시간, 웨트 클린(wet clean) 또는 어떤 다른 이벤트 이후에 처리된 웨이퍼의 수, 센서로부터의 입력, 처리 모듈로부터의 입력, 오류 데이터, 및 분석 계획으로부터의 계산 데이터를 포함할 수 있다. 제어기 GUI 요소는 처리 모듈 상태 데이터의 수동 입력 수단 및 처리 모듈 상태 데이터를 보는 수단을 제공할 수 있다. 또한, 공장 레벨 제어기는 하나 이상의 처리 모듈용의 처리 모듈 상태 데이터를 제공할 수 있다.
제어기(120)는 상업적으로 입수가능한 모델링 소프트웨어에 의해 생성된 모델을 수신하여 실행할 수 있다. 예를 들면, 제어기(120)는 외부 응용에 의해 생성 되어 제어기(120)에 보내진 모델(PLS, PCA 등)들을 수신하여 실행할 수 있다.
제어기(120)는 랜덤 잡음을 제거하기 위해 계측 데이터를 필터링하는 하나 이상의 필터(도시 생략됨)를 포함할 수 있다. 이상치 필터(outlier filter)는 정적으로 유효하지 않고 웨이퍼 측정 수단의 계산에서 고려되어서는 안되는 이상치를 제거하기 위해 사용될 수 있다. 잡음 필터는 랜덤 잡음을 제거하고 제어 루프를 안정시키기 위해 사용될 수 있다. 예를 들면, 지수 가중 이동 평군(Exponentially Weighed Moving Average; EWMA) 또는 칼만 필터(Kalman filter)가 적용될 수 있다.
제어기(120)는 예외 조건의 통지를 송신 및 수신할 수 있다. 예를 들면, 제어기(120)는 공장 레벨 제어기 또는 도구 레벨 제어기에 통지를 송신하고 상기 제어기로부터 통지를 수신할 수 있다. 또한, 통지는 예외 조건의 식별 후에 e-진단 네트워크, 이메일 또는 페이저(다른 것보다도 특히)를 통해 송신될 수 있다.
제어기(120)는 입력 및 출력 데이터를 보관하기 위한 데이터베이스 요소를 포함할 수 있다. 예를 들면, 제어기(120)는 수신된 입력, 송신된 출력 및 탐색가능한 데이터베이스의 제어기(120)에 의해 취해진 행동(action)들을 보관할 수 있다. 또한, 제어기(120)는 데이터 백업 및 복원 수단을 포함할 수 있다. 또한, 탐색가능 데이터베이스는 모델 정보, 구성 정보 및 이력 정보를 포함할 수 있고, 제어기(120)는 데이터베이스 요소를 이용하여 과거 및 현재의 모델 정보 및 모델 구성 정보를 백업 및 복원할 수 있다.
제어기(120)는 웹 기반 사용자 인터페이스를 포함할 수 있다. 예를 들면, 제어기(120)는 데이터베이스 내의 데이터를 보기 위한 웹 인에이블 GUI 요소를 포함 할 수 있다. 제어기(120)는 보안 관리자에 의해 수여된 허가에 의존하여 다중 액세스 레벨을 제공할 수 있는 보안 요소를 포함할 수 있다. 제어기(120)는 인스톨 시간에 제공된 디폴트 모델의 세트를 포함할 수 있고, 따라서 제어기(120)는 디폴트 조건으로 리셋될 수 있다.
제어기(120)는 예외의 성질에 따라서, 예외에 응답하여 각종 행동을 취할 수 있다. 예외에서 취한 행동들은 시스템 레시피, 처리 레시피, 모듈 유형, 모듈 식별 번호, 로드 포트 번호, 카세트 번호, 로트 번호, 제어 잡(job) ID, 처리 잡 ID 및/또는 슬롯 번호에 의해 지정된 상황에 대하여 확립된 비지니스 룰에 기초하여 이러한 몇 가지 비지니스 룰에 명칭을 부여할 수 있다.
제어기(120)는 동시에 실행되고 처리 레시피 억제(constraint)의 상이한 세트를 갖기 쉬운 다중 처리 모델들을 관리할 능력이 있다. 제어기(120)는 3개의 상이한 모드, 즉 시뮬레이션 모드, 테스트 모드 및 표준 모드에서 동작할 수 있다. 하나의 제어기(120)는 실제 처리 모드와 병행하여 시뮬레이션 모드에서 동작할 수 있다.
반도체 처리 시스템(100)이 호스트 시스템과 하나 이상의 처리 시스템을 포함하는 경우, 호스트 시스템은 마스터 시스템으로서 동작하여 처리 동작의 주요 부분을 제어 및/또는 감시할 수 있다. 호스트 시스템은 처리 시퀀스를 생성하여 그 처리 시퀀스를 처리 시스템에 송신할 수 있다. 일 실시예에서, 처리 시퀀스는 측정 모듈 방문(visit) 및 처리 모듈 방문의 시퀀스를 포함할 수 있다. 처리 잡(PJ)은 각각의 측정 모듈 방문 및 각각의 처리 모듈 방문용으로 생성될 수 있다.
또한, 처리 시스템 제어기(120)가 시뮬레이션 모델을 실행할 때 가상 측정이 행하여질 수 있다. 시뮬레이션 모델 실행에서 얻어진 결과들은 저장되고 가상 측정으로서 추적(track)될 수 있다.
도 2는 본 발명의 실시예에 따른 통합 처리 시스템(100')의 간단한 블록도를 도시한 것이다. 도시된 실시예에서, 처리 시스템(TELIUS)은 처리 도구, 통합 계측 모듈(IMM) 및 도구 레벨 진보 공정 제어(Advanced Process Control; APC) 제어기를 포함하는 것으로 도시되어 있다. 당업자라면 예상할 수 있는 바와 같이, 통합 처리 시스템(100')의 요소들은 본 발명의 시스템을 단지 예로서 보이기 위한 것이다. 당업자라면 예상할 수 있고, 또한 이하의 설명으로부터 명백하게 되는 바와 같이, 본 발명의 요소들의 조합의 순열(permutation)은 중요한 것이다. 비록 여기에서 설명하지는 않지만, 이러한 각각의 변화는 본 발명의 범위 내에 속하는 것으로 의도된다.
도 2에 도시한 것과 같은 시스템(100')은 IMM 웨이퍼 샘플링을 제공할 수 있고, 웨이퍼 슬롯 선택은 (PJ 생성) 기능을 이용하여 결정될 수 있다. R2R 제어 구성은, 다른 변수들 중에서도 특히, 피드포워드 제어 계획 변수, 피드백 제어 계획 변수, 계측 조정 파라미터, 제어 한계, 및 SEMI 표준 변수 파라미터를 포함할 수 있다. 계측 데이터 보고는 다른 것들 중에서도 특히 웨이퍼, 사이트, 구조 및 복합 데이터를 포함할 수 있고, 도구는 웨이퍼의 실제 세팅을 보고할 수 있다.
IMM 시스템은 웨이퍼의 진정한 장치 프로파일, 정확한 임계 차원(CD), 및 다중층 막 두께를 측정하기 위하여 현미경 타원 편광 분석계, 반사계 또는 다른 광학 기구를 이용하는 Timbre Technologies의 광학 디지털 프로파일메트리(ODP) 시스템과 같은 광학 측정 시스템을 포함할 수 있다. Timbre Technologies는 미국 캘리포니아주 산타 클라라에 소재하는 도쿄 일렉트론 컴패니이다. ODP, iODP, PAS, PAS Profiler, TeraGen, Aether 및 Turbo Library는 Timbre Technologies의 상표들이다.
처리는 분석을 수행하기 위해 웨이퍼를 파괴할 필요가 없는 인라인(in-line) 방식으로 실행된다. ODP는 인라인 프로파일 및 CD 측정을 위한 기존의 박막 계측 도구와 함께 사용될 수 있고, 또한 실시간 처리 감시 및 제어를 제공하기 위해 TEL 처리 도구와 함께 집적될 수 있다. ODP 프로파일러는 실제 프로파일, CD 및 막 두께 결과를 제공하기 위한 고정밀 계측 도구, 및 인라인 처리 일탈(excursion) 또는 처리 고장을 검출하기 위한 수율 향상 도구(yield enhancement tool)로서 사용될 수 있다.
ODP 솔루션은 3개의 키 요소를 포함한다. 즉 ODP 프로파일러 라이브러리는 광학 스펙트럼의 용도 지정 데이터베이스와 그 대응하는 반도체 프로파일, CD, 및 막 두께를 포함한다. 프로파일러 응용 서버(PAS)는 광 하드웨어 및 컴퓨터 네트워크와 연결된 컴퓨터 서버를 포함한다. 이것은 데이터 통신, ODP 라이브러리 동작, 측정 처리, 결과 발생, 결과 분석 및 결과 출력을 취급한다. ODP 프로파일러 소프트웨어는 측정 레시피, ODP 프로파일러 라이브러리, ODP 프로파일러 데이터, ODP 프로파일러 결과 탐색/매치, ODP 프로파일러 결과 계산/분석, 데이터 통신, 및 각종 분석 도구 및 컴퓨터 네트워크에 대한 PAS 인터페이스를 관리하기 위해 PAS에 인스톨된 소프트웨어를 포함한다.
도쿄 일렉트론 리미티드의 인게니오(Ingenio) ES(Ingenio는 도쿄 일렉트론 리미티드의 상표이고, "ES"는 "에칭 시스템(Etch System)"의 약자이다) 시스템과 같은 제어 시스템은 인게니오 레시피 관리 응용과 같은 관리 응용을 포함할 수 있다. 예를 들면, 인게니오 레시피 관리는 인게니오 ES 클라이언트로부터 네트워크 환경을 통해 장비와 동기되는 인게니오 ES 관리 서버 레시피 데이터베이스에 저장된 레시피를 보고/보거나 제어하기 위해 사용될 수 있다. 공장으로부터 떨어져서 별도로 위치될 수 있는 인게니오 ES 클라이언트는 다수의 장비 유닛에 포괄적인 관리 기능을 제공한다. 인게니오 레시피 관리는, 인게니오 ES 클라이언트 유틸리티로서, 인게니오 ES에 저장된 레시피를 보면서 제어하기 위한 관리 응용, 및 인게니오 ES 관리 서버에 저장된 레시피 데이터를 편집하기 위한 응용을 포함할 수 있다.
레시피는 레시피 세트, 분류, 및 오브젝트로서 디스플레이 될 수 있는 레시피들을 포함한 트리 구조로 구성될 수 있다. 레시피는 처리 레시피 데이터, 시스템 레시피 데이터 및 IMM 레시피 데이터를 포함할 수 있다. 데이터는 레시피 세트를 이용하여 저장되고 조직될 수 있다. 예를 들면, 레시피 세트는 장비 레시피 세트, 백업 레시피 세트 및 사용자 레시피 세트를 포함할 수 있다. 또한, 데이터는 분류(class)에 의해 조직될 수 있고, 분류는 SYSTEM, PM, LLM 및 IMM 분류를 포함할 수 있다. 정의 목적을 위하여, SYSTEM은 시스템 레벨 오브젝트를 의미하고, PM은 처리 모듈 오브젝트를 의미하며, LLM은 로드 록(load lock) 모듈 오브젝트를 의미하고, IMM은 통합 계측 모듈 오브젝트를 의미한다.
처리 도구 상에 있는 IMM 레시피는 웨이퍼 샘플링, 및 슬롯과 IM 레시피 간의 관계를 결정하기 위해 사용될 수 있다. IM 레시피는 IM 측정 하드웨어상에 존재할 수 있고, 텔리우스 IMM 레시피에서 선택될 수 있으며, 패턴 인식 정보를 포함할 수 있고, 각 웨이퍼에서 샘플링되는 칩들을 식별하기 위해 사용될 수 있고, 어느 PAS 레시피를 사용할 것인지 결정하기 위해 사용될 수 있다. PAS 레시피는 어느 ODP 라이브러리를 사용할 것인지 결정하고, 예컨대 CD, SWA, 두께, 트렌치 폭, GOF와 같은 보고할 측정 미터법(measurement metrics)을 정의하기 위해 사용될 수 있다. 정의 목적으로, SWA는 측벽 각을 의미하고 GOF는 적합도(goodness of fit)를 의미한다.
인게니오 APC 레시피는 제어 전략으로서 동작하고, 제어 전략은 텔리우스 시스템 레시피와 같은 처리 도구 레시피와 관련될 수 있다. 런타임에서 웨이퍼 레벨 콘텍스트 매칭은 웨이퍼에 의한 커스텀 구성(슬롯, 웨이퍼 ID, 로트 ID 등)을 가능하게 한다. 제어 전략은 하나 이상의 제어 계획을 포함할 수 있고, 제어되는 처리 모듈 및/또는 측정 모듈은 처리 모듈 및/또는 측정 모듈에 대하여 방문을 위해 정의된 적어도 하나의 제어 계획을 갖는다. 제어 계획은 모델, 제어 한계, 목표를 포함할 수 있고, 정적 레시피, 공식 모델 및 피드백 계획을 포함할 수 있다.
제어 계획은 모듈 내에서 다중 처리 단계들을 커버할 수 있고, 공장에서 제어될 수 있다. 파라미터 범위는 각각의 처리 및/또는 측정 모듈에 대해 정의될 수 있고, 가변 파라미터인 "한계 범위"는 각각의 제어 파라미터에 제공된다.
도 3은 본 발명의 실시예에 따른 다단계 처리 시퀀스의 간단한 흐름도를 도 시한 것이다. 도시된 실시예에서, 다수의 측정 단계가 도시되어 있고, 다수의 처리 단계가 도시되어 있다. 도시된 각각의 측정 단계 및/또는 처리 단계에 대하여 하나 이상의 제어 전략이 생성될 수 있다. 이 처리 시퀀스는 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 처리 시퀀스를 사용할 수 있고, 다른 갯수의 제어 전략 및/또는 시스템 레시피를 사용할 수 있다.
도시된 실시예에서, 초기 단계는 포토 레지스트가 현상된 후에 웨이퍼를 특징화하기 위한 측정이 행하여지는 것을 보여준다. 측정 데이터는 웨이퍼의 내포 영역 및 격리 영역에 대하여 얻어질 수 있다. 일부의 경우에, 내포 CD는 격리 CD보다 더 클 수 있다. 도시된 제2 단계는 이중층 포토레지스트 층이 처리될 수 있는 "게이트 마스크" 에칭 단계를 나타낸다. 제3 단계에서, TEOS(즉, 테트라에틸오소실리케이트) 하드 마스크가 에칭될 수 있다. 제4 단계에서, 애싱 처리(ashing process)가 수행될 수 있다. 제5 단계에서, COR 처리 등의 트리밍 처리가 수행될 수 있다. 제6단계에서, 폴리 에칭 단계가 수행될 수 있다.
도 3은 또한 웨이퍼가 6 단계를 통해 진행함에 따른 측정의 수렴(사인 곡선)을 나타낸다. 또한 도 3은 정보가 피드포워드(FF)되는 2가지 표시/경우와 정보가 피드백(FB)되는 2가지 경우를 도시한다. 당업자라면 예상할 수 있는 바와 같이, 2개의 FF 및 FB의 발생은 단지 설명을 위한 것이고 어떤 방식으로든 본 발명을 제한하는 것으로 해석되어서는 안된다.
도 4는 본 발명의 다른 실시예에 따른 제어 처리의 간단한 블록도를 도시한 것이다. 도시된 실시예에서는 제어 계획의 간단한 블록도가 도시되어 있다. 처리 모듈 및 측정 모듈 제어 계획 및/또는 전략이 생성되고 실행될 수 있다.
도시된 실시예에서, 선계측 데이터 및 후계측 데이터 항목이 도시되어 있지만 꼭 필요한 것은 아니다. 대안적으로, 선측정 및 후측정이 각 처리에서 요구되지 않을 수 있다.
또한, W2W 피드포워드 처리가 도시되고 L2L 피드백 처리가 도시되어 있지만, 꼭 필요한 것은 아니다. 다른 실시예에서는 다른 구성을 사용할 수 있다. 개별 단계 및 그들의 상호작용에 관한 추가의 설명은 몇 가지 이유 때문에 여기에 제공되지 않는다. 첫째로, 처리 및 단계의 정밀한 조합이 본 발명의 실시를 위해 필요하지 않다. 둘째로, 많은 단계들이 위에서 상세히 설명되었거나 또는 아래에서 더 상세히 설명된다.
도 5는 본 발명의 실시예에 따른 처리 시스템의 간단한 블록도를 도시한 것이다. 도시된 실시예에서는 (텔리우스) 처리 도구(510)가 도시되어 있고 통합 계측(IM) 도구(520)가 도시되어 있다.
스펙트럼 데이터는 이중 빔 SE 시스템을 이용하여 수집될 수 있다. IM 모듈에 의해 생성된 스펙트럼 데이터는 ODP/PAS 라이브러리의 시뮬레이트 스펙트럼과 비교될 수 있다. 정합 스펙트럼은 CD, 막 두께, 및 측벽 각 정보를 갖는 프로파일에 대응한다. 격리/밀도(Iso/Dense)와 같은 여러 종류의 격자(grating)가 직렬로 측정될 수 있다.
격리/내포 측정 시퀀스 중에, 처리 도구는 사용할 하나의 IM 레시피를 선택하고, 격리 및 내포 구조물에 대하여 별도의 IMM 레시피를 사용할 수 있다. 각 웨 이퍼는 각 피치 및 구조에 대하여 별도로 측정될 수 있다.
예를 들면, 웨이퍼는 통합 계측(IM) 모듈에 로드될 수 있고; IM 레시피는 IM 모듈에 로드될 수 있으며; 프로파일러 응용 서버(PAS) 레시피는 IM 제어기에 로드될 수 있다. 다음에, 웨이퍼가 측정되고 ODP 레시피가 IM 제어기에 로드될 수 있다. 그 다음에, 라이브러리가 측정 스펙트럼을 이용하여 검색되고, 하나 이상의 격리 구조물이 식별될 수 있다. 격리 구조물이 측정되고 있을 때, 격리 구조물의 IM, PAS 및 ODP 레시피가 사용될 수 있다.
계속해서, 다른 IM 레시피가 통합 계측(IM) 모듈에 로드되고, 다른 PAS 레시피가 IM 제어기에 로드될 수 있다. 웨이퍼가 측정되거나 이전의 측정 데이터를 사용할 수 있으며, 다른 ODP 레시피가 IM 제어기에 로드될 수 있다. 다음에, 라이브러리가 측정 스펙트럼을 이용하여 검색되고 하나 이상의 내포 구조물이 식별될 수 있다. 내포 구조물이 측정되고 있을 때, 내포 구조물의 IM, PAS, 및 ODP 레시피가 사용될 수 있다. 측정 시퀀스는 웨이퍼 상의 하나 이상의 다른 위치에서 수행될 수 있고 웨이퍼가 언로드될 수 있다.
도 6은 본 발명의 실시예에 따른 레시피 생성 처리의 간단한 블록도를 도시한 것이다. 도시된 실시예에서, 모듈 레시피는 희망 처리 결과로서 트림량 및 가변 처리 파라미터로서 가스 유동(flow)을 이용하여 생성된다.
입력 데이터는 처리 도구 및 공장 시스템을 포함하는 다양한 소스로부터 수신될 수 있다. 수신 데이터는 필터링되고, 필터링된 데이터는 예를 들면 호스트 데이터베이스 및/또는 처리 도구와 관련된 데이터베이스와 같은 데이터베이스에 보내질 수 있다. 필터 룰은 필터링의 특성을 결정하기 위해 사용될 수 있다. 예를 들면, 비지니스 룰은 필터 룰을 확립하기 위해 사용될 수 있다.
입력 데이터는 측정 데이터 및 참조 데이터를 포함할 수 있다. CD SEM 데이터 등의 참조 데이터는 인입 웨이퍼 또는 일군의 웨이퍼의 예측 상태(expected state)의 기준점을 얻기 위해 사용될 수 있다. 측정 데이터는 인입 웨이퍼 또는 일군의 웨이퍼의 입력 상태를 얻기 위해 사용될 수 있다. 일반적으로, 입력 상태를 예측 상태에 상관시키기 위하여 방정식 또는 방정식들의 세트가 확립된다. 예를 들면, 측정된 계측 데이터는 CD SEM 데이터 등의 참조 데이터와 상관될 수 있다.
필터링된 데이터는 트림량과 같은 희망 처리 결과를 확립하기 위해 사용될 수 있다. 목표 정보를 사용할 수 있다. 예를 들면, 한계는 오류 윈도우 및 처리 윈도우를 확립하기 위해 사용될 수 있다. 희망 처리 결과를 달성하기 위해 필요한 레시피 조건이 계산될 수 있다. 실험 계획법(Design of Experiment; "DOE") 기술은 사용할 최상의 레시피를 결정하기 위해 사용될 수 있고, 제어 계획은 제어 요소 중의 하나로서 사용될 수 있다. 레시피는 이용가능한 처리 도구 및 처리 모듈의 처리 한계에 기초하여 확립될 수 있다.
모듈 레시피가 확립되었을 때, 모듈 레시피는 처리 도구에 의해 검증될 수 있고 데이터베이스에 저장될 수 있다. 처리 목표, 모델 및 규칙들은 공장 레벨에서 저장되고 제어되고 관리될 수 있다.
도 7은 본 발명의 실시예에 따라 측정 데이터에 대한 방정식 관련 참조 데이터의 예시적인 그래프를 도시한 것이다. 도시된 실시예에서, 그래프는 CD SEM 데이 터를 ODP 데이터에 관련시키는 것을 보여주고 있지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로 다른 형태의 참조 데이터 및/또는 측정 데이터를 사용할 수 있다. 도시된 데이터는 웨이퍼 상의 내포 CD와 관련이 있다. 다른 그래프(도시 생략됨)는 격리된 CD용의 데이터와 같은 다른 데이터 세트에 대한 방정식을 확립하기 위해 사용될 수 있다. 총 측정 불확실성(Total Measurement Uncertainty; TMU) 데이터가 도시되어 있다.
도 8은 본 발명의 실시예에 따른 예시적인 트림 방정식(trim equation)의 그래프를 도시한 것이다. 도시된 실시예에서, (격리 트림량), (내포 트림량) 및 (격리-내포)에 대한 선형 방정식이 도시되어 있다. 대안적으로 비선형 방정식이 사용될 수 있다.
하나의 경우에서, 격리 구조물은 내포 구조물보다 더 빠르게 에칭된다. 대안적으로 다른 속도를 사용할 수 있다. 또한, 격리된 CD는 내포 CD보다 더 클 수 있다. 대안적으로, 다른 관계가 만들어질 수 있다. 또한 내포 CD 내의 변화가 더 클 수 있다.
절차 중의 일부에서, 격리-내포 바이어스가 계산될 수 있다. 예를 들면, 각 구조물의 ODP 데이터는 CD SEM 데이터와 같은 참조 데이터에 상관될 수 있다.
일 실시예에서, 제1 피치를 가진 측정 격자는 특수 제품 및 기술의 격리된 구조물/피쳐와 일치하게 제공되고, 제2 피치를 가진 다른 측정 격자는 이 제품 및 기술의 내포된 구조물/피쳐와 일치되게 제공된다. 예를 들면, 595 nm 격자는 격리 구조물에 대하여 사용될 수 있고, 245 nm 격자는 내포 구조물에 대하여 사용될 수 있다. 다른 실시예에서, 추가적인 측정 격자가 제공되고 다른 피치가 제공될 수 있다.
계산된 격리 데이터 값은 아래의 공식을 이용하여 결정될 수 있다.
Isoc = Iso_Mandel_Slope * Iso_ODP + Iso_Mandel_Intercept
이 공식에서, Iso_Mandel_Slope는 CD SEM 데이터를 격리 구조물의 ODP 데이터에 관련시키는 선의 기울기이고, Iso_ODP는 격리 구조물 중 하나의 ODP 측정 중의 하나의 값이며, Iso_Mandel_Intercept는 CD SEM 데이터를 격리 구조물의 ODP 데이터에 관련시키는 선의 인터셉트점(intercept point)이다.
계산된 격리 데이터 값은 아래의 공식을 이용하여 결정될 수 있다.
Nestedc = Nested_Mandel_Slope * Nested_ODP + Nested_Mandel_Intercept
이 공식에서, Nested_Mandel_Slope는 CD SEM 데이터를 내포 구조물의 ODP 데이터에 관련시키는 선의 기울기이고, Nested_ODP는 내포 구조물 중 하나의 ODP 측정 중의 하나의 값이며, Nested_Mandel_Intercept는 CD SEM 데이터를 내포 구조물의 ODP 데이터에 관련시키는 선의 인터셉트점이다.
바이어스 양의 값은 아래의 공식을 이용하여 결정될 수 있다.
Bias(nm) = Isoc - Nestedc
필요한 트림 조정량은 아래의 공식을 이용하여 결정될 수 있다.
Bias_Trim = Bias - Bias_Target
필요한 레시피 설정은 아래의 공식을 이용하여 결정될 수 있다.
Recipe Setting = Bias_Trim = y = f(x)
예를 들어서, 하나의 제어 파라미터는 단계 처리 시간(초)과 동일하게 설정될 수 있고, 아래의 공식을 이용하여 결정될 수 있다.
Step_Time = Bias_Trim/(IsoNested Etch Rate)
여기에서 Step_Time은 "격리/내포 제어 단계"이다.
하드 마스크 에칭 처리를 제어하기 위한 다른 하나의 방법은 완전히 내포되거나 격리된 것들 사이에 중간 피치를 제공하는 것이다. 이 경우, 격리/내포 조정은 앞에서 보여준대로 계산될 수 있다. 필요한 트림량이 계산될 수 있고; 제어 구조물의 CD가 추가 측정에 기초하여 결정될 수 있으며; 상관성이 제어 피치와 다른 피치 사이에서 개발될 수 있고; 기존의 측정이 제어 피치에 대하여 캘리브레이트(calibrate)될 수 있고; 트림량이 아래의 공식을 이용하여 계산될 수 있다.
트림량 = 제어 구조물의 CD - 제어 구조물의 CD 목표
표 1은 격리 구조물 및 내포 구조물을 가진 웨이퍼를 처리하기 위한 처리 파라미터의 예시적인 세트를 보여주고 있다. 다수의 트림 처리가 도시되어 있지만, 이들은 본 발명에 꼭 필요한 것은 아니다. 대안적으로 다른 세트의 처리 파라미터가 사용될 수 있고 추가의 처리 가스가 사용될 수 있다.
일 실시예에서, 처리 화학 물질은 격리 구조물의 트림량이 내포 구조물의 트림량보다 더 클 때 HBr/O2를 포함하고; 격리 구조물의 트림량이 내포 구조물의 트림량보다 더 작을 때 C4F8/O2를 포함하며; 격리 구조물의 트림량이 내포 구조물의 트 림량과 대략 동일할 때 HBr/C4F8/O2를 포함한다. 다른 실시예서, 불활성 가스가 처리 화학 물질에 포함될 수 있다.
HBr/O2
조건 Press.
(mT)
Power
T/B(W)
Gap
(mm)
O2 C4F8 HBr H.V.
(kV)
B.P.(C/E)
(Torr)
Temp.
T/W/B(C)
(SCCM)
트림 30 200/0 170 70 12 2.5 3/3 80/60/30
C4F8/O2
조건 Press.
(mT)
Power
T/B(W)
Gap
(mm)
O2 C4F8 HBr H.V.
(kV)
B.P.(C/E)
(Torr)
Temp.
T/W/B(C)
(SCCM)
트림 30 200/0 170 70 10 2.5 3/3 80/60/30
HBr/C4F8/O2
조건 Press.
(mT)
Power
T/B(W)
Gap
(mm)
O2 C4F8 HBr H.V.
(kV)
B.P.(C/E)
(Torr)
Temp.
T/W/B(C)
(SCCM)
트림 30 200/0 170 70 10 15 2.5 3/3 80/60/30
다음에, 추가적인 트림(나머지 BARC 트림)이 바이어스 트림 처리 중에 만들어진 BARC 트림의 양에 기초하여 결정될 수 있다. BARC_Bias_Trim 가변 값은 격리 에칭률의 평균값을 이용하여 근사화될 수 있고, step_time 가변 값은 다른 제어 계획으로부터 통과될 수 있다.
예를 들면, 아래의 공식들이 사용될 수 있다.
BARC_Bias_Trim = (평균 격리 트림량/트림 시간) * Step_time
BARC_Bias_Trim = (18.1/15) * Step_time
BARC_Trim = Isoc - BARC_Bias_Trim - 최종 CD 목표(격리)
다른 실시예에서, 계산은 내포 값에 기초할 수 있다.
또한, 최종 BARC 트림의 레시피 설정이 연산될 수 있다. 예를 들면, O2/CF4 비율을 이용할 때, 방정식이 아래와 같이 생성될 수 있다.
BARC_Trim = y = f(x)
여기에서 x는 O2 유동(flow)이고,
y=48.416083725 *(1-0.00388123723/((0.020654293/80)*x + 0.0046147421))
일부 경우에, 범위 밖의 예외가 발생할 수 있다. 예를 들면, 계산된 격리/내포 값이 총 트림 값보다 더 클 수 있고, 또는 격리 값이 내포 인입 CD보다 더 클 수 있다. 하나의 솔루션은 부호를 체크하고 필요에 따른 연산의 세트가 내포되게 하는 것이다. 값이 격리/내포 바이어스 트림 곡선을 벗어날 때, 최대 바이어스 조정이 사용될 수 있다. 값이 트림 곡선의 하단(low end)을 벗어날 때, 솔루션은 트림을 스킵할 수 있고, 값이 트림 곡선의 상단(high end)을 벗어날 때, 솔루션은 최대 트림을 이용하고 호스트에게 경고를 발생할 수 있다.
도 9는 본 발명의 실시예에 따른 예시적인 처리로부터의 결과들을 나타내는 SEM 사진들을 도시한 것이다. 도시된 실시예에서, SEM 그래프는 중심 프로파일 및 엣지 프로파일에 대하여 도시한 것이다. 또한 SEM 그래프는 BARC 에치와 복합 BARC 에치 및 트림 처리(15초)에 대하여 도시한 것이다. 그림들로부터 명백히 알 수 있는 바와 같이, 복합 처리를 이용하는 결과들(도면의 우측에 도시됨)이 더 좋다.
도 10은 본 발명의 실시예에 따른 처리 시스템의 동작 방법에 대한 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 캐스캐이딩 피드포워드 웨이퍼간 계산 시퀀스(1000)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스는 다른 변화들 중에서도 특히 로트 기반 또는 배치 기반의 것일 수 있다.
도시된 실시예에서, 시퀀스(1000)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 수의 제어 계획을 사용할 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획들이 또한 사용될 수 있다.
도 10 내지 도 16에 도시된 실시예에서, 용어 "제1 제어 계획(CP1)"과 "제2 제어 계획(CP2)"은 도시된 2개의 제어 계획을 인용하기 위해 사용된다. 당업자라면 잘 알 수 있는 바와 같이, 각각의 실시예는 필요에 따라 더 많은 수의 제어 계획(또는 단지 하나의 제어 계획)을 포함할 수 있다. 명칭 "제1 제어 계획(CP1)"과 "제2 제어 계획(CP2)"이 여기에서 설명하는 몇 가지 실시예에 대하여 사용되지만 이 약정은 이하의 설명을 간단히 하기 위해 채택된다. 설명을 명확히 하기 위하여, 개별 제어 계획의 정확한 구성은 동일한 설명어 및 참조 번호의 반복적인 사용에도 불구하고 계획마다 다르다.
제1 제어 계획(CP1)은 제1 입력 요소(1010)에 결합되고 하나 이상의 데이터 요소(1020, 1025)를 포함할 수 있다. 대안적으로 다른 수의 입력 요소 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1020)는 "격리" 데이터를 포함할 수 있고, 격리 구조물, 트렌치 또는 비아(via), 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 데이터 요소(1025)는 "내포" 데이터를 포함할 수 있고, 내포 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 대안적으로, "기준", "혼합" 또는 "공칭" 데이터 요소와 같은 다른 데이터 요소(도시 생략됨)가 포함될 수 있다.
제1 입력 요소(1010)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 광학 디지털 프로파일메트리(ODP) 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1010)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1030, 1035)를 또한 포함할 수 있다. 데이터 변환 요소(1030)는 데이터 요소(1020)에 결합되고 데이터 요소(1020) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1030)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표(table)가 형성될 수 있다. 데이터 변환 요소(1035)는 데이터 요소(1025)에 결합되고 데이터 요소(1025) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1035)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 하나의 계측 모듈은 "기준" 데이터를 제공하기 위해 사용될 수 있다.
제2 입력 요소(1015)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1015)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1015)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값(desired value)을 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1040, 1045)를 포함할 수 있다. 연산 요소(1040)는 제2 입력 요소(1015), 데이터 변환 요소(1030, 1035) 중의 하나 또는 둘 다, 및 하나 이상의 다른 제어 계획에 결합될 수 있다. 일 실시예에서, 연산 요소(1040)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "바이어스 트림"일 수 있다.
연산 요소(1045)는 연산 요소(1040)에 결합될 수 있고 하나 이상의 출력(1050)을 제공할 수 있다. 일 실시예에서, 연산 요소(1045)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1050)은 하나 이상의 처리 단계용의 "단계 시간"(step time) 데이터를 포함할 수 있다. 대안적으로, 출력(1050)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제3 입력 요소(1060)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1060)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1060)는 "최종 CD 목표"와 같은 처리 결과의 희망 값을 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 데이터 요소(1055)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1055)는 "바이어스 트림" 데이터 및/또는 "단계 시간" 데이터와 같은 계산된 데이터를 포함할 수 있다. 대안적으로, 데이터 요소(1055)는 다른 피드포워드 및/또는 피드백 데이터 항목을 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 하나 이상의 연산 요소(1065, 1070)를 포함할 수 있다. 연산 요소(1065)는 제3 입력 요소(1060), 데이터 요소(1055) 및 하나 이상의 다른 연산 요소(1070)에 결합될 수 있다. 일 실시예에서, 연산 요소(1065)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림"일 수 있다.
연산 요소(1070)는 연산 요소(1065)에 결합될 수 있고 하나 이상의 출력(1075)을 제공할 수 있다. 일 실시예에서, 연산 요소(1070)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1075)은 하나 이상의 처리 가스의 유동 데이터 또는 처리 가스의 하나 이상의 유량비를 포함할 수 있다. 예를 들면, 유량비는 O2 및 CF4에 대하여 제공될 수 있다. 대안적으로, 출력(1075)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
시퀀스(1000)는 제어 전략 요소(1080)를 또한 포함할 수 있다. 하나 이상의 시스템 제어기(도시 생략됨)는 시스템 동작을 제어하기 위한 다수의 APC 레시피(제어 전략)를 포함할 수 있고, 제어 전략은 처리 도구의 시스템 레시피와 관련될 수 있다.
일 실시예에서, "격리/내포" 제어 전략이 사용될 수 있다. "격리/내포" 제어 전략은 제어 계획(CP1, CP2)과 같은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 물리 모듈은 물리 모듈에 대한 각 방문에 대하여 정의된 적어도 하나의 제어 계획을 가질 수 있다. 제1 및 제2 제어 계획(CP1, CP2)은 모델, 한계, 목표, 레시피를 내포하고, 모듈 내에서 다수의 처리 단계들을 커버할 수 있다. 일 실시예에서, "격리/내포" 제어 전략 및/또는 계획은 물리 모듈에 대하여 확립되고 맵될 수 있다. 제어 전략 및/또는 계획은 처리 잡(PJ)이 수신 및/또는 생성될 때 확립될 수 있다.
시스템 제어기는 처리 시퀀스 내의 "격리/내포" 제어 계획이 실행될 때 "격리/내포" 제어 전략을 실행할 수 있다. "격리/내포" 제어 전략은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 제어 전략 선택 및 개시는 콘텍스트 기반으로 행하여질 수 있다. "격리/내포" 제어 전략이 실행될 때, 웨이퍼는 물리 모듈 내에서 처리될 수 있다. 대안적으로, 웨이퍼의 처리 상황과 정합하는 "격리/내포" 제어 전략이 존재하지 않는다고 제어기가 판단한 때, 제어기는 새로운 "격리/내포" 제어 전략을 생성하고 실행할 수 있다.
상황 정합은 상황 항목을 내포한 모든 레시피와 정합하는 SQL(Sequel) 설명문을 이용하여 구현될 수 있다. 대안적으로, SQL 설명문은 필요없을 수도 있고 설명문이 SQL 형식으로 기록될 것을 요구하지 않을 수 있다. 당업자라면 예상할 수 있는 바와 같이, SQL 이외의 형식이 본 발명의 범위에서 벗어나지 않고서 사용될 수 있다.
또한, 처리 시스템 제어기는 "격리/내포" 데이터 수집(DC) 전략을 실행할 수 있고, "격리/내포" 데이터 수집(DC) 전략은 적어도 하나의 "격리/내포" 데이터 수집(DC) 계획을 포함할 수 있다. "격리/내포" DC 전략 선택 및 개시는 또한 콘텍스트 기반으로 행하여질 수 있다. "격리/내포" DC 전략이 실행될 때, 격리 및 내포 구조물의 데이터는 처리 중에 있는 웨이퍼에 대하여 수집될 수 있다.
"격리/내포" DC 계획은 어느 데이터가 수집되고 데이터가 어떻게 수집되며 데이터가 어디에 저장되는지를 결정한다. 제어기는 물리 모듈의 데이터 수집 계획을 자동 발생할 수 있다. 전형적으로, 하나의 데이터 수집 계획은 특정 모듈에 대하여 소정의 시간에 활성화할 수 있고, 제어기는 웨이퍼 상황과 정합하는 데이터 수집 계획을 선택 및 사용할 수 있다. 데이터는 트레이스 데이터, 처리 로그 정보, 레시피 데이터, 유지 카운터 데이터, OES 데이터, VIP 데이터, 또는 아날로그 데이터, 또는 이들의 2개 이상의 조합을 포함할 수 있다. 측정 장치 및/또는 센서는 DC 계획에 의해 시작되거나 중단될 수 있다. DC 계획은 데이터 트리밍, 데이터 클리핑, 및 스파이크 데이터와 이상치를 취급하기 위한 정보를 또한 제공할 수 있다.
더욱이, 시스템 제어기는 웨이퍼가 처리될 때 "격리/내포" 분석 전략을 실행할 수 있다. "격리/내포" 분석 전략은 "격리/내포" 분석 계획, 또는 "격리/내포" 판정 계획, 또는 이들의 조합을 포함할 수 있다. "격리/내포" 분석 전략이 실행될 때, 웨이퍼 데이터, 처리 데이터 및/또는 모듈 데이터가 분석될 수 있고 오류 조건이 식별될 수 있다.
예를 들면, 데이터가 수집된 후에, 데이터는 작동 룰(run-rule) 평가를 위해 오류 검출 프로그램에 보내질 수 있다. 오류 한계는 이력 데이터에 기초하여 자동으로 계산될 수도 있고, 고객의 경험 또는 처리 지식에 기초하여 수동으로 입력될 수도 있으며, 또는 호스트 컴퓨터로부터 획득될 수도 있다. 데이터는 경고 및 제어 한계와 비교될 수 있고, 작동 룰이 변동할 때 처리가 통계적 한계를 초과하였음을 표시하는 알람이 발생될 수 있다. 알람이 발생되면, 제어기는 통지 또는 중재(intervention)를 수행할 수 있다. 통지는 이메일을 통하여 또는 이메일 활성화 페이저를 통하여 수행될 수 있다. 또한, 제어기는 현재 로트의 끝에서 처리를 일시 중지하거나 현재 웨이퍼의 끝에서 처리를 일시 중지하는 등의 중재를 수행할 수 있다. 제어기는 알람을 발생시킨 처리 모듈을 식별할 수 있다.
도 11은 본 발명의 다른 실시예에 따른 처리 시스템 동작 방법의 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 측정 후 계산 시퀀스(1100)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스는 다른 시퀀스로 할 수 있다.
도시된 실시예에서, 시퀀스(1100)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로 다른 수의 제어 계획이 사용될 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획이 또한 사용될 수 있다.
제1 제어 계획(CP1)은 제1 입력 요소(1110)에 결합되고 하나 이상의 데이터 요소(1120, 1125)를 포함할 수 있다. 대안적으로 다른 수의 입력 요소 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1120)는 "격리" 데이터를 포함할 수 있고, 격리 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 데이터 요소(1125)는 "내포" 데이터를 포함할 수 있고, 내포 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 대안적으로, "기준", "혼합" 또는 "공칭" 데이터 요소와 같은 다른 데이터 요소(도시 생략됨)가 포함될 수 있다.
제1 입력 요소(1110)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1110)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1130, 1135)를 또한 포함할 수 있다. 데이터 변환 요소(1130)는 데이터 요소(1120)에 결합되고 데이터 요소(1120) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1130)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 데이터 변환 요소(1135)는 데이터 요소(1125)에 결합되고 데이터 요소(1125) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1135)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 대안적으로, "기준" 데이터가 사용될 수 있다. 또한, 데이터 변환 요소(1130) 및 데이터 변환 요소(1135)는 제2 제어 계획(CP2)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있다.
제2 입력 요소(1115)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1115)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1115)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1140)를 포함할 수 있다. 연산 요소(1140)는 제2 입력 요소(1115), 데이터 변환 요소(1130, 1135)에 결합될 수 있고, 하나 이상의 출력(1150)을 제공할 수 있다. 일 실시예에서, 연산 요소(1140)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "바이어스 에러"일 수 있다. 대안적으로, 출력(1150)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제3 입력 요소(1160)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1160)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1160)는 "최종 CD 목표"와 같은 처리 결과의 희망 값을 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 연산 요소(1165)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 연산 요소가 사용될 수 있다. 연산 요소(1165)는 제3 입력 요소(1160), 다른 제어 계획, 및 하나 이상의 출력 요소(1170)에 결합될 수 있다. 일 실시예에서, 연산 요소(1165)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림 에러"일 수 있고, 출력 요소는 "트림 에러"를 포함할 수 있다. 대안적으로, 출력(1175)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
도 12는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법에 대한 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 캐스캐이딩 피드포워드 및 피드백 웨이퍼간 계산 시퀀스(1200)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스(1200)는 로트 기반 또는 배치 기반의 것일 수 있다.
도시된 실시예에서, 시퀀스(1200)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 수의 제어 계획을 사용할 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획들이 또한 사용될 수 있다.
제1 제어 계획(CP1)은 제1 입력 요소(1210)에 결합되고 하나 이상의 데이터 요소(1220, 1225)를 포함할 수 있다. 대안적으로 다른 수의 입력 요소 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1220)는 "격리" 데이터를 포함할 수 있고, 격리 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 데이터 요소(1225)는 "내포" 데이터를 포함할 수 있고, 내포 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 대안적으로, "기준", "혼합" 또는 "공칭" 데이터 요소와 같은 다른 데이터 요소(도시 생략됨)가 포함될 수 있다.
제1 입력 요소(1210)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1210)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1230, 1235)를 또한 포함할 수 있다. 데이터 변환 요소(1230)는 데이터 요소(1220)에 결합되고 데이터 요소(1220) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1230)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 데이터 변환 요소(1235)는 데이터 요소(1225)에 결합되고 데이터 요소(1225) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1235)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 하나의 계측 모듈은 "기준" 데이터를 제공하기 위해 사용될 수 있다.
제2 입력 요소(1215)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1215)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1215)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
제3 입력 요소(1212)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 피드백 데이터 및/또는 피드포워드 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1212)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1212)는 "바이어스 에러"와 같은 처리 파라미터의 희망 값과 실제 값 간의 차(에러 값)를 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1240, 1245)를 포함할 수 있다. 연산 요소(1240)는 제2 입력 요소(1215), 제3 입력 요소(1212), 데이터 변환 요소(1230, 1235) 및 하나 이상의 다른 제어 계획에 결합될 수 있다. 일 실시예에서, 연산 요소(1240)는 하나 이상의 처리 파라미터를 연산하기 위해 피드포워드 데이터 및 피드백 데이터를 사용할 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 피드포워드 데이터는 "바이어스 목표" 데이터를 포함할 수 있고, 피드백 데이터는 "바이어스 에러" 데이터를 포함할 수 있으며, 처리 파라미터는 "바이어스 트림" 값과 같은 에칭량을 포함할 수 있다.
연산 요소(1245)는 연산 요소(1240)에 결합될 수 있고 하나 이상의 출력(1250)을 제공할 수 있다. 일 실시예에서, 연산 요소(1245)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1250)은 하나 이상의 처리 단계용의 "단계 시간" 데이터를 포함할 수 있다. 대안적으로, 출력(1250)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제4 입력 요소(1260) 및 제5 입력 요소(1262)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제4 및/또는 제5 입력 요소(1260, 1262)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제4 입력 요소(1260)는 처리 결과의 희망 값과 같은 피드포워드 데이터를 포함할 수 있고, 제5 입력 요소(1262)는 처리 결과의 에러 데이터와 같은 피드백 데이터를 포함할 수 있다. 예를 들면, 에러 데이터는 "BARC 에러"를 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 데이터 요소(1255)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1255)는 "바이어스 트림" 데이터 및/또는 "단계 시간" 데이터와 같은 계산된 데이터를 포함할 수 있다. 대안적으로, 데이터 요소(1255)는 다른 피드포워드 및/또는 피드백 데이터 항목을 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 하나 이상의 연산 요소(1265, 1270)를 포함할 수 있다. 연산 요소(1265)는 제4 입력 요소(1260), 데이터 요소(1255) 및 하나 이상의 다른 연산 요소(1270)에 결합될 수 있다. 일 실시예에서, 연산 요소(1265)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림"일 수 있다.
연산 요소(1270)는 연산 요소(1265)에 결합될 수 있고 하나 이상의 출력(1275)을 제공할 수 있다. 일 실시예에서, 연산 요소(1270)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1275)은 하나 이상의 처리 가스의 유동 데이터 또는 처리 가스의 하나 이상의 유량비를 포함할 수 있다. 예를 들면, 유량비는 O2 및 CF4에 대하여 제공될 수 있다. 대안적으로, 출력(1275)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
시퀀스(1200)는 제어 전략 요소(1280)를 또한 포함할 수 있다. 하나 이상의 시스템 제어기(도시 생략됨)는 시스템 동작을 제어하기 위한 다수의 APC 레시피(제어 전략)를 내포할 수 있고, 제어 전략은 처리 도구의 시스템 레시피와 관련될 수 있다.
일 실시예에서, BARC 제어 전략을 이용하여 하나 이상의 BARC 에칭 처리를 제어하기 위한 하나 이상의 BARC 레시피를 확립할 수 있다. BARC 제어 전략은 제1 제어 계획(CP1) 및 제2 제어 계획(CP2)과 같은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 처리 모듈 및/또는 측정 모듈은 그 모듈에 대한 각 방문에 대하여 정의된 적어도 하나의 제어 계획을 가질 수 있다. 제어 계획은 모델, 한계, 목표, 레시피를 내포하고, 처리 시퀀스 내에서 다수의 처리 단계들을 커버할 수 있다. 일 실시예에서, "격리/내포" 제어 전략 및/또는 계획은 모듈에 대하여 확립되고 맵될 수 있다. 제어 전략 및/또는 계획은 처리 잡(PJ)이 수신 및/또는 생성될 때 확립될 수 있다.
도 13은 본 발명의 다른 실시예에 따른 처리 시스템 동작 방법의 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 "처리 모델을 업데이트한 측정 후 계산" 시퀀스(1300)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스(1300)는 다른 시퀀스로 할 수 있다.
도시된 실시예에서, 시퀀스(1300)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로 다른 수의 제어 계획이 사용될 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획이 또한 사용될 수 있다.
제1 제어 계획(CP1)은 제1 입력 요소(1310)에 결합되고 하나 이상의 데이터 요소(1320, 1325)를 포함할 수 있다. 대안적으로 다른 수의 입력 요소 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1320)는 "격리" 데이터를 포함할 수 있고, 격리 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 데이터 요소(1325)는 "내포" 데이터를 포함할 수 있고, 내포 구조물/피쳐, 트렌치 또는 비아, 또는 이들의 조합을 포함하는 웨이퍼 상의 적어도 하나의 지역에 대한 계측 데이터를 포함할 수 있다. 대안적으로, "기준", "혼합" 또는 "공칭" 데이터 요소와 같은 다른 데이터 요소(도시 생략됨)가 포함될 수 있다.
제1 입력 요소(1310)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1310)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1330, 1335)를 또한 포함할 수 있다. 데이터 변환 요소(1330)는 데이터 요소(1320) 및 제2 제어 계획(CP2)에 결합되고 데이터 변환 요소(1330)는 데이터 요소(1320)로부터 수신된 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1330)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 데이터 변환 요소(1335)는 데이터 요소(1325) 및 제2 제어 계획(CP2)에 결합되고, 데이터 변환 요소(1335)는 데이터 요소(1325) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1335)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 대안적으로, "기준" 데이터가 사용될 수 있다. 또한, 데이터 변환 요소(1330) 및 데이터 변환 요소(1335)는 하나 이상의 다른 제어 계획에 결합될 수 있다.
제2 입력 요소(1315)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1315)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1315)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1340, 1345)를 포함할 수 있다. 연산 요소(1340)는 제2 입력 요소(1315), 데이터 변환 요소(1330, 1335) 및 연산 요소(1345)에 결합될 수 있다. 일 실시예에서, 연산 요소(1340)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "바이어스 에러"일 수 있다.
연산 요소(1345)는 연산 요소(1340)에 결합되고, 하나 이상의 출력(1350)을 제공할 수 있다. 일 실시예에서, 연산 요소(1345)는 하나 이상의 처리 모델을 업데이트하기 위해 사용될 수 있고, 출력(1350)은 "격리" 모델 데이터, "내포" 모델 데이터, 또는 "격리/내포" 모델 데이터, 또는 이들의 조합을 포함할 수 있다. 대안적으로, 출력(1350)은 업데이트된 모델 데이터를 포함할 수 있다.
제3 입력 요소(1360)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1360)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1360)는 "최종 CD 목표"와 같은 처리 결과의 희망 값을 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 연산 요소(1365, 1370)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 연산 요소가 사용될 수 있다. 연산 요소(1365)는 제3 입력 요소(1360), 다른 제어 계획, 및 하나 이상의 연산 요소(1370)에 결합될 수 있다. 일 실시예에서, 연산 요소(1365)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림 에러"일 수 있고, 출력 요소는 "트림 에러"를 포함할 수 있다. 대안적으로, 출력은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
연산 요소(1370)는 연산 요소(1365)에 결합되고, 하나 이상의 출력(1380)을 제공할 수 있다. 일 실시예에서, 연산 요소(1370)는 하나 이상의 처리 모델을 업데이트하기 위해 사용될 수 있고, 출력(1380)은 "에칭" 모델 데이터 또는 "트림" 모델 데이터, 또는 이들의 조합을 포함할 수 있다. 대안적으로, 출력(1380)은 업데이트된 모델 데이터를 포함할 수 있다.
도 14는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법에 대한 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 "피드백 모델 조정을 이용한 캐스캐이딩 피드포워드 웨이퍼간 계산" 시퀀스(1400)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스는 로트 기반 또는 배치 기반의 것일 수 있다.
도시된 실시예에서, 시퀀스(1400)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 수의 제어 계획을 사용할 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획들이 또한 사용될 수 있다.
제1 입력 요소(1410)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1410)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1430, 1435)를 또한 포함할 수 있다. 데이터 변환 요소(1430)는 데이터 요소(1420) 및 제2 제어 계획(CP2)에 결합되고, 데이터 변환 요소(1430)는 데이터 요소(1420)로부터 수신된 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1430)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 데이터 변환 요소(1435)는 데이터 요소(1425) 및 제2 제어 계획(CP2)에 결합될 수 있고, 데이터 변환 요소(1435)는 데이터 요소(1425) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1435)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 대안적으로, "기준" 데이터가 사용될 수 있다. 또한, 데이터 변환 요소(1430) 및 데이터 변환 요소(1435)는 하나 이상의 다른 제어 계획에 결합될 수 있다.
제2 입력 요소(1415)는 제1 제어 계획(CP1)에 결합될 수 있고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1415)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1415)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
제3 입력 요소(1412)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 피드백 데이터 및/또는 피드포워드 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1412)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1412)는 "격리/내포" 모델 데이터와 같은 처리 모델 데이터를 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1440, 1445)를 포함할 수 있다. 연산 요소(1440)는 제2 입력 요소(1415), 제3 입력 요소(1412), 데이터 변환 요소(1430, 1435) 및 하나 이상의 다른 제어 계획에 결합될 수 있다. 일 실시예에서, 연산 요소(1440)는 하나 이상의 처리 파라미터를 연산하기 위해 피드포워드 데이터 및 피드백 데이터를 사용할 수 있다. 예를 들면, 에칭 처리에서, 처리 모델을 이용하여 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 피드포워드 데이터는 "바이어스 목표" 데이터를 포함할 수 있고, 피드백 데이터는 "격리/내포" 모델 데이터를 포함할 수 있으며, 처리 파라미터는 "바이어스 트림" 값과 같은 에칭량을 포함할 수 있다.
연산 요소(1445)는 연산 요소(1440)에 결합될 수 있고 하나 이상의 출력(1450)을 제공할 수 있다. 일 실시예에서, 연산 요소(1445)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1450)은 하나 이상의 처리 단계용의 "단계 시간" 데이터를 포함할 수 있다. 대안적으로, 출력(1450)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제4 입력 요소(1460) 및 제5 입력 요소(1462)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제4 및/또는 제5 입력 요소(1460, 1462)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제4 입력 요소(1460)는 처리 결과의 희망 값과 같은 피드포워드 데이터를 포함할 수 있고, 제5 입력 요소(1462)는 모델 데이터 및/또는 모델 업데이트 데이터와 같은 피드백 데이터를 포함할 수 있다. 예를 들면, 모델 데이터는 "트림" 모델 데이터를 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 데이터 요소(1455)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1455)는 "바이어스 트림" 데이터 및/또는 "단계 시간" 데이터와 같은 계산된 데이터를 포함할 수 있다. 대안적으로, 데이터 요소(1455)는 다른 피드포워드 및/또는 피드백 데이터 항목을 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 하나 이상의 연산 요소(1465, 1470)를 포함할 수 있다. 연산 요소(1465)는 하나 이상의 입력 요소(1460, 1462), 데이터 요소(1455) 및 하나 이상의 다른 연산 요소(1470)에 결합될 수 있다. 일 실시예에서, 연산 요소(1465)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림"일 수 있다.
연산 요소(1470)는 연산 요소(1465)에 결합될 수 있고 하나 이상의 출력(1475)을 제공할 수 있다. 일 실시예에서, 연산 요소(1470)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1475)은 하나 이상의 처리 가스의 유동 데이터 또는 처리 가스의 하나 이상의 유량비를 포함할 수 있다. 예를 들면, 유량비는 O2 및 CF4에 대하여 제공될 수 있다. 대안적으로, 출력(1475)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
시퀀스(1400)는 제어 전략 요소(1480)를 또한 포함할 수 있다. 하나 이상의 시스템 제어기(도시 생략됨)는 시스템 동작을 제어하기 위한 다수의 APC 레시피(제어 전략)를 포함할 수 있고, 제어 전략은 처리 도구의 시스템 레시피와 관련될 수 있다.
일 실시예에서, BARC 제어 전략을 이용하여 하나 이상의 BARC 에칭 처리를 제어하기 위한 하나 이상의 BARC 레시피를 확립할 수 있다. BARC 제어 전략은 제1 제어 계획(CP1) 및 제2 제어 계획(CP2)과 같은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 처리 모듈 및/또는 측정 모듈은 그 모듈에 대한 각 방문에 대하여 정의된 적어도 하나의 제어 계획을 가질 수 있다. 제어 계획은 모델, 한계, 목표, 레시피를 포함하고, 처리 시퀀스 내에서 다수의 처리 단계들을 커버할 수 있다. 일 실시예에서, "격리/내포" 제어 전략 및/또는 계획은 확립될 수 있고, 모듈에 맵될 수 있다. 제어 전략 및/또는 계획은 처리 잡(PJ)이 수신 및/또는 생성될 때 확립될 수 있다.
도 15는 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법에 대한 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 트림 제어용의 다른 구조물과 함께 다른 캐스캐이딩 피드포워드 웨이퍼간 계산 시퀀스(1500)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스는 로트 기반 또는 배치 기반의 것일 수 있다.
도시된 실시예에서, 시퀀스(1500)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 수의 제어 계획을 사용할 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획들이 또한 사용될 수 있다.
제1 입력 요소(1510)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1510)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1530, 1535)를 또한 포함할 수 있다. 데이터 변환 요소(1530)는 데이터 요소(1520)에 결합될 수 있고, 데이터 변환 요소(1530)는 데이터 요소(1520)로부터 수신된 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1530)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 데이터 변환 요소(1535)는 데이터 요소(1525)에 결합되고, 데이터 변환 요소(1535)는 데이터 요소(1525) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1535)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 대안적으로, "기준" 데이터가 사용될 수 있다. 또한, 데이터 변환 요소(1530) 및 데이터 변환 요소(1535)는 하나 이상의 다른 제어 계획에 결합될 수 있다.
제2 입력 요소(1515)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1515)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1515)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
제3 입력 요소(1512)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 피드백 데이터 및/또는 피드포워드 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1512)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1512)는 "격리/내포" 모델 데이터와 같은 처리 모델 데이터를 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1540, 1545)를 포함할 수 있다. 연산 요소(1540)는 제2 입력 요소(1515), 제3 입력 요소(1512), 데이터 변환 요소(1530, 1535) 및 하나 이상의 다른 제어 계획에 결합될 수 있다. 일 실시예에서, 연산 요소(1540)는 하나 이상의 처리 파라미터를 연산하기 위해 피드포워드 데이터 및 피드백 데이터를 사용할 수 있다. 예를 들면, 에칭 처리에서, 처리 모델을 이용하여 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 피드포워드 데이터는 "바이어스 목표" 데이터를 포함할 수 있고, 피드백 데이터는 "격리/내포" 모델 데이터를 포함할 수 있으며, 처리 파라미터는 "바이어스 트림" 값 및/또는 "단계 시간" 값과 같은 에칭량을 포함할 수 있다.
연산 요소(1545)는 연산 요소(1540)에 결합될 수 있고 하나 이상의 출력(1550)을 제공할 수 있다. 일 실시예에서, 연산 요소(1545)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1550)은 하나 이상의 처리 단계용의 "단계 시간" 데이터를 포함할 수 있다. 대안적으로, 출력(1550)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제4 입력 요소(1560) 및 제5 입력 요소(1562)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제4 및/또는 제5 입력 요소(1560, 1562)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제4 입력 요소(1560)는 처리 결과(즉, 최종 CD 목표)의 희망 값과 같은 피드포워드 데이터를 포함할 수 있고, 제5 입력 요소(1562)는 모델 데이터 및/또는 모델 업데이트 데이터와 같은 피드백 데이터를 포함할 수 있다. 예를 들면, 모델 데이터는 "트림" 모델 데이터를 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 데이터 요소(1555)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1555)는 "바이어스 트림" 데이터 및/또는 "단계 시간" 데이터와 같은 계산된 데이터를 포함할 수 있다. 대안적으로, 데이터 요소(1555)는 다른 피드포워드 및/또는 피드백 데이터 항목을 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 하나 이상의 연산 요소(1565, 1570)를 포함할 수 있다. 연산 요소(1565)는 제4 입력 요소(1560), 제5 입력 요소(1562), 데이터 요소(1555) 및 하나 이상의 다른 연산 요소(1570)에 결합될 수 있다. 일 실시예에서, 연산 요소(1565)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림"일 수 있다.
연산 요소(1570)는 연산 요소(1565)에 결합될 수 있고 하나 이상의 출력(1575)을 제공할 수 있다. 일 실시예에서, 연산 요소(1570)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1575)은 하나 이상의 처리 가스의 유동 데이터 또는 처리 가스의 하나 이상의 유량비를 포함할 수 있다. 예를 들면, 유량비는 O2 및 CF4에 대하여 제공될 수 있다. 대안적으로, 출력(1575)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제2 제어 계획(CP2)은 데이터 변환 요소(1595)를 포함할 수 있다. 데이터 변환 요소(1595)는 제어 요소(1592)에 결합될 수 있고, 데이터 변환 요소(1595)는 제어 요소(1592)로부터 수신된 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1595)는 하나의 측정 시스템으로부터의 계측 데이터를 CD-SEM 데이터와 같은 기준 시스템 데이터로 캘리브레이트하기 위해 사용될 수 있다. 또한, 제어 요소(1592)는 계측 데이터를 포함할 수 있는 입력 요소(1590)에 결합될 수 있다.
시퀀스(1500)는 제어 전략 요소(1580)를 또한 포함할 수 있다. 하나 이상의 시스템 제어기(도시 생략됨)는 시스템 동작을 제어하기 위한 다수의 APC 레시피(제어 전략)를 포함할 수 있고, 제어 전략은 처리 도구의 시스템 레시피와 관련될 수 있다.
일 실시예에서, BARC 제어 전략은 하나 이상의 BARC 에칭 처리를 제어하기 위한 하나 이상의 BARC 레시피를 확립하기 위해 사용될 수 있다. BARC 제어 전략은 제1 제어 계획(CP1) 및 제2 제어 계획(CP2)과 같은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 처리 모듈 및/또는 측정 모듈은 그 모듈에 대한 각 방문에 대하여 정의된 적어도 하나의 제어 계획을 가질 수 있다. 제어 계획은 모델, 한계, 목표, 레시피를 포함할 수 있고, 처리 시퀀스 내에서 다수의 처리 단계들을 커버할 수 있다. 일 실시예에서, "격리/내포" 제어 전략 및/또는 계획은 확립될 수 있고, 모듈에 맵될 수 있다. 제어 전략 및/또는 계획은 처리 잡(PJ)이 수신 및/또는 생성될 때 확립될 수 있다.
도 16은 본 발명의 다른 실시예에 따른 처리 시스템의 동작 방법에 대한 간단한 순서도를 도시한 것이다. 도시된 실시예에서는 가상 측정을 포함하는 다른 캐스캐이딩 피드포워드 웨이퍼간 계산 시퀀스(1600)가 도시되어 있지만, 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 시퀀스는 로트 기반 또는 배치 기반의 것일 수 있다.
도시된 실시예에서, 시퀀스(1600)는 2개의 제어 계획, 즉 제1 제어 계획(CP1)과 제2 제어 계획(CP2)을 포함하지만 본 발명에 꼭 필요한 것은 아니다. 대안적으로, 다른 수의 제어 계획을 사용할 수 있다. 예를 들면, 격리 조건 및 내포 조건에 대하여 별도의 제어 계획을 사용할 수 있고, 다른 제어 계획들이 또한 사용될 수 있다.
제1 입력 요소(1610)는 예컨대 도쿄 일렉트론 리미티드의 iODP 모듈과 같은 통합 계측 모듈(IMM)로부터의 ODP 데이터를 포함할 수 있다. 대안적으로, 제1 입력 요소(1610)는 SEM 데이터 및/또는 TEM 데이터를 포함할 수 있다.
제1 제어 계획(CP1)은 데이터 변환 요소(1630, 1635)를 또한 포함할 수 있다. 데이터 변환 요소(1630)는 데이터 요소(1620) 및 제2 제어 계획(CP2)에 결합되고, 데이터 변환 요소(1630)는 데이터 요소(1620)로부터 수신된 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1630)는 "격리" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "격리" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 변환 요소(1635)는 데이터 요소(1625) 및 제2 제어 계획(CP2)에 결합되고, 데이터 변환 요소(1635)는 데이터 요소(1625) 내의 하나 이상의 데이터 항목을 변환하기 위해 사용될 수 있다. 예를 들면, 데이터 변환 요소(1635)는 "내포" 데이터를 "CD-SEM" 데이터로 캘리브레이트하기 위해 사용될 수 있다. 하나의 계측 모듈(IMM)로부터 "내포" 구조물의 계측 데이터를 다른 계측 모듈(SEM)에 관련시키는 방정식 및/또는 표가 형성될 수 있다. 대안적으로, "기준" 데이터가 사용될 수 있다. 또한, 데이터 변환 요소(1630) 및 데이터 변환 요소(1635)는 하나 이상의 다른 제어 계획에 결합될 수 있다.
제2 입력 요소(1615)는 CP1에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제2 입력 요소(1615)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제2 입력 요소(1615)는 "바이어스 목표"와 같은 처리 파라미터의 희망 값을 포함할 수 있다.
제3 입력 요소(1612)는 제1 제어 계획(CP1)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 피드백 데이터 및/또는 피드포워드 데이터를 포함할 수 있다. 대안적으로, 제3 입력 요소(1612)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제3 입력 요소(1612)는 "격리/내포" 모델 데이터와 같은 처리 모델 데이터를 포함할 수 있다.
또한, 제1 제어 계획(CP1)은 하나 이상의 연산 요소(1640, 1645)를 포함할 수 있다. 연산 요소(1640)는 제2 입력 요소(1615), 제3 입력 요소(1612), 데이터 변환 요소(1630, 1635) 및 하나 이상의 다른 제어 계획에 결합될 수 있다. 일 실시예에서, 연산 요소(1640)는 하나 이상의 처리 파라미터를 연산하기 위해 피드포워드 데이터 및 피드백 데이터를 사용할 수 있다. 예를 들면, 에칭 처리에서, 처리 모델을 이용하여 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 피드포워드 데이터는 "바이어스 목표" 데이터를 포함할 수 있고, 피드백 데이터는 "격리/내포" 모델 데이터를 포함할 수 있으며, 처리 파라미터는 "바이어스 트림" 값과 같은 에칭량을 포함할 수 있다.
연산 요소(1645)는 연산 요소(1640)에 결합될 수 있고 하나 이상의 출력(1650)을 제공할 수 있다. 일 실시예에서, 연산 요소(1645)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1650)은 하나 이상의 처리 단계용의 "단계 시간" 데이터를 포함할 수 있다. 대안적으로, 출력(1650)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
제4 입력 요소(1660) 및 제5 입력 요소(1662)는 제2 제어 계획(CP2)에 결합되고, 예컨대 도쿄 일렉트론 리미티드의 텔리우스 도구와 같은 처리 도구 내의 처리 모듈용의 입력 데이터 및/또는 출력 데이터를 포함할 수 있다. 대안적으로, 제4 및/또는 제5 입력 요소(1660, 1662)는 이력 데이터를 포함할 수 있다. 일 실시예에서, 제4 입력 요소(1660)는 처리 결과의 희망 값과 같은 피드포워드 데이터를 포함할 수 있고, 제5 입력 요소(1662)는 모델 데이터 및/또는 모델 업데이트 데이터와 같은 피드백 데이터를 포함할 수 있다. 예를 들면, 모델 데이터는 "트림" 모델 데이터를 포함할 수 있다.
제2 제어 계획(CP2)은 제1 제어 계획(CP1)과 같은 하나 이상의 다른 제어 계획에 결합될 수 있고, 하나 이상의 데이터 요소(1655)를 포함할 수 있다. 대안적으로, 다른 수의 제어 계획 및/또는 데이터 요소가 사용될 수 있다. 데이터 요소(1655)는 "바이어스 트림" 데이터 및/또는 "단계 시간" 데이터와 같은 계산된 데이터를 포함할 수 있다. 대안적으로, 데이터 요소(1655)는 다른 피드포워드 및/또는 피드백 데이터 항목을 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 하나 이상의 연산 요소(1665, 1670)를 포함할 수 있다. 연산 요소(1665)는 하나 이상의 입력 요소(1660, 1662), 데이터 요소(1655) 및 하나 이상의 다른 연산 요소(1670)에 결합될 수 있다. 일 실시예에서, 연산 요소(1665)는 하나 이상의 처리 파라미터를 연산하기 위해 사용될 수 있다. 예를 들면, 에칭 처리에서, 하나 이상의 처리 파라미터가 제어되어 격리 구조물 및/또는 내포 구조물의 에칭 처리를 최적화할 수 있다. 하나의 경우에서 처리 파라미터는 "BARC 트림"일 수 있다.
연산 요소(1670)는 연산 요소(1665)에 결합될 수 있고 하나 이상의 출력(1675)을 제공할 수 있다. 일 실시예에서, 연산 요소(1670)는 하나 이상의 레시피 파라미터를 연산하기 위해 사용될 수 있고, 출력(1675)은 하나 이상의 처리 가스의 유동 데이터 또는 처리 가스의 하나 이상의 유량비를 포함할 수 있다. 예를 들면, 유량비는 O2 및 CF4에 대하여 제공될 수 있다. 대안적으로, 출력(1675)은 다른 처리 데이터 및/또는 도구 데이터를 포함할 수 있다.
또한, 제2 제어 계획(CP2)은 데이터 변환 요소(1630), 데이터 변환 요소(1635) 및 연산 요소(1665)에 결합될 수 있는 추가의 연산 요소(1690)를 포함할 수 있다. 연산 요소(1690)는 가상 측정을 연산하기 위해 사용될 수 있다.
시퀀스(1600)는 제어 전략 요소(1680)를 또한 포함할 수 있다. 하나 이상의 시스템 제어기(도시 생략됨)는 시스템 동작을 제어하기 위한 다수의 APC 레시피(제어 전략)를 포함할 수 있고, 제어 전략은 처리 도구의 시스템 레시피와 관련될 수 있다.
일 실시예에서, BARC 제어 전략을 이용하여 하나 이상의 BARC 에칭 처리를 제어하기 위한 하나 이상의 BARC 레시피를 확립할 수 있다. BARC 제어 전략은 제1 제어 계획(CP1) 및 제2 제어 계획(CP2)과 같은 하나 이상의 "격리/내포" 제어 계획을 포함할 수 있다. 처리 모듈 및/또는 측정 모듈은 그 모듈에 대한 각 방문에 대하여 정의된 적어도 하나의 제어 계획을 가질 수 있다. 제어 계획은 모델, 한계, 목표, 레시피를 포함하고, 처리 시퀀스 내에서 다수의 처리 단계들을 커버할 수 있다. 일 실시예에서, "격리/내포" 제어 전략 및/또는 계획은 확립될 수 있고, 모델에 맵될 수 있다. 제어 전략 및/또는 계획은 처리 잡(PJ)이 수신 및/또는 생성될 때 확립될 수 있다.
처리 시스템은 단일 입력 단일 출력(SISO) 장치로서, 단일 입력 다중 출력(SIMO) 장치로서, 다중 입력 단일 출력(MISO) 장치로서, 및 다중 입력 다중 출력(MIMO) 장치로서 동작할 수 있는 제어기들을 포함할 수 있다. 또한, 입력 및 출력들은 제어기 내에 있을 수 있고/있거나 하나 이상의 제어기 사이에 있을 수 있다. 예를 들면, CD 및 측벽 각과 같은 다중 입력이 사용될 때, 입력 및 출력들은 2개의 모듈(즉, CD 제어용의 하나의 모듈과 측벽 각 제어용의 하나의 모듈) 사이에서 순방향 및 역방향으로 공급될 수 있다. 또한, 마스크 개방 제어기를 사용할 수도 있다. 다수의 모듈을 포함하는 다중 처리의 경우에, 정보는 하나의 제어기로부터 다른 제어기로 순방향 공급 또는 역방향 공급될 수 있다.
앞에서 설명한 피드포워드 및 피드백 시퀀스는 다중 입력 및/또는 다중 출력을 이용하여 수행될 수 있다. 제어 계획, 레시피, 모델, 데이터 요소, 데이터 변환 요소, 연산 요소 및/또는 제어 전략 요소는 다중 입력 및/또는 다중 출력을 포함할 수 있다.
일 실시예에서, 처리 시스템 및 호스트 시스템은 상호 동작하여 웨이퍼를 처리할 때 사용하는 정확한 처리 시퀀스를 결정한다. 예를 들면, 하드(hard) 마스크 또는 소프트(soft) 마스크 트림 처리와 같은 트리밍 처리에서, 일부 웨이퍼는 에칭 모듈을 1회 통과하는 것을 필요로 할 수 있고, 다른 웨이퍼는 에칭 모듈을 1회보다 많이 통과하는 것을 필요로 할 수 있다. 이 경우, 호스트 시스템은 처리 시스템이 에칭 모듈의 통과 횟수를 결정하게 할 수 있고, 제어 계획 및/또는 전략은 다른 웨이퍼의 처리 시퀀스에서 다른 수의 처리 오브젝트를 관리하도록 확립될 수 있다.
시스템 제어기는 호스트 시스템으로부터 수신한 하나 이상의 희망 결과를 이용하여 웨이퍼용의 하나 이상의 제어 계획을 포함하는 제어 전략을 생성할 수 있다. 일 실시예에서, "격리" 제어 계획과 "내포" 제어 계획을 포함하는 "격리/내포" 제어 전략이 생성될 수 있다. 대안적으로, "격리/내포" 시스템 레시피가 생성될 수 있다. "격리/내포" 제어 전략 선택 및 개시는 콘텍스트 기반으로 될 수 있다. "격리/내포" 제어 전략이 실행될 때, 웨이퍼는 하나 이상의 모듈에서 처리될 수 있다.
또한, 시스템 제어기는 "격리/내포" 데이터 수집(DC) 전략을 실행할 수 있고, "격리/내포" 데이터 수집(DC) 전략은 적어도 하나의 데이터 수집(DC) 계획을 포함할 수 있다. "격리/내포" DC 전략 선택 및 개시는 또한 콘텍스트 기반일 수 있다. "격리/내포" DC 전략이 실행될 때, "격리" 및/또는 "내포" 데이터는 처리 중인 웨이퍼에 대하여 수집될 수 있다.
또한, 시스템 제어기는 웨이퍼가 처리될 때 "격리/내포" 분석 전략을 실행할 수 있다. "격리/내포" 분석 전략은 "격리/내포" 분석 계획 또는 "격리/내포" 판정 계획, 또는 이들의 조합을 포함할 수 있다. "격리/내포" 분석 전략이 실행될 때, 웨이퍼 데이터, 처리 데이터 및/또는 모듈 데이터가 분석되고 오류 조건이 식별될 수 있다. 대안적으로, 처리 레시피 및/또는 처리 모델을 업데이트하기 위해 피드백 데이터가 연산되고 이용될 수 있다.
일 실시예에서, 처리 시스템 제어기는 처리 시퀀스의 각 요소에 대한 제어 전략(레시피)을 결정할 수 있다. 대안적으로, 제어 전략(레시피)은 호스트 시스템에 의해 결정, 송신 및/또는 검증될 수 있다.
도 17은 본 발명의 실시예에 따른 격리/내포 제어 전략 화면(1700)의 예시적인 모양을 도시한 것이다. 격리/내포 제어 전략 화면(1700)은 다수의 구성 항목을 포함할 수 있다. 사용자는 격리/내포 제어 전략 화면(1700)을 이용하여 격리/내포 제어 전략 구성을 수행하고, 기존의 격리/내포 제어 전략을 보며, 새로운 격리/내포 제어 전략을 생성하고, 기존의 격리/내포 제어 전략을 복사하고, 기존의 격리/내포 제어 전략을 편집하고, 기존의 격리/내포 제어 전략을 제거하고, 격리/내포 제어 전략을 테스트할 수 있다. 예를 들면, 수순을 선택하기 위해 드롭다운 리스트를 사용할 수 있다.
도 18은 본 발명의 실시예에 따른 내포된 제어 계획 편집자 화면(1800)의 예시적인 모양을 도시한 것이다.
도 19는 본 발명의 실시예에 따른 격리된 제어 계획 편집자 화면(1900)의 예시적인 모양을 도시한 것이다. 대안적으로 다른 계획들을 사용할 수 있다.
내포된 및/또는 격리된 제어 계획을 생성하기 위해, 사용자는 계획명(plan name) 항목을 선택하고 새로운 제어 계획이나 기존의 계획 또는 모델을 선택할 수 있다. 예를 들면, 격리/내포 제어 전략 화면에서, 드롭 다운 메뉴가 나타나고 계획 추가(Add Plan) 선택(도시 생략됨)이 선택될 수 있다.
내포된 및/또는 격리된 제어 계획 편집자 화면(1800, 1900)은 다수의 필드를 포함할 수 있다. 계획명(Plan Name) 필드는 내포된 및/또는 격리된 제어 계획을 입력/편집하기 위해 사용될 수 있다. 모듈(Module) 필드는 모듈명을 입력/편집하기 위해 사용될 수 있다. 예를 들어서, 만일 계획이 전략과 관련된 것이면, 모듈 필드는 자동으로 채워질 수 있다. 만일 계획이 관련되지 않으면, 모듈 필드는 처리 모듈 또는 측정 모듈을 선택하기 위해 사용될 수 있다. 레시피(Recipe) 필드는 레시피를 입력/편집하기 위해 사용될 수 있다. 예를 들어서, 만일 계획이 전략과 관련되면, 레시피 필드는 자동으로 채워질 수 있다. 만일 계획이 관련되지 않으면, 필드는 처리 모듈용의 처리 레시피 또는 측정 모듈용의 측정 레시피를 선택하기 위해 사용될 수 있다.
설명(Description)란은 계획에 대한 설명을 입력/편집하기 위해 사용될 수 있다. 업데이트된 란은 계획이 변경된 최후의 시간을 디스플레이한다.
데이터 소스(Data Sources) 테이블은 데이터 소스를 입력/편집하기 위해 사용된다. 예를 들면, 내포된 및/또는 격리된 계획 데이터 소스 화면이 열릴 수 있다. 데이터 소스 테이블은 소스의 유형(Type), 데이터 소스의 설명(Description) 및 데이터 소스의 파라미터/값(Parameter/Value)을 포함할 수 있다. 예를 들어서, 선택된 소스 유형은 데이터 소스 화면에 디스플레이되는 옵션들을 결정한다. 즉, "Telius ODP" 유형은 처리 도구의 일부인 통합 계측 모듈 데이터 소스를 정의하기 위해 사용되고; "Desired Output" 유형은 사용자가 제어기의 고정 유닛을 입력하게 하며; "Feedback Offset" 유형은 사용자가 지속적인 피드백 변수를 정의하게 하고; "Control Plan Value" 유형은 사용자가 다른 제어 계획의 결과를 참조하는 변수를 생성하게 하고(내포된 계획을 생성함); "Integrated Metrology Site Filtering" 유형은 각 데이터 소스가 선택될 때 각 옵션의 설명을 가진 테이블을 생성하고; "ContextItem" 유형은 사용자가 Slot_Id, Wafer_Id, 또는 웨이퍼 번호와 같이 콘텍스트 항목을 참조하는 변수를 생성하게 한다.
기호(symbol)는 기호 드롭다운 리스트로부터 선택될 수 있고, 소스 유형은 데이터 소스 유형 드롭다운 메뉴로부터 선택될 수 있다. 예를 들면, 데이터 소스 정보 필드는 선택된 데이터 소스에 따라 달라질 수 있다.
3개의 입력 데이터 소스(d1, d2 o1)가 도시되어 있지만, 꼭 필요한 것은 아니다. 다른 수의 입력 데이터 소스를 사용할 수 있고, 각 입력 데이터 소스는 다른 기호 값을 가질 수 있다. 데이터 소스는 희망 처리 결과 또는 캘리브레이트된 날짜 항목과 같은 제어 계획 값일 수 있다. 또한, 데이터 소스는 ODP 도구일 수 있고, 데이터 소스는 텔리우스와 같은 처리 도구의 일부일 수 있다. 더 나아가, 다른 데이터 소스는 SEM일 수 있고, 파라미터/값은 CD-SEM 데이터와 같은 실제 측정한 데이터일 수 있다.
일반적으로, 처리 제어는 처리 모듈에 도달하기 전에 웨이퍼에서 측정한 계측 정보를 이용하여 처리 모듈 레시피를 업데이트하는 것을 포함할 수 있다. 제어기는 전처리 데이터를 이용하여 얼마나 많은 방문들이 각종 물리 모듈에 대하여 요구되는지를 결정할 수 있다. 희망 처리 결과는 모델 방정식에서 "y" 값일 수 있다. 태스크는 희망 처리 결과 "y"가 정확한 값인 때를 결정한다.
내포된 및/또는 격리된 제어 계획 화면상의 목표 계산(Target Calculation)란에서 목표 계산이 입력될 수 있다. 예를 들면, 목표 계산은 데이터 소스 항목과 동일하게 설정될 수 있다. 대안적으로 하나의 데이터 세트를 다른 데이터 세트와 상관시키는 방정식이 입력될 수 있다. 또한, 목표 계산은 추가적인 보상 항목을 포함할 수 있다. 예를 들면, 추가적인 보상 인자는 포토 레지스트 단계와 같은 다른 하나의 단계에서 유입된 에러를 보정하기 위해 사용될 수 있다. 새로운 목표 값은 런타임시에 또는 런타임 전에 계산된 변수일 수 있고, 방정식은 목표 값을 계산하기 위해 사용될 수 있다.
또한, 새로운 하한값 및 상한값이 사용될 수 있고, 이 값들은 하한값(Lower Limit)란 및 상한값(Upper Limit)란에서 입력될 수 있다. 예를 들면, 새로운 하한값 및 상한값은 상수일 수도 있고, 또는 런타임시에 또는 런타임 전에 계산된 변수일 수도 있으며, 방정식은 새로운 하한값 및 상한값을 계산하기 위해 사용될 수 있다.
모델 선택(Model Selections)란은 정적 모델(static model) 및/또는 공식 모델(formula model)을 편집/입력하기 위해 사용될 수 있다. 예를 들면, 모델 유형 선택 항목하에서, 테이블 내의 선택 항목은 모델 유형을 입력 및/또는 편집하기 위해 사용될 수 있다. 드롭다운 리스트는 테이블 항목으로부터 활성화되고 드롭다운 리스트로부터 선택이 행하여질 수 있다. 드롭다운 리스트 내의 하나의 옵션은 새로운 모델이 생성될 수 있게 하고; 다른 옵션들은 사용하거나 수정할 기존 모델을 디스플레이 및 선택하기 위해 사용될 수 있다. 각 모델 유형은 그 모델 유형과 관련된 모듈명, 목표 값, 하한, 상한, 및 레시피 출력을 가질 수 있다. 새로운 모델을 생성할 때, 새로운 모델 유형이 사용되고 모델 유형란에 입력되며, 새로운 모델명이 사용되고 모델명란에 입력될 수 있다.
예측 결과 계산(Predicted Result Calculation)란은 새로운 예측 결과값을 입력하거나 기존의 예측 결과값을 선택하기 위해 사용될 수 있다. 예측 결과값은 기대한 결과의 방정식일 수 있다. 예를 들면, 제어 계획은 명칭, 목표 계산 및 모델 선택 정보가 입력된 때 저장될 수 있다.
샵(#)란은 모델 리스트 내의 다수의 모델을 포함한다. 모델 유형은 정적 모델 또는 공식 모델이 선택되게 한다. 모델명란은 가용 모델의 명칭들을 리스트한다. 예를 들면, 새로운 모델을 생성하기 위해, "새로운 정적 레시피"(New Static Recipe) 옵션 또는 "새로운 공식 레시피"(New Formula Recipe) 옵션이 드롭다운 리스트로부터 선택될 수 있다. 정적 제어 계획은 하나 이상의 정적 레시피를 포함하는 것으로 생성될 수 있다. 예를 들면, 10개 이상의 정적 모델이 보여질 수 있다. 정적 모델은 동일한 목표 값(t1)을 갖는 것으로 보이지만, 꼭 필요한 것은 아니다. 다른 수의 정적 및/또는 공식 모델이 사용될 수 있고, 각 모델은 다른 목표 값을 가질 수 있다. 새로운 목표 값은 각 정적 레시피가 사용된 때 계산될 수 있다. 정적 레시피 모델은 하한값 및 상한값에 의해 정의된 것과 다른 동작 범위를 가질 수 있다. 또한, 정적 레시피 모델은 다른 정적 레시피 출력을 가질 수 있고, 다른 정적 레시피 출력은 각 정적 레시피마다 결정될 수 있다.
내포된 및/또는 격리된 제어 계획은 정적 모델 레시피 또는 공식 모델 레시피, 또는 이들의 조합을 포함할 수 있다. 제어기는 모듈마다의 제어 계획을 자동으로 발생할 수 있다. 처리 레시피는 하나 이상의 처리 단계를 각각 포함하는 하나 이상의 처리들을 포함할 수 있다. 처리 레시피는 단일 챔버에서 또는 다수의 챔버에서 수행될 수 있다. 처리 레시피는 공칭 레시피, 정적 레시피 및 공식 레시피 중 적어도 하나를 이용하여 구성될 수 있다.
정적 레시피는 특정 처리 결과를 달성하기 위해 사용되는 레시피 조정의 단일 세트일 수 있다. 정적 레시피의 세트는 테이블 기반 제어기를 셋업하기 위해 사용될 수 있고, 또는 정적 레시피는 동일한 레시피가 사용되어야 하는 희망 출력의 범위를 취급하기 위해 공식 모델과 함께 사용될 수 있다. 정적 레시피와 함께 피드백을 이용할 때, 사용된 각각의 정적 레시피에 대한 단일 예측 처리 결과가 제어 계획에서 지정될 수 있다.
도 20은 본 발명의 실시예에 따른 공식 모델 편집자 화면(2000)의 예시적인 모양을 도시한 것이다. 공식 모델은 선모델(pre-model) 조정, 모델 방정식, 일련의 후모델(post model) 조정, 및 레시피 파라미터 할당 맵을 포함할 수 있다. 선모델 조정은 모델 방정식에 사용된 정확한 유닛에 희망 처리 결과(일반적으로 t1)의 재표현을 가능하게 하고(y의 값을 만듦), 모델 방정식은 하나의 조작 변수(x)의 함수로서 예측 처리 결과를 계산하는 표시일 수 있다. 모델이 실행될 때, 모델은 재표현된 희망 처리 결과(y)를 전제로 하여 x에 대하여 해(解)를 구할 것이다. 일단 x가 결정되면, 후모델 조정이 계산되고, 그들의 값이 레시피 파라미터 맵에서 지정된 적당한 레시피 파라미터에 할당될 것이다.
또한, 하나 이상의 처리 모델이 제공될 수 있다. 처리 모델은 처리 공간(space)을 규정하기 위해 사용될 수 있다. 처리 모델은 희망 결과(출력)와 그 결과를 달성하기 위해 필요한 수신 변수들 사이의 검증된 관계를 나타낸다. 처리 모델은 공식 기반 모델을 포함할 수 있는 방정식들을 포함할 수 있다. 공식 기반 모델들은 레시피 변수들이 몇 가지 평가된 실험 데이터에 기초를 둔 희망 결과의 구분적 관련성을 포함하는 방정식들을 포함할 수 있다. 처리 모델은 선형 또는 비선형일 수 있다. 처리 모델은 새로운 처리 레시피를 검증하고 기존의 처리 레시피를 업데이트하기 위해 사용될 수 있다.
당업자라면 예상할 수 있는 바와 같이, 여기에서 설명한 각종 요소들은 유선 접속이나 무선 접속을 통하여 또는 인터넷을 통하여 서로 접속될 수 있다. 그러므로, 어떠한 접속도 어느 하나의 특수한 변화 또는 유형인 것으로 보여져서는 안된다.
지금까지 본 발명의 특정 실시예들을 설명하였지만, 당업자라면 본 발명의 신규 교시(teaching) 및 장점들로부터 크게 벗어나지 않고 상기 실시예에서 많은 수정이 가능하다는 것을 쉽게 알 것이다. 따라서, 이러한 모든 수정들은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (38)

  1. 삭제
  2. 반도체 처리 시스템 작동 방법에 있어서,
    웨이퍼 상의 하나 이상의 격리(isolated) 구조물에 대한 기준 계측 데이터 및 웨이퍼 상의 하나 이상의 내포(nested) 구조물에 대한 기준 계측 데이터를 포함하는, 웨이퍼에 대한 기준 계측 데이터를 포함한 입력 데이터를 수신하는 단계와;
    상기 웨이퍼에 대한 기준 계측 데이터를 이용하여 제1 에칭 처리를 제어하기 위한 제1 제어 계획을 포함한 격리/내포 제어 전략을 생성하는 단계와;
    상기 웨이퍼 상의 하나 이상의 내포 구조물에 대한 기준 계측 데이터를 이용하여 제2 에칭 처리를 제어하기 위한 제2 제어 계획을 생성하는 단계와;
    격리 구조물에 대한 측정 데이터와 내포 구조물에 대한 측정 데이터 간의 차를 이용하여 측정 바이어스 트림을 계산하는 단계와;
    바이어스 트림 목표를 결정하는 단계와;
    바이어스 트림 조정을 계산하는 단계와;
    희망 바이어스 트림 조정을 달성하기 위한 레시피 설정을 결정하는 단계와;
    나머지의 BARC 트림을 연산하는 단계와;
    희망 BARC 트림을 달성하기 위한 레시피 설정을 결정하는 단계
    를 포함하는 반도체 처리 시스템 작동 방법.
  3. 제2항에 있어서,
    광학 디지털 프로파일메트리(Optical Digital Profilemetry, ODP)를 이용하여 웨이퍼 상의 복수의 격리 구조물에 대한 측정 데이터를 획득하는 단계와;
    임계 치수 측정 주사 전자 현미경(Critical Dimension Scanning Electron Microscope, CD SEM)을 이용하여 상기 웨이퍼 상의 복수의 격리 구조물에 대한 격리 기준 데이터를 획득하는 단계와;
    격리 측정 데이터를 상기 격리 기준 데이터에 관련시키는 제1 방정식-이 제1 방정식은 제1 기울기 값과 제1 인터셉트값(intercept value)을 갖는 것임-을 확립하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  4. 제3항에 있어서,
    ODP를 이용하여 웨이퍼 상의 복수의 내포 구조물에 대한 내포 측정 데이터를 획득하는 단계와;
    CD SEM을 이용하여 상기 웨이퍼 상의 복수의 내포 구조물에 대한 내포 기준 데이터를 획득하는 단계와;
    내포 측정 데이터를 상기 내포 기준 데이터에 관련시키는 제2 방정식-이 제2 방정식은 제2 기울기 값과 제2 인터셉트값을 갖는 것임-을 확립하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  5. 제4항에 있어서,
    격리 구조물에 대한 제1 측정값을 획득하는 단계와;
    상기 격리 구조물에 대한 제1 상관값-이 제1 상관값은 '제1 기울기 값 × 제1 측정값 + 제1 인터셉트값'과 같은 것임-을 계산하는 단계와;
    내포 구조물에 대한 제2 측정값을 획득하는 단계와;
    상기 내포 구조물에 대한 제2 상관값-이 제2 상관값은 '제2 기울기 값 × 제2 측정값 + 제2 인터셉트값'과 같은 것임-을 계산하는 단계와;
    상기 제1 상관값과 상기 제2 상관값 간의 차를 계산함으로써 측정 바이어스 트림을 결정하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  6. 제5항에 있어서, 상기 제1 측정값은, 상기 웨이퍼 상에서 상기 격리 구조물과 연관된 제1 격자 패턴을 측정함으로써 획득되는 것인 반도체 처리 시스템 작동 방법.
  7. 제5항에 있어서, 상기 제2 측정값은, 웨이퍼 상에서 상기 내포 구조물과 연관된 제2 격자 패턴을 측정함으로써 획득되는 것인 반도체 처리 시스템 작동 방법.
  8. 제4항에 있어서,
    격리 구조물에 대한 제1 측정값을 획득하는 단계와;
    상기 격리 구조물에 대한 제1 상관값-이 제1 상관값은 '제1 기울기 값 × 제1 측정값 + 제1 인터셉트값'과 같은 것임-을 계산하는 단계와;
    내포 구조물에 대한 제2 측정값을 획득하는 단계와;
    상기 내포 구조물에 대한 제2 상관값-이 제2 상관값은 '제2 기울기 값 × 제2 측정값 + 제2 인터셉트값'과 같은 것임-을 계산하는 단계와;
    상기 제1 상관값과 상기 제2 상관값 사이의 값을 이용하여 측정 바이어스 트림을 결정하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  9. 제4항에 있어서,
    격리 구조물에 대한 제1 측정값을 획득하는 단계와;
    상기 격리 구조물에 대한 제1 상관값-이 제1 상관값은 '제1 기울기 값 × 제1 측정값 + 제1 인터셉트값'과 같은 것임-을 계산하는 단계와;
    내포 구조물에 대한 제2 측정값을 획득하는 단계와;
    상기 내포 구조물에 대한 제2 상관값-이 제2 상관값은 '제2 기울기 값 × 제2 측정값 + 제2 인터셉트값'과 같은 것임-을 계산하는 단계와;
    상기 제1 상관값과 상기 제2 상관값 간의 차를 스케일링(scaling)함으로써 측정 바이어스 트림을 결정하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  10. 제2항에 있어서,
    이력 데이터를 이용하여 바이어스 트림 목표를 결정하는 단계와;
    상기 측정 바이어스 트림과 상기 바이어스 트림 목표 간의 차를 계산함으로써 바이어스 트림 조정을 계산하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  11. 제10항에 있어서,
    상기 바이어스 트림 조정을 달성하기 위한 처리 레시피-이 처리 레시피는 하나 이상의 제어 파라미터를 포함하는 것임-를 생성하는 단계와;
    상기 바이어스 트림 조정과 동일한 양을 에칭하기 위해 처리 레시피를 실행하는 단계
    를 더 포함하고,
    상기 하나 이상의 제어 파라미터는 처리 시간을 포함하는 것인 반도체 처리 시스템 작동 방법.
  12. 제11항에 있어서, 상기 하나 이상의 제어 파라미터는 처리 시퀀스에서의 단계 시간을 포함하는 것인 반도체 처리 시스템 작동 방법.
  13. 반도체 처리 시스템 작동 방법에 있어서,
    웨이퍼 상의 하나 이상의 격리(isolated) 구조물에 대한 기준 계측 데이터 및 웨이퍼 상의 하나 이상의 내포(nested) 구조물에 대한 기준 계측 데이터를 포함하는, 웨이퍼에 대한 기준 계측 데이터를 포함한 입력 데이터를 수신하는 단계와;
    상기 웨이퍼에 대한 기준 계측 데이터를 이용하여 제1 에칭 처리를 제어하기 위한 제1 제어 계획을 포함한 격리/내포 제어 전략을 생성하는 단계와;
    상기 웨이퍼 상의 하나 이상의 내포 구조물에 대한 기준 계측 데이터를 이용하여 제2 에칭 처리를 제어하기 위한 제2 제어 계획을 생성하는 단계와;
    광학 디지털 프로파일메트리(ODP)를 이용하여 웨이퍼 상의 복수의 제어 구조물에 대한 측정 데이터를 획득하는 단계와;
    웨이퍼 상의 복수의 중간 제어 구조물에 대한 기준 데이터를 획득하는 단계-상기 측정 데이터는 임계 치수 측정 주사 전자 현미경(CD SEM)을 이용하여 획득되는 것임-와;
    상기 측정 데이터를 상기 기준 데이터에 관련시키는 제1 방정식-이 제1 방정식은 제1 기울기 값과 제1 인터셉트값을 갖는 것임-을 확립하는 단계
    를 포함하는 반도체 처리 시스템 작동 방법.
  14. 반도체 처리 시스템 작동 방법에 있어서,
    웨이퍼 상의 하나 이상의 격리(isolated) 구조물에 대한 기준 계측 데이터 및 웨이퍼 상의 하나 이상의 내포(nested) 구조물에 대한 기준 계측 데이터를 포함하는, 웨이퍼에 대한 기준 계측 데이터를 포함한 입력 데이터를 수신하는 단계와;
    상기 웨이퍼에 대한 기준 계측 데이터를 이용하여 제1 에칭 처리를 제어하기 위한 제1 제어 계획을 포함한 격리/내포 제어 전략을 생성하는 단계와;
    상기 웨이퍼 상의 하나 이상의 내포 구조물에 대한 기준 계측 데이터를 이용하여 제2 에칭 처리를 제어하기 위한 제2 제어 계획을 생성하는 단계와;
    제어 구조물에 대한 중간 측정값을 획득하는 단계와;
    상기 제어 구조물에 대한 제1 상관값-이 제1 상관값은 '제1 기울기 값 × 중간 측정값 + 제1 인터셉트값'과 같은 것임-을 계산하는 단계와;
    상기 제어 구조물에 대한 상기 제1 상관값과 임계 차원(CD) 목표 간의 차를 계산함으로써 트림량을 결정하는 단계
    를 포함하는 반도체 처리 시스템 작동 방법.
  15. 제14항에 있어서, 상기 중간 측정값은 웨이퍼 상에서 제어 구조물과 연관된 제1 격자 패턴을 측정함으로써 획득되는 것인 반도체 처리 시스템 작동 방법.
  16. 제15항에 있어서,
    바이어스 트림 조정을 위한 값을 결정하는 단계와;
    '제1 상관값 - 바이어스 트림 조정량 - 최종 목표값'과 동일한 나머지 트림량을 결정하는 단계
    를 더 포함하는 반도체 처리 시스템 작동 방법.
  17. 제16항에 있어서, 상기 바이어스 트림 조정량은 '에칭률의 평균값 × 단계 시간'을 이용하여 결정되는 것인 반도체 처리 시스템 작동 방법.
  18. 제16항에 있어서,
    상기 나머지 트림량을 달성하기 위한 처리 레시피-이 처리 레시피는 하나 이상의 제어 파라미터를 포함하는 것임-를 생성하는 단계와;
    상기 나머지 트림량과 동일한 양을 에칭하기 위해 상기 처리 레시피를 실행하는 단계
    를 더 포함하고,
    상기 하나 이상의 제어 파라미터는 처리 가스 유량을 포함하는 것인 반도체 처리 시스템 작동 방법.
  19. 제16항에 있어서,
    상기 나머지 트림량을 달성하기 위한 처리 레시피-이 처리 레시피는 하나 이상의 제어 파라미터를 포함하는 것임-를 생성하는 단계와;
    상기 나머지 트림량과 동일한 양을 에칭하기 위해 상기 처리 레시피를 실행하는 단계
    를 더 포함하고,
    상기 하나 이상의 제어 파라미터는 처리 가스 유량비를 포함하는 것인 반도체 처리 시스템 작동 방법.
  20. 삭제
  21. 반도체 처리 도구 작동 방법에 있어서,
    복수의 격리/내포 제어 전략을 생성하는 단계와;
    하나 이상의 격리 구조물을 포함한 웨이퍼를 받는 단계와;
    상기 웨이퍼를 처리하기 위해 사용하는 격리/내포 제어 전략을 결정하는 단계와;
    상기 결정된 격리/내포 제어 전략을 실행하는 단계와;
    기준 데이터를 획득하는 단계와;
    격리 데이터, 내포 데이터, 격리/내포 데이터, 또는 통상 데이터, 또는 이들 데이터의 2개 이상의 조합을 포함한 측정 데이터를 획득하는 단계와;
    상기 측정 데이터를 상기 기준 데이터에 상관시킴으로써, 상관 격리 데이터, 상관 내포 데이터, 상관 격리/내포 데이터, 또는 상관 통상 데이터, 또는 이들 데이터의 2개 이상의 조합을 포함한 상관 데이터를 생성하는 단계와;
    바이어스 목표값을 포함한 목표값을 획득하는 단계와;
    바이어스 트림량인 트림량을 계산하는 단계와;
    계산된 트림량을 달성하기 위한 처리 파라미터의 제1 세트를 연산하는 단계와;
    처리 파라미터의 제1 세트를 이용하여 제1 처리 레시피를 생성하는 단계
    를 포함하는 반도체 처리 도구 작동 방법.
  22. 제21항에 있어서,
    최종 CD 목표값을 포함한 최종 목표값을 획득하는 단계와;
    BARC 트림량인 나머지 트림량을 계산하는 단계와;
    상기 나머지 트림량을 달성하기 위한 처리 파라미터의 제2 세트를 연산하는 단계와;
    제2 처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  23. 제21항에 있어서, 상기 처리 파라미터의 제1 세트를 연산하는 단계는,
    제1 고주파(RF) 전력을 연산하는 단계와;
    제1 처리 가스 유량을 연산하는 단계와;
    제1 단계 시간을 연산하는 단계
    를 포함하는 것인 반도체 처리 도구 작동 방법.
  24. 제22항에 있어서, 상기 처리 파라미터의 제2 세트를 연산하는 단계는,
    제2 RF 전력을 연산하는 단계와;
    처리 가스 유량을 연산하는 단계와;
    제2 단계 시간을 연산하는 단계
    를 포함하는 것인 반도체 처리 도구 작동 방법.
  25. 제21항에 있어서,
    기준 데이터를 획득하는 단계와;
    측정된 격리 데이터를 획득하는 단계와;
    측정된 내포 데이터를 획득하는 단계와;
    바이어스 트림 에러값을 포함한 트림 에러값을 포함하는 피드백 데이터를 획득하는 단계와;
    상기 측정된 격리 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 격리 데이터를 생성하는 단계와;
    상기 측정된 내포 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 내포 데이터를 생성하는 단계와;
    바이어스 목표값을 포함하는 목표값을 획득하는 단계와;
    바이어스 트림량인 트림량을 계산하는 단계와;
    상기 계산된 트림량을 달성하기 위한 레시피 설정의 제1 세트를 연산하는 단계와;
    처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  26. 제21항에 있어서,
    최종 CD 목표값을 포함하는 최종 목표값을 획득하는 단계와;
    BARC 트림 에러값을 포함하는 트림 에러값을 포함한 피드백 데이터를 계산하는 단계와;
    BARC 트림량인 나머지 트림량을 계산하는 단계와;
    상기 나머지 트림량을 달성하기 위한 처리 파라미터의 제2 세트를 연산하는 단계와;
    제2 처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  27. 제21항에 있어서,
    바이어스 트림 에러값을 포함하는 트림 에러값을 포함한 피드백 데이터를 계산하는 단계와;
    상기 피드백 데이터를 상기 제1 처리 레시피에 공급하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  28. 제22항에 있어서,
    BARC 트림 에러값을 포함하는 트림 에러값을 포함한 피드백 데이터를 계산하는 단계와;
    상기 피드백 데이터를 상기 제2 처리 레시피에 공급하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  29. 제21항에 있어서,
    기준 데이터를 획득하는 단계와;
    측정된 격리 데이터를 획득하는 단계와;
    측정된 내포 데이터를 획득하는 단계와;
    상기 측정된 격리 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 격리 데이터를 생성하는 단계와;
    상기 측정된 내포 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 내포 데이터를 생성하는 단계와;
    바이어스 목표값을 포함하는 목표값을 포함한 제1 희망 처리 결과를 획득하는 단계와;
    바이어스 트림 에러양인 제1 처리 에러를 연산하는 단계와;
    격리/내포 모델을 포함한 처리 모델을 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  30. 제21항에 있어서,
    최종 CD 목표값을 포함하는 최종 목표값을 획득하는 단계와;
    BARC 트림 에러량인 제2 처리 에러를 연산하는 단계와;
    제2 처리 에러에 기초하는 트림 모델을 포함한 제2 처리 모델을 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  31. 제21항에 있어서,
    기준 데이터를 획득하는 단계와;
    측정된 격리 데이터를 획득하는 단계와;
    측정된 내포 데이터를 획득하는 단계와;
    상기 측정된 격리 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 격리 데이터를 생성하는 단계와;
    상기 측정된 내포 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 내포 데이터를 생성하는 단계와;
    바이어스 목표값을 포함하는 목표값을 포함한 제1 희망 처리 결과를 획득하는 단계와;
    격리/내포 모델을 포함한 제1 처리 모델을 생성하는 단계와;
    바이어스 트림량인 트림량을 포함한 제1 기대 처리 결과를 계산하는 단계와;
    계산된 트림량을 달성하기 위한 레시피 설정의 제1 세트를 연산하는 단계와;
    처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  32. 제31항에 있어서,
    최종 CD 목표값을 포함하는 제2 목표값을 포함한 제2 희망 처리 결과를 획득하는 단계와;
    트림 모델을 포함한 제2 처리 모델을 획득하는 단계와;
    BARC 트림량인 제2 트림량을 포함한 제2 기대 처리 결과를 계산하는 단계와;
    나머지 트림량을 달성하기 위한 처리 파라미터의 제2 세트를 연산하는 단계와;
    처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  33. 제31항에 있어서,
    측정된 제어 데이터를 획득하는 단계와;
    상기 측정된 제어 데이터를 상기 기준 데이터에 상관시킴으로써 상관된 제어 데이터를 생성하는 단계와;
    트림량을 획득하는 단계와;
    최종 CD 목표값을 포함하는 제2 목표값을 포함한 제2 희망 처리 결과를 획득하는 단계와;
    트림 모델을 포함한 제2 처리 모델을 획득하는 단계와;
    BARC 트림량인 제2 트림량을 포함한 제2 예측 처리 결과를 계산하는 단계와;
    나머지 트림량을 달성하기 위한 처리 파라미터의 제2 세트를 연산하는 단계와;
    처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  34. 제31항에 있어서,
    가상 측정 데이터를 연산하는 단계와;
    최종 CD 목표값을 포함하는 제2 목표값을 포함한 제2 희망 처리 결과를 획득하는 단계와;
    트림 모델을 포함한 제2 처리 모델을 획득하는 단계와;
    BARC 트림량인 제2 트림량을 포함한 제2 기대 처리 결과를 계산하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  35. 제21항에 있어서, 상기 격리 구조물은 비아, 트렌치, 또는 스택, 또는 이들의 2개 이상의 조합을 포함하는 것인 반도체 처리 도구 작동 방법.
  36. 반도체 처리 도구 작동 방법에 있어서,
    복수의 격리/내포 제어 전략을 생성하는 단계와;
    하나 이상의 격리 구조물을 포함한 웨이퍼를 받는 단계와;
    상기 웨이퍼를 처리하기 위해 사용하는 격리/내포 제어 전략을 결정하는 단계와;
    상기 결정된 격리/내포 제어 전략을 실행하는 단계와;
    처리 모델 상태 데이터를 획득하는 단계와;
    격리 데이터, 내포 데이터, 격리/내포 데이터, 또는 통상 데이터, 또는 이들의 2개 이상의 조합을 포함한 측정 데이터를 획득하는 단계와;
    상기 측정 데이터를 상기 처리 모델 상태 데이터에 상관시킴으로써, 상관 격리 데이터, 상관 내포 데이터, 상관 격리/내포 데이터, 또는 상관 통상 데이터, 또는 이들의 2개 이상의 조합을 포함한 상관 데이터를 생성하는 단계와;
    바이어스 목표값을 포함한 목표값을 획득하는 단계와;
    바이어스 트림량인 트림량을 계산하는 단계와;
    상기 계산된 트림량을 달성하기 위한 처리 파라미터의 제1 세트를 연산하는 단계와;
    상기 처리 파라미터의 제1 세트를 이용하여 제1 처리 레시피를 생성하는 단계
    를 포함하는 반도체 처리 도구 작동 방법.
  37. 제36항에 있어서,
    최종 CD 목표값을 포함하는 최종 목표값을 획득하는 단계와;
    BARC 트림량인 나머지 트림량을 계산하는 단계와;
    상기 나머지 트림량을 달성하기 위한 처리 파라미터의 제2 세트를 연산하는 단계와;
    제2 처리 레시피를 생성하는 단계
    를 더 포함하는 반도체 처리 도구 작동 방법.
  38. 반도체 처리 시스템 작동 방법에 있어서,
    기준 데이터를 획득하는 단계와;
    격리 데이터, 내포 데이터, 격리/내포 데이터, 또는 통상 데이터, 또는 이들의 2개 이상의 조합을 포함한 측정 데이터를 획득하는 단계와;
    상기 측정 데이터를 상기 기준 데이터에 상관시킴으로써, 상관 격리 데이터, 상관 내포 데이터, 상관 격리/내포 데이터, 또는 상관 정상 데이터, 또는 이들의 2개 이상의 조합을 포함한 상관 데이터를 생성하는 단계와;
    바이어스 목표값을 포함하는 제1 목표값을 포함한 제1 희망 처리 결과를 획득하는 단계와;
    바이어스 트림량인 트림량을 포함한 제1 기대 처리 결과를 계산하는 단계와;
    BARC 트림 목표값을 포함하는 제2 목표값을 포함한 제2 희망 처리 결과를 획득하는 단계와;
    BARC 트림량을 포함하는 제2 트림량을 포함한 제2 기대 처리 결과를 계산하는 단계와;
    계산된 트림량을 달성하기 위한 레시피 설정의 제1 세트를 연산하는 단계와;
    처리 레시피를 생성하는 단계
    를 포함하는 반도체 처리 시스템 작동 방법.
KR1020077002175A 2004-09-20 2005-06-30 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법 KR101158950B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/944,463 2004-09-20
US10/944,463 US7209798B2 (en) 2004-09-20 2004-09-20 Iso/nested cascading trim control with model feedback updates
PCT/US2005/023580 WO2006036245A2 (en) 2004-09-20 2005-06-30 Iso/nested cascading trim control with model feedback updates

Publications (2)

Publication Number Publication Date
KR20070052746A KR20070052746A (ko) 2007-05-22
KR101158950B1 true KR101158950B1 (ko) 2012-06-21

Family

ID=35976496

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002175A KR101158950B1 (ko) 2004-09-20 2005-06-30 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법

Country Status (6)

Country Link
US (1) US7209798B2 (ko)
JP (1) JP5069114B2 (ko)
KR (1) KR101158950B1 (ko)
CN (1) CN101023522B (ko)
TW (1) TWI304613B (ko)
WO (1) WO2006036245A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076847A (ko) * 2019-12-15 2021-06-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 게이트 형성

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7352478B2 (en) * 2002-12-20 2008-04-01 International Business Machines Corporation Assessment and optimization for metrology instrument
US7453583B2 (en) * 2004-02-20 2008-11-18 International Business Machines Corporation Assessment and optimization for metrology instrument including uncertainty of total measurement uncertainty
US8301288B2 (en) * 2004-06-16 2012-10-30 International Business Machines Corporation Optimized scheduling based on sensitivity data
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7291285B2 (en) * 2005-05-10 2007-11-06 International Business Machines Corporation Method and system for line-dimension control of an etch process
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
TWI315054B (en) * 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US7555395B2 (en) * 2006-09-26 2009-06-30 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask to create a profile library
KR100835276B1 (ko) * 2006-10-23 2008-06-05 삼성전자주식회사 반도체 장비 제어 시스템 및 제어 방법
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
TWI338916B (en) * 2007-06-08 2011-03-11 Univ Nat Cheng Kung Dual-phase virtual metrology method
US8635125B2 (en) * 2007-07-03 2014-01-21 Microsoft Corporation Automatic calculation with multiple editable fields
US20090104776A1 (en) * 2007-10-18 2009-04-23 International Business Machines Corporation Methods for forming nested and isolated lines in semiconductor devices
US7639370B2 (en) * 2007-11-07 2009-12-29 Tokyo Electron Limited Apparatus for deriving an iso-dense bias
US7598099B2 (en) * 2007-11-07 2009-10-06 Tokyo Electron Limited Method of controlling a fabrication process using an iso-dense bias
US20090116040A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Method of Deriving an Iso-Dense Bias Using a Hybrid Grating Layer
KR101483325B1 (ko) * 2007-11-07 2015-01-15 도쿄엘렉트론가부시키가이샤 등 밀도 바이어스 도출 방법 및 장치, 및 제조 프로세스 제어
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP5200687B2 (ja) * 2008-06-18 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
JP5739841B2 (ja) 2012-06-13 2015-06-24 株式会社東芝 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US9727049B2 (en) * 2012-09-04 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Qualitative fault detection and classification system for tool condition monitoring and associated methods
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2014189045A1 (ja) * 2013-05-22 2014-11-27 株式会社日立国際電気 管理装置、基板処理システム、装置情報更新方法、及び記録媒体
WO2017098644A1 (ja) * 2015-12-10 2017-06-15 三菱電機株式会社 情報処理装置、情報処理方法及び情報処理プログラム
WO2017151622A1 (en) * 2016-03-04 2017-09-08 Tokyo Electron Limited Trim method for patterning during various stages of an integration scheme
CA182554S (en) * 2016-10-07 2018-09-04 Siemens Ag Transformer
WO2019198143A1 (ja) * 2018-04-10 2019-10-17 株式会社日立製作所 加工レシピ生成装置
KR102365983B1 (ko) * 2019-10-31 2022-02-23 한국표준과학연구원 초박막의 두께 산출 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297166B1 (en) * 1999-04-22 2001-10-02 International Business Machines Corporation Method for modifying nested to isolated offsets

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801821A (en) * 1995-06-30 1998-09-01 Intel Corporation Photolithography method using coherence distance control
US6262435B1 (en) 1998-12-01 2001-07-17 Marina V. Plat Etch bias distribution across semiconductor wafer
US6884734B2 (en) * 2001-11-20 2005-04-26 International Business Machines Corporation Vapor phase etch trim structure with top etch blocking layer
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7254453B2 (en) * 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297166B1 (en) * 1999-04-22 2001-10-02 International Business Machines Corporation Method for modifying nested to isolated offsets

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076847A (ko) * 2019-12-15 2021-06-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 게이트 형성
KR102495801B1 (ko) * 2019-12-15 2023-02-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 게이트 형성
US11574846B2 (en) 2019-12-15 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation of semiconductor devices

Also Published As

Publication number Publication date
JP2008513997A (ja) 2008-05-01
TWI304613B (en) 2008-12-21
WO2006036245A2 (en) 2006-04-06
KR20070052746A (ko) 2007-05-22
CN101023522B (zh) 2010-05-05
US20060064193A1 (en) 2006-03-23
US7209798B2 (en) 2007-04-24
WO2006036245A3 (en) 2006-09-08
JP5069114B2 (ja) 2012-11-07
TW200629400A (en) 2006-08-16
CN101023522A (zh) 2007-08-22

Similar Documents

Publication Publication Date Title
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
US7328418B2 (en) Iso/nested control for soft mask processing
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
US7502709B2 (en) Dynamic metrology sampling for a dual damascene process
JP5028473B2 (ja) ウェハ均一性制御を用いた動的サンプリング測定法
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
US7292906B2 (en) Formula-based run-to-run control
US7477960B2 (en) Fault detection and classification (FDC) using a run-to-run controller
US7567700B2 (en) Dynamic metrology sampling with wafer uniformity control
JP2009531866A5 (ko)
US20060079983A1 (en) R2R controller to automate the data collection during a DOE

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180530

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 8