KR20060026902A - 부식 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼제어 방법 - Google Patents

부식 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼제어 방법 Download PDF

Info

Publication number
KR20060026902A
KR20060026902A KR1020057025282A KR20057025282A KR20060026902A KR 20060026902 A KR20060026902 A KR 20060026902A KR 1020057025282 A KR1020057025282 A KR 1020057025282A KR 20057025282 A KR20057025282 A KR 20057025282A KR 20060026902 A KR20060026902 A KR 20060026902A
Authority
KR
South Korea
Prior art keywords
controller
wafer
processing
state
data
Prior art date
Application number
KR1020057025282A
Other languages
English (en)
Other versions
KR101032931B1 (ko
Inventor
메리트 펑크
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060026902A publication Critical patent/KR20060026902A/ko
Application granted granted Critical
Publication of KR101032931B1 publication Critical patent/KR101032931B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31265Control process by combining history and real time data
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32195Feedforward quality control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

R2R(run-to-run) 제어기를 사용하여 반도체 프로세싱 시스템에서 W2W(wafer-to-wafer) 제어를 제공하는 방법이 제공된다. R2R 제어기는 FF(feed-forward) 제어기, 처리 모델 제어기, FB(feedback) 제어기, 및 처리 제어기를 포함한다. R2R 제어기는 피드포워드 데이터, 모델링 데이터, 피드백 데이터, 및 처리 데이터를 사용하여 웨이퍼 대 웨이퍼 시간 프레임(frame)에서 처리 방법을 업데이트 한다.

Description

부식 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법{FEEDFORWARD, FEEDBACK WAFER TO WAFER CONTROL METHOD FOR AN ETCH PROCESS}
본 발명은 반도체 프로세싱 시스템에서 웨이퍼 대 웨이퍼 제어 방법에 관한 것으로서, 보다 상세하게는 부식 처리를 위한 웨이퍼 대 웨이퍼 제어 방법에 관한 것이다.
관련 출원의 상호 참조
이 국제 출원은 우선권에 의존하고 2003년 6월 30일에 미국 특허청에 출원된 출원번호 제10/609,129호 특허출원의 출원일에 대하여 그 전체에 관련하여 여기에 기재된 내용에 대하여 이익을 주장한다.
반도체 또는 디스플레이 제조 등과 같은 플라즈마 프로세싱의 다양한 스테이지를 통하여 처리 변수(process parameter)는 다양할 수 있다. 프로세싱 조건은 바람직하지 않은 결과를 만들어내는 처리 변수의 변화로 시간이 흐르면서 변화할 수 있다. 작은 변화는 부식 가스의 구성 또는 압력, 처리실 조건(process chamber condition) 또는 웨이퍼 온도에서 발생할 수 있다. 그러한 것처럼, 플라즈마 프로세싱 설비는 일정한 감시를 요구한다.
임의의 주어진 시간에서 이러한 처리 변수의 측정 및 감시로 인하여 다양한 데이터가 축적되고 분석된다. 처리 제어 피드백은 처리 변수를 조정하거나 일정한 처리 물질의 생존성을 결정하기 위하여 사용될 수 있다. 그러나 많은 경우, 프로세싱 특성의 악화를 반영하는 처리 데이터의 변화는 표시된 처리 데이터를 간단히 참조하는 것에 의해 검출될 수 없다. 스테이지 악화 및 처리의 특성 악화를 미리 검출하는 것은 어렵고 APC(advanced process control)에 의하여 패턴 인식뿐만 아니라 잘못된 검출 및 예상을 획득할 필요가 종종 있을 수 있다. 프로세싱 툴(tool)은 빈번하게 APC 시스템에 접속되지 않고 그 프로세싱 툴에서의 데이터는 적절하게 사용되지 않는다.
본 발명은 반도체 프로세싱 시스템에서 웨이퍼 대 웨이퍼(wafer to wafer, W2W) 제어를 제공하기 위한 방법 및 장치이다. R2R(run-to-run) 제어기는 FF(feed-forward) 제어기, 처리 모델 제어기(process model controller), FB(feedback) 제어기 그리고 처리 제어기를 포함한다. R2R 제어기는 피드포워드 데이터, 모델링 데이터, 피드백 데이터 및 처리 데이터를 사용하여 웨이퍼 대 웨이퍼 시간 프레임에서 처리 방법을 갱신한다.
첨부한 도면에서,
도 1은 본 발명의 실시예에 따른 프로세싱 시스템의 예시적인 블록도를 도시하고,
도 2는 본 발명의 실시예에 따른 프로세싱 시스템의 더 상세한 블록도를 도 시하고,
도 3은 본 발명의 실시예에 따른 R2R 제어기를 작동하는 방법에 대한 흐름도를 도시한다.
본 발명은 웨이퍼 대 웨이퍼 레벨에서 처리 변수를 제어하기 위한 방법 및 장치를 제공한다. 매우 집적된 측정 장치를 사용함으로써, 인입(incoming) 물질의 임계치수(critical dimension, CD)의 실시간 측정을 제공하는 것이 가능하다. 예를 들어, 처리 방법(recipe)은 그 처리가 완료된 후에 요구되는 임계 치수를 지시하는 목표 CD를 포함할 수 있다. R2R 제어기의 목적은 웨이퍼 대 웨이퍼(W2W) 제어를 사용하여 요구되는 결과를 달성할 각 웨이퍼에 대한 일련의 방법 변수를 제공하는 것이다.
요구되는 처리 결과와 그 결과를 달성하기 위하여 필요한 처리 변수 사이의 관계는 처리 모델이라 불린다. 예를 들어, 부식 툴에서 부식되기에 필요한 물질의 양, 부식 비율 그리고 부식 시간 사이의 간단한 관계가 있을 수 있다.
임의의 처리 툴의 수행은 시간에 따라 드리프트될 것이고 처리 모델은 드리프트 관련 구성요소를 포함할 수 있다. 실제 처리 결과를 측정하고 그것을 요구되는 결과와 비교함으로써 처리 모델에서 작은 오차를 수정하는 것이 가능하다. 그리고나서 이러한 차이는 모델의 정확성을 업데이트하기 위해 사용될 수 있다.
처리 제어의 "실제 세상(real-world)"의 애플리케이션에서, 방해와 잡음은 처리를 정확히 제어하는 우리의 능력을 제한한다. 이러한 잡음원과 방해원은 최적 의 결과를 달성하기 위하여 이해되고 제거되어야 한다. 예를 들어, 게이트 스택(gate stack)에서 바닥 CD의 특정의 반복이 총 40나노미터 중에서 1 나노미터라면, 이것은 2.5%의 관련 오차를 표시한다. 만약 이러한 측정 오차에 대하여 수정이 이루어지지 않는다면, 우리는 처리 결과가 적어도 2.5%로 변화한다고 예상할 것이다.
R2R 제어 방법을 발전시키는 데 있어 다음의 항목이 고려될 수 있다: 측정 장치로부터 관측된 변수의 선택, 그 방법에서 제어된 변수의 선택; 관측된 변수에 기초하여 제어된 변수의 값을 결정하기 위해 사용되는 알고리즘; 각각의 제어된 변수의 범위의 정의; 각각의 제어된 변수의 단계 크기(step size)의 정의; 처리의 범위(얼마나 많은 트림(trim)이 수행될 수 있는가); 제어된 변수의 제어의 정확성, 제어된 변수의 정확성; 관측된 변수에서 잡음을 제거하기 위한 방법론; 그리고 필요하다면 처리 모델을 업데이트하기 위한 방법론이 있다.
도 1은 본 발명의 실시예에 따른 프로세싱 시스템의 예시적인 블록도를 도시한다. 도시된 실시예에서, 프로세싱 시스템(100)은 팩토리(factory) 시스템(110), 그 팩토리 시스템에 결합된 R2R(run-to-run) 제어기(120), 및 R2R 제어기에 결합된 툴 레벨 제어기를 포함한다. 추가적으로, GUI 구성요소(122)와 데이터베이스 구성요소(124)는 R2R 제어기(120)에 결합한 것으로 도시된다. 대안의 실시예에서, GUI 구성요소(122) 및/또는 데이터베이스 구성요소(124)는 요구되지 않는다. 또한, GUI 구성요소(132) 및 데이터베이스 구성요소(134)는 툴 레벨 제어기(130)에 결합한 것으로 도시된다. 대안의 실시예에서, GUI 구성요소(132) 및/또는 데이터베이스 구성요소(134)는 요구되지 않는다.
일부 설정 및/또는 구성 정보는 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)에 의하여 팩토리 시스템(110)으로부터 획득될 수 있다. 팩토리 레벨 사업 규칙은 제어 분류 단계를 확립하기 위하여 사용될 수 있다. 예를 들면, 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)는 독립적으로 작동할 수 있거나 또는 팩토리 시스템(110)에 의하여 어느 정도로 제어될 수 있다. 또한, 팩토리 레벨 사업 규칙은 처리가 휴지(pause) 또는 중단(stop)하는 시기 및 처리가 휴지 또는 중단할 때 수행되는 것을 결정하기 위하여 사용될 수 있다. 추가적으로, 팩토리 레벨 사업 규칙은 처리를 전환하는 시기 및 그 처리를 전환하는 방법을 결정하기 위하여 사용될 수 있다.
팩토리 시스템은 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)에 관련한 데이터베이스로부터 보고된 데이터를 사용하는 일부 시스템 처리를 감시할 수 있다. 팩토리 레벨 사업 규칙은 어느 처리가 감시되고 어느 데이터가 사용되는지를 결정하기 위하여 사용될 수 있다. 예를 들어, 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)는 독립적으로 데이터를 수집하거나 또는 그 데이터 수집 처리가 팩토리 시스템(110)에 의하여 어느 정도로 제어될 수 있다. 또한, 팩토리 레벨 사업 규칙은 처리가 전환, 휴지 및/또는 중단될 때 그 데이터를 관리하는 방법을 결정하기 위하여 사용될 수 있다.
또한, 팩토리 시스템(110)은 실행 시간 구성 정보를 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)에 제공할 수 있다. 예를 들어, 설정, 목표, 제한, 규칙 및 알고리즘은 실행 시간에 "APC 방법", "APC 시스템 규칙" 및 "APC 방법 변수"로서 팩토리으로부터 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)로 다운 로드될 수 있다.
일부 설정 및/또는 구성 정보가 APC 시스템에 의하여 최초로 구성될 때 일부 설정 및/또는 구성 정보는 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)에 의하여 결정될 수 있다. 시스템 레벨 사업 규칙(APC 시스템 규칙)은 제어 분류 단계를 확립하기 위하여 사용될 수 있다. 예를 들어, 툴 레벨 제어기(130) 및/또는 R2R 제어기(120)는 독립적으로 동작하거나 또는 툴 레벨 제어기(130)는 R2R 제어기(120)에 의하여 어느 정도로 제어될 수 있다. 또한, APC 시스템 규칙은 처리가 휴지 및/또는 중단되는 시기 및 처리가 휴지 및/또는 중단될 때 수행되는 것을 결정하기 위하여 사용될 수 있다. 추가적으로, APC 시스템 규칙은 처리를 전환하는 시기 및 그 처리를 전환하는 방법을 결정하기 위하여 사용될 수 있다. 더욱이, 툴 레벨 제어기(130)는 툴 레벨 규칙을 사용하여 일부 툴 레벨 동작을 제어할 수 있다.
일반적으로, 규칙은 시스템 및/또는 툴 동작으로 하여금 시스템의 동적인 상태에 기초하여 전환하도록 한다.
도 1에서, 하나의 R2R 제어기(120) 및 하나의 툴 레벨 제어기(130)가 도시되나, 이것은 본 발명에서 요구되지 않는다. 반도체 프로세싱 시스템은 프로세싱 툴과 관련된 임의의 수의 R2R 제어기 및 독립적인 처리 모듈을 갖는 임의의 수의 프로세싱 툴을 포함할 수 있다.
툴 레벨 제어기(130)는 프로세싱 툴과 관련한 임의의 수의 처리 모듈 및 독립적인 처리 모듈을 갖는 임의의 수의 프로세싱 툴을 구성하기 위하여 사용될 수 있다. 툴 레벨 제어기(130)는 프로세싱 툴, 처리 모듈 및 센서를 포함하는 처리들로부터 데이터를 수집, 제공, 처리, 저장 및 표시할 수 있다.
툴 레벨 제어기(130)는 적어도 하나의 툴 관련 애플리케이션, 적어도 하나의 모듈 관련 애플리케이션, 적어도 하나의 센서 관련 애플리케이션, 적어도 하나의 인터페이스 관련 애플리케이션, 적어도 하나의 데이터베이스 관련 애플리케이션, 적어도 하나의 GUI 관련 애플리케이션 및/또는 적어도 하나의 구성 애플리케이션을 포함하는 다수의 애플리케이션을 포함할 수 있다.
예를 들어, 툴 레벨 제어기(130) 및 R2R 제어기(120)는 단위 툴(Unity Tool), 텔리우스 툴(Telius Tool) 및/또는 트라이어스 툴(Trias Tool)과 그들의 관련된 처리 모듈을 포함할 수 있는 도쿄 일렉트론 리미티드(Tokyo Electron Limited)의 APC 시스템을 지원할 수 있다. 이와는 달리 툴 레벨 제어기(130)는 다른 처리 툴 및 다른 처리 모듈을 지원할 수 있다.
GUI 구성요소(132)는, 사용자가 툴 상태 및 처리 모듈 상태를 관찰하고; 선택된 웨이퍼에 대한 요약 및 처리전(raw)(추적(trace)) 변수(parameter) 데이터의 x-y 차트를 만들고 편집하며; 툴 알람 로그를 관찰하고; 데이터베이스에 또는 출력 파일에 데이터를 기록하기 위한 조건을 특정하는 데이터 수집 계획을 구성하고; 통계 처리 제어(statistical process control, SPC) 차팅, 모델링 및 스프레드 시트 프로그램에 파일을 입력하고; 특정 웨이퍼에 대한 웨이퍼 프로세싱 정보를 검사하고, 현재 데이터베이스에 저장되고 있는 데이터를 재검토하고; 처리 변수의 SPC 차트를 만들고 편집하며, 이메일 경고를 발생시키는 SPC 알람을 설정하고; 다변량 주 요 구성요소 분석(principal component analysis, PCA) 및/또는 부분 최소 제곱(partial least square, PLS) 모델을 실행하고; 그리고/또는 진단 화면을 관찰하여 툴 레벨 제어기(130)로 문제점을 처리하고 보고할 수 있는 인터페이스를 사용하기 쉽게 제공한다.
툴로부터의 처리전 데이터 및 추적 데이터는 데이터베이스(134)에서 파일로 저장될 수 있다. 데이터의 양은 처리가 수행되고 프로세싱 툴이 실행되는 주파수뿐만 아니라, 사용자에 의하여 구성된 데이터 수집 계획에 의존한다. 프로세싱 툴, 챔버(processing chamber), 센서, 그리고 작동 시스템으로부터 획득된 데이터는 테이블에 저장된다.
도 1에서 도시한 설명된 실시예에서, 하나의 클라이언트 워크스테이션(112)이 도시되지만 본 발명에 대하여 요구되지는 않는다. 일 실시예에서, 클라이언트 워크스테이션(112)은 사용자로 하여금 구성 절차를 수행하고; 툴, R2R 제어기, 처리 및 팩토리(factory) 상태를 포함하는 상태를 관찰하고; 현재 그리고 히스토리 데이터를 관찰하고; 모델링 및 차트 기능을 수행하고; 그리고/또는 데이터를 R2R 제어기에 입력하도록 한다. 예를 들어, 사용자는 그로 하여금 R2R 제어기에 의하여 수행된 하나 이상의 처리를 제어하도록 하는 관리 권한이 제공된다.
GUI 구성요소(122)는 R2R 제어기(120)와 사용자 사이의 상호작용의 수단을 제공한다. GUI가 시작될 때, 사용자 식별 및 암호를 확인하고 제1 레벨의 제l 보안을 제공하는 로그온 화면이 디스플레이될 수 있다. 사용자는 로그온하기 전에 보안 애플리케이션을 사용하여 등록될 수 있다. 사용자 식별의 데이터베이스 검사는 인 가 레벨을 지시하고, 그것은 이용가능한 GUI 기능을 능률적으로 할 것이다. 사용자가 인가되지 않는 선택 항목은 다르게 디스플레이될 수 있고 이용 불가능할 수 있다. 보안 시스템은 또한 사용자로 하여금 기존의 암호를 변경하게 한다. 예를 들어, 로그온 패널/화면은 넷스케이프(Netscape) 또는 인터넷 익스플로러(Explorer)와 같은 브라우저 툴로부터 공개될 수 있다. 사용자는 사용자 ID 및 암호를 로그온 영역에서 입력할 수 있다.
인가된 사용자 및 관리자는 GUI 패널/화면을 사용하여 R2R 제어기 구성 및 디폴트(default) 변수를 수정할 수 있다. 구성 데이터는 속성(attribute) 데이터베이스(124)에 저장될 수 있고 설치시 디폴트로 설정될 수 있다.
GUI 구성요소(122)는 R2R 제어기에 대한 현재 상태를 디스플레이하기 위한 상태 구성요소를 포함할 수 있다. 추가로, 상태 구성요소는 하나 이상의 다른 유형의 차트를 사용하여 시스템 관련 및 처리 관련 데이터를 사용자에게 나타내기 위한 차트 구성요소를 포함할 수 있다.
R2R 제어기(120)는 이러한 처리 전에 수행된 처리에 관련된 적어도 하나의 다른 R2R 제어기에 결합하기 위한 링크(126)와 이러한 처리 후에 수행되는 처리에 관련된 적어도 하나의 다른 R2R 제어기에 결합하기 위한 링크(128)를 포함한다. 링크(126) 및 링크(128)는 피드포워드 및/또는 피드백 정보에 사용될 수 있다.
R2R 제어기(120)는 팩토리 시스템(110)에 결합되고 E-진단 시스템(E-Diagnostic System)의 부분일 수 있다. R2R 제어기(120)는 정보를 팩토리 시스템과 교환할 수 있다. 추가로, 팩토리 시스템(110)은 명령을 송신하고 그리고/또는 R2R 제어기로의 정보를 무효로 할 수 있다. 예를 들어, 팩토리 시스템은 임의의 수의 처리 모듈, 툴, 그리고 측정 장치에 대한 방법을 다운 로드할 수 있는 R2R 제어기로, 각 방법에 대한 다양한 변수를 피드포워드할 수 있다. 다양한 변수는 로트(lot)에 의하여 조정할 수 있을 필요가 있는 툴 레벨 시스템에서 최종 CD 목표, 제한, 오프셋, 그리고 변수를 포함할 수 있다. 또한, 팩토리 리토 CD 도량형 데이터는 R2R 제어기(120)로 피드포워드될 수 있다.
더욱이, 고장 시스템이 사용되어 CD 스캐닝 전자 현미경(scanning electron microscope, SEM) 정보와 같은 측정 데이터를 R2R 제어기에 제공할 수 있다. 이와 달리, CD SEM 정보는 수동으로 제공될 수 있다. 조정 인자가 사용되어 통합 도량형(integrated metrology, IM)과 CD SEM 측정 사이의 임의의 오프셋에 대하여 조정한다. CD SEM 데이터의 수동 및 자동 입력은 R2R 제어기에서 피드백(FB) 제어 루프의 히스토리(history)로의 적절한 삽입을 위한, 날짜와 같은, 타임스탬프(timestamp)를 포함한다.
구성가능한 항목은 범용 장치 모듈/반도체 장치 통신 표준(general equipment module/semiconductor equipment communication standard, GEM SECS) 통신 프로토콜을 사용하는 팩토리 시스템으로부터 수신된 일련의 다양한 변수들로서 구성될 수 있다. 예를 들어, 다양한 변수들은 "APC 방법"의 부분으로서 전송될 수 있다. APC 방법은 하나 이상의 서브 방법을 포함할 수 있고 각 서브 방법은 다양한 변수들을 포함할 수 있다.
R2R 제어기(120)는 툴 레벨 제어기(130)에 결합된다. R2R 제어기(120)와 툴 레벨 제어기(130) 사이에서 제공된 정보는 피드백 및 피드포워드 데이터를 포함할 수 있다. 예를 들어, 내부 재설정 이벤트가 툴로부터 발생되고 있을 때, R2R 제어기(120)는 알람과 같은 메시지를 팩토리 시스템에 송신할 수 있다. 이것은 팩토리 시스템으로 하여금 주요한 변화(습기 청소 또는 부품 교체)가 발생한 후에 위태로운 웨이퍼의 숫자를 최소화하기 위해 필요한 변화를 하도록 한다.
도 2는 본 발명의 실시예에 따라 프로세싱 시스템의 더 상세한 블록 다이어그램을 도시한다. 도시된 실시예에서, 제1 측정 장치(210), 처리 모듈(220), 그리고 제2 측정 장치(230)를 포함하는 처리 툴(280)이 도시되지만, 이것은 본 발명에 대하여 요구되지 않는다. 이와 달리, 다른 구성이 사용될 수 있다.
R2R 제어기(290)가 또한 도 2에서 도시되지만, 이것은 본 발명에 대하여 요구되지 않는다. 이와는 달리, 추가 R2R 제어기가 사용될 수 있다. R2R 제어기(290)는 피드포워드(FF) 제어기(240), 처리 모델 제어기(250), FB 제어기(260), 그리고 처리 제어기(270)를 포함한다.
도시된 실시예에서, 시작 이벤트(205)는 제1 측정 장치(210)를 위한 입력을 제공한다. 제1 측정 장치(210)는 FF 제어기(240) 및 처리 모듈(220)에 결합된다. 처리 모듈(220)은 처리 모델 제어기(250) 및 제2 측정 장치(230)에 결합된다. 제2 측정 장치(230)는 FB 제어기(260)에 결합된다. FF 제어기(240) 및 FB 제어기(260)는 처리 모듈(220)에 결합된 처리 제어기(270)에 결합된다.
예를 들어, 시작 이벤트(205)는 이벤트에서 웨이퍼일 수 있고, 시작 이벤트는 인입(in-coming) 웨이퍼와 관련한 데이터를 포함할 수 있다. 이러한 데이터는 로트(lot)(lot) 데이터, 일괄처리(batch) 데이터, 실행 데이터, 구성(composition) 데이터 및 웨이퍼 히스토리 데이터를 포함할 수 있다. 이와는 달리, 시작 이벤트는 서로 다른 처리 관련 데이터일 수 있다.
제1 측정 장치(210)는 데이터의 제1 셋(set)을 FF 제어기로 제공할 수 있고, 데이터의 제2 셋을 처리 모듈(220)로 제공할 수 있다. 이와는 달리 데이터의 두 개의 셋은 동일한 데이터를 포함할 수 있다. 제1 측정 장치(210)는 하나의 측정 장치 또는 다수의 측정 장치를 포함할 수 있다. 제1 측정 장치(210)는 모듈 관련 측정 장치, 툴 관련 측정 장치, 및/또는 외부 측정 장치를 포함할 수 있다. 예를 들어, 데이터는 하나 이상의 처리 모듈에 결합된 센서와 프로세싱 툴에 결합된 센서로부터 획득될 수 있다. 추가로, 데이터는 SEM 툴 및 ODP(Optical Digital Profiling) 툴과 같은 외부 장치로부터 획득될 수 있다. ODP 툴은 반도체 장치에서 특징의 프로필을 측정하기 위한 특허 기술을 제공하는 팀버 테크놀로지 회사(Timbre Technologies Inc.)(TEL 회사)로부터 이용가능하다.
FF 제어기(240)는 일련의 처리 변수를 선택하거나 또는 계산하여 요구되는 결과를 달성하기 위하여 인입 물질의 측정된 임계 치수와 목표 임계 치수 사이의 차이를 사용할 수 있다. 예를 들어, 예측된 웨이퍼 상태가 결정될 수 있다.
FF 제어기(240)는 제1 측정 장치(210)로부터의 데이터의 제1 셋을 사용하여 처리 변수의 제1 셋을 예측한다. 이러한 예측된 처리 변수의 셋은 예상된 결과와 데이터의 제1 셋을 기초하여 사용하기 위하여 방법의 제1 산정일 수 있다. 제1 측정 장치(210)는 제1 상태를 갖는 웨이퍼를 설명하는 데이터의 제1 셋을 제공할 수 있다.
일 경우에서, FF 제어기(240)는 웨이퍼에 대한 제1 및 제2 상태를 알고, FF 제어기(240)는 웨이퍼에서 수행되어 제1 상태로부터 제2 상태로 웨이퍼를 변화시킬 수 있는 적어도 하나의 방법을 결정한다.
다른 경우에서, FF 제어기(240)는 웨이퍼에 대한 제1 및 제2 상태를 알고, FF 제어기(240)는 웨이퍼에서 수행되어 웨이퍼를 제1 상태로부터 제2 상태가 아닌 하나 이상의 다른 상태로 변화시킬 수 있는 적어도 하나의 방법들을 결정한다.
다른 경우에서, FF 제어기(240)는 웨이퍼에 대한 제1 및 제2 상태를 알고, FF 제어기(240)는 웨이퍼에서 수행되어 웨이퍼를 제1 상태로부터 제2 상태로 변화시킬 수 있는 일련의 방법들을 결정한다. 예를 들어, 이것은 처리가 다단계 처리일 때 발생할 수 있다.
FF 제어기(240)는 테이블 기반 및/또는 공식 기반 기술을 사용할 수 있고, 언제 기술들 사이에서 전환할지를 결정하기 위한 규칙은 입력 범위, 출력 범위, 웨이퍼 유형, 처리 유형, 모듈 유형, 툴 유형, 웨이퍼 상태, 및/또는 처리 상태 중 적어도 하나를 기초로 할 수 있다. 예를 들어, 방법은 테이블에 있을 수 있고, FF 제어기(240)는 테이블 검사를 하여 어느 방법 또는 방법들이 최상의 해결법을 제공하는지를 결정한다. 이와는 달리, 방법은 일련의 공식에 있을 수 있고, FF 제어기는 어느 방법 공식(recipe fomula) 또는 방법 공식들이 최상의 해결법을 제공하는지를 결정한다.
피드포워드 제어기(240)가 테이블 기반 기술을 사용하는 경우, 피드포워드 제어 변수가 구성가능하다. 예를 들어, 변수는 테이블에서 상수 또는 계수일 수 있다. 추가로, 다수의 테이블이 있을 수 있고, 언제 테이블들 사이에서 전환할지를 결정하기 위한 규칙은 입력 범위 또는 출력 범위를 기초로 할 수 있다.
피드포워드 제어기(240)가 공식 기반 제어를 사용하는 경우, 피드포워드 제어 변수가 구성가능하다. 예를 들어, 변수는 공식에서 상수 또는 계수일 수 있다. 추가로, 다수의 공식 조합이 있을 수 있고, 교환을 위한 규칙은 입력 범위 또는 출력 범위를 기초로 하여 달성될 수 있다.
FF 제어기(240)를 위한 시간 상수는 측정들 사이의 시간에 기초한다. 로트(lot)가 완료된 후 측정된 데이타가 이용가능한 경우, FF 제어기의 시간 상수는 로트(lot)들 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 측정된 데이터가 이용가능한 경우, FF 제어기의 시간 상수는 웨이퍼들 사이의 시간을 기초로 할 수 있다. 처리하는 동안 측정 데이터가 실시간 제공되는 경우, FF 제어기의 시간 상수는 웨이퍼 내에서 처리 단계에 기초할 수 있다. 웨이퍼가 처리되는 동안 또는 웨이퍼가 완료된 후 또는 로트(lot)이 완료된 후 측정된 데이터가 이용가능한 경우, FF 제어기(240)는 처리 단계들 사이, 웨이퍼들 사이, 및/또는 로트(lot)들 사이의 시간에 기초할 수 있는 다수의 시간 상수를 가질 수 있다.
하나 이상의 FF 제어기는 임의의 시점에서 작동하고 있을 수 있다. 예를 들어, 제2 FF 제어기가 감시 모드일 수 있는 동안 하나의 FF 제어기는 동작 모드일 수 있다. 추가로, 다른 FF 제어기는 시뮬레이션 모드일 수 있다. FF 제어기는 하나의 제어 루프 또는 다수의 제어 루프를 제공할 수 있고, 그 루프는 서로 다른 시간 상수를 가질 수 있다. 예를 들어, 제어 루프는 웨이퍼 타이밍, 로트(lot) 타이밍, 일괄처리 타이밍, 챔버(chamber) 타이밍, 툴 타이밍 및/또는 팩토리 타이밍에 의존할 수 있다.
FF 제어기(240)는 일 입력 일 출력(single input single output, SISO) 장치, 일 입력 다수 출력(SIMO) 장치, 다수 입력 일 출력(MISO) 장치, 그리고 다수 입력 다수 출력(MIMO) 장치로서 작동할 수 있다. 추가로, 입력 및 출력은 R2R 제어기 내에 그리고/또는 하나 이상의 R2R 제어기 사이에 있을 수 있다. 예를 들어, CD 및 측벽(sidewall) 각도와 같은 다수의 입력이 사용되고 있는 경우, 입력 및 출력은 두 개의 모듈(즉, CD 제어를 위한 것과 측벽 각도 제어를 위한 것) 사이에서 피드포워드되고 피드백될 수 있다. 추가로, 마스크 개방 제어기가 또한 사용될 수 있다. 다수 처리의 경우, 정보는 제1 R2R 제어기로부터 제2 R2R 제어기로 피드포워드될 수 있다.
처리 모듈(220)은 웨이퍼를 제1 상태로부터 제2 상태로 변화시키기 위한 수단을 제공한다. 예를 들어, 처리 모듈(220)은 에칭 모듈, 구성 모듈, 폴리슁(polishing) 모듈, 코팅 모듈, 발전 모듈, 정리(trimming) 모듈 및/또는 열처리 모듈 중 적어도 하나를 포함할 수 있다. 추가로, 처리 모듈(220)은 웨이퍼를 제1 상태로부터 제2 상태로 변화시키기 위하여 사용되었던 처리 특성을 포함하는 데이터를 제공할 수 있다.
프로세싱 툴 및/또는 처리 모듈이 데이터를 포함하는 경우, 이러한 데이터는 R2R 제어기로 송신될 수 있다. 예를 들어, 이러한 데이터는 툴 추적 데이터, 유지 데이터, 및/또는 종료 지점 결정(end point detection, EPD) 데이터를 포함할 수 있다. 추적 데이터는 처리에 관한 중요한 정보를 제공할 수 있다. 추적 데이터는 처리하는 동안 또는 웨이퍼의 처리가 완료된 후에 갱신되고 저장될 수 있다.
처리 모델 제어기(250)는 처리 특성 및 처리 모델에 기초하여 웨이퍼의 제2 상태를 예측한다. 예를 들어, 부식 속도(etch rate) 모델은 처리 시간과 함께 사용되어 에칭 깊이를 계산할 수 있고, 구성 속도 모델은 처리 시간과 함께 사용되어 구성 두께를 계산할 수 있다. 예를 들어, 모델은 SPC 차트, PLS 모델, PCA 모델, 오류 검출/보정(FDC) 모델, 그리고 다변량 분석(MVA) 모델을 포함할 수 있다. 추가로 모델링된 웨이퍼 상태가 결정될 수 있다.
R2R 제어기는 처리 모듈에서 처리 변수 제한을 위하여 외부에서 제공된 데이터를 수신하고 사용할 수 있다. 예를 들어, R2R 제어기 GUI 구성요소는 처리 변수 제한의 수동 입력을 위한 수단을 제공한다. 추가로, 팩토리 레벨 제어기는 각 처리 모듈을 위한 처리 변수에 대하여 제한을 제공할 수 있다.
R2R 제어기는 상업적으로 이용가능한 모델링 소프트웨어에 의하여 생성된 모델을 수신하고 실행할 수 있다. 예를 들어, R2R 제어기는 외부 애플리케이션에 의하여 생성되었고 제어기로 보내졌던 모델들(PLA, PCA 등)을 수신하고 실행할 수 있다.
제2 측정 장치(230)는 데이터의 제1 셋을 FB 제어기(260)로 제공할 수 있고, 데이터의 제2 셋을 웨이퍼 외부 이벤트(295)로 제공할 수 있다. 이와는 달리, 데이터의 두 개의 셋은 동일한 데이터를 포함할 수 있다. 제2 측정 장치(230)는 하나의 측정 장치 또는 다수의 측정 장치를 포함할 수 있다. 제2 측정 장치(230)는 처리 모듈 관련 측정 장치, 툴 관련 측정 장치, 및/또는 외부 측정 장치를 포함할 수 있다. 예를 들어, 데이터는 하나 이상의 처리 모듈에 결합된 센서와 프로세싱 툴에 결합된 센서로부터 획득될 수 있다. 추가로, 데이터는 SEM 툴, 광 송출 분광기(optical emission spectrometer, OES) 툴, 그리고 ODP(Optical Digital Profiling) 툴과 같은 외부 장치로부터 획득될 수 있다. ODP 툴은 반도체 장치에서 특성의 프로필을 측정하기 위하여 특허 기술을 제공하는 팀버 테크놀로지 회사(TEL 회사)에 대하여 이용가능하다.
FB 제어기(260)는 제2 측정 장치(230)로부터의 데이터의 제1 셋을 사용하여 처리 편차의 제1 셋을 계산한다. 이러한 처리 편차의 계산된 셋은 예측된 웨이퍼 상태 및 제2 측정 장치(230)로부터의 데이터의 제1 셋을 기초로 결정될 수 있다. 예를 들어, 측정된 웨이퍼 상태가 결정될 수 있다.
제2 측정 장치(230)는 제2 상태를 갖는 웨이퍼를 설명하는 데이터의 제1 셋을 제공할 수 있다. 일 경우에서, FB 제어기(260)는 웨이퍼에 대한 요구되는 상태 및 제2 상태를 인지하고, FB 제어기(260)는 요구되는 상태와 제2 상태 사이의 차이를 결정한다. 이러한 방법으로, 측정된 실제 처리 결과는 요구된 처리 결과와 비교되어 처리 방법에 대한 보정을 결정한다.
다른 경우에, FB 제어기(260)는 웨이퍼에 대한 요구된 상태와 제2 상태를 인지하고, FB 제어기(260)는 웨이퍼에서 수행되어 웨이퍼를 제2 상태로부터 요구되는 상태로 변화시킬 수 있는 일련의 보정을 결정한다. 예를 들어, 이것은 처리가 다단 계 처리인 경우 발생할 수 있다.
FB 제어기(260)는 테이블 기반 및/또는 공식 기반 기술을 사용할 수 있다. 예를 들어, 방법은 테이블에 있을 수 있고, FB 제어기(260)는 테이블 검사를 하여 어떤 보정 또는 보정들이 최상의 해결법을 제공하는지를 결정한다. 이와는 달리 보정들은 일련의 공식들을 사용하여 결정될 수 있고, FB 제어기(260)는 어떤 보정 공식 또는 보정 공식들이 최상의 해결법을 제공하는지를 결정한다.
FB 제어기(260)가 테이블 기반 기술을 사용하는 경우, 피드백 제어 변수가 구성가능하다. 예를 들어, 변수는 테이블에서 상수 또는 계수일 수 있다. 추가로, 다수의 테이블이 있을 수 있고, 규칙 기반 전환이 입력 범위 또는 출력 범위에 기초하여 달성될 수 있다.
FB 제어기(260)가 공식 기반 제어를 사용하는 경우, 피드백 제어 변수가 구성가능하다. 예를 들어, 변수는 공식에서 상수 또는 계수일 수 있다. 추가로, 다수의 공식 조합이 있을 수 있고, 규칙 기반 전환은 입력 범위 또는 출력 범위에 기초하여 달성될 수 있다.
일부의 경우에, FB 제어기(260)는 검사 테이블 또는 공식 방법을 사용하여 목표 CD를 조정할 필요가 없다. 예를 들어, 목표 CD에 제공된 팩토리과 필터링된 도량형 CD 사이의 간단한 델타가 보정으로서 적용될 수 있다.
FB 제어기(260)에 관한 시간 상수는 측정들 사이의 시간에 기초한다. 로트(lot)가 완료된 후 측정된 데이터가 이용가능한 경우, FB 제어기의 시간 상수는 로트(lot)들 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 측정된 데이터가 이 용가능한 경우, FB 제어기의 시간 상수는 웨이퍼 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 및 로트(lot)가 완료된 후 측정된 데이터가 이용가능한 경우, FB 제어기(260)는 웨이퍼들 사이 및 로트(lot)들 사이의 시간에 기초할 수 있는 다수의 시간 상수를 가질 수 있다.
하나 이상의 FB 제어기는 임의의 시점에서 작동하고 있을 수 있다. 예를 들어, 제2 FB 제어기가 감시 모드에 있을 수 있는 동안, 일 FB 제어기는 작동 모드에 있을 수 있다. 추가로, 다른 FB 제어기는 시뮬레이션 모드에서 작동하고 있을 수 있다. FB 제어기는 하나의 제어 루프 또는 다수의 제어 루프를 제공할 수 있고, 그 루프는 서로 다른 시간 상수를 가질 수 있다. 예를 들어, 루프는 웨이퍼 타이밍, 로트(lot) 타이밍, 일괄처리 타이밍, 챔버(chamber) 타이밍, 툴 타이밍, 및/또는 팩토리 타이밍에 의존할 수 있다.
모델 업데이트는 감시 웨이퍼를 실행하고, 그 결과를 설정하고 관찰하는 처리를 다양화하고, 그 후에 그 모델을 업데이트함으로써 일어날 수 있는 피드백의 다른 형태이다. 예를 들어 모델 업데이트는 감시 웨이퍼의 전후 필름 특성을 측정함으로써 매 80 프로세싱 시간마다 일어날 수 있다. 시간에 대하여 설정을 변화시켜 서로 다른 작동 영역을 검사함으로써 하나는 시간에 대한 완료 작동 공간을 확인하거나 또는 서로 다른 방법 설정으로 다수의 감시 웨이퍼를 즉시 실행할 수 있다. 모델 업데이트는 툴 또는 팩토리에서 R2R 제어기 내에서 일어날 수 있고, 팩토리 제어로 하여금 감시 웨이퍼 및 모델 업데이트를 관리하게 할 수 있다.
FB 제어기(260)는 SISO 장치, SIMO 장치, MISO 장치, 그리고 MIMO 장치로서 작동할 수 있다. 추가로, 입력 및 출력은 R2R 제어기 내 및/또는 하나 이상의 R2R 제어기 사이에 있을 수 있다. 예를 들어, CD 및 측벽 각도와 같은 다수의 입력이 사용되고 있는 경우, 입력 및 출력은 두 개의 모듈(즉, CD 제어에 관한 것과 측벽 각도 제어에 관한 것) 사이에서 피드포워드되고 피드백될 수 있다. 추가로, 마스크 개방 제어기는 또한 사용될 수 있다. 다수의 처리의 경우, 정보는 제1 R2R 제어기로부터 제2 R2R 제어기로 피드포워드될 수 있다.
처리 제어기(270)는 다음 웨이퍼에 관하여 업데이트된 방법을 계산한다. 일 경우, 처리 제어기(270)는 FF 제어기(240)로부터의 피드포워드 정보, 모델 제어기(250)로부터의 모델링 정보, 그리고 FB 제어기(260)로부터의 피드백 정보를 사용하여 현재 웨이퍼를 실행하기 전에 현재 방법을 변화시킬 것인지 여부를 결정한다. 다른 경우, 처리 제어기(270)는 FF 제어기(240)로부터의 피드포워드 정보, 모델 제어기(250)로부터의 모델링 정보, 그리고 FB 제어기(260)로부터의 피드백 정보를 사용하여 다음 웨이퍼를 실행하기 전에 현재 방법을 변화시킬 것인지 여부를 결정한다.
처리 제어기(270)는 테이블 기반 및/또는 공식 기반 기술을 사용할 수 있고, 규칙 기반 방법(method)을 사용하여 어떤 기술을 사용할 것인지를 결정할 수 있다. 예를 들어 방법(recipe)은 테이블에 있을 수 있고, 처리 제어기(270)는 테이블 검사를 하여 어떤 방법 또는 방법들이 최상의 해결법을 제공하는지를 결정한다. 이와는 달리, 방법은 일련의 공식을 사용하여 결정될 수 있고, 처리 제어기(270)는 어떤 방법 공식(recipe fomula) 또는 방법 공식들이 최상의 해결법을 제공하는지를 결정한다.
처리 제어기(270)가 테이블 기반 기술을 사용하는 경우, 제어 변수가 구성가능하다. 예를 들어, 변수는 테이블에서 상수 또는 계수일 수 있다. 추가로 다수의 테이블이 있을 수 있고, 전환을 위한 규칙은 입력 범위 또는 출력 범위에 기초하여 달성될 수 있다.
처리 제어기(270)가 공식 기반 제어를 사용하는 경우, 제어 변수가 구성가능하다. 예를 들어, 변수는 공식에서 상수 또는 계수일 수 있다. 추가로, 다수의 공식 조합이 있을 수 있고, 전환을 위한 규칙은 입력 범위 또는 출력 범위에 기초하여 달성될 수 있다. 처리 제어기(270)를 위한 시간 상수는 측정들 사이의 시간에 기초한다. 로트(lot)가 완료된 후 측정된 데이터가 이용가능한 경우, 처리 제어기의 시간 상수는 로트(lot)들 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 측정된 데이터가 이용가능한 경우, 처리 제어기의 시간 상수는 웨이퍼들 사이의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 그리고 로트(lot)가 완료된 후 측정된 데이터가 이용가능한 경우, 처리 제어기(270)는 웨이퍼들 사이 및 로트(lot)들 사이의 시간에 기초할 수 있는 다수의 시간 상수를 가질 수 있다.
하나 이상의 처리 제어기는 임의의 시점에서 작동하고 있을 수 있다. 예를 들어, 제2 처리 제어기가 감시 모드에 있을 수 있는 동안, 일 처리 제어기는 작동 모드에 있을 수 있다. 추가로 다른 처리 제어기가 시뮬레이션 모드에서 작동하고 있을 수 있다. 처리 제어기는 하나의 제어 루프 또는 다수의 제어 루프를 제공할 수 있고, 그 루프는 서로 다른 시간 상수를 가질 수 있다. 예를 들어, 루프는 웨이 퍼 타이밍, 로트(lot) 타이밍, 일괄처리 타이밍, 챔버(chamber) 타이밍, 툴 타이밍, 그리고/또는 팩토리 타이밍에 의존할 수 있다.
처리 제어기는 SISO 장치, SIMO 장치, MISO 장치, 그리고 MIMO 장치로서 작동할 수 있다. 추가로, 입력 및 출력은 R2R 제어기 내 및/또는 하나 이상의 R2R 제어기 사이에 있을 수 있다. 예를 들어, CD 및 측벽 각도와 같은 다수의 입력이 사용되고 있는 경우, 입력 및 출력은 두 개의 모듈(즉, CD 제어에 관한 것과 측벽 각도 제어에 관한 것) 사이에서 피드포워드되고 피드백될 수 있다. 추가로, 마스크 개방 제어기가 또한 사용될 수 있다. 다수의 처리의 경우, 정보가 제1 R2R 제어기로부터 제2 R2R 제어기로 피드포워드될 수 있다.
R2R 제어기(290)는 도량형 데이터를 필터링하기 위하여 하나 이상의 필터(도시 안됨)를 포함하여 무작위 잡음을 제거할 수 있다. 예를 들어, 필터는 제어기의 입력 또는 출력에 적용될 수 있다. 일 경우에서, 필터는 인입 변수에 적용하여 제어의 방법에 관계없이(즉, 검사 테이블 또는 공식을 사용하는 것에 독립하여) 필터링을 허용할 수 있다. 이것은 또한 제어기가 작은 단계에서 흐름 속도(rate)를 변화시키고, 그 후에 압력에서 변화가 있게 하고 흐름 속도 변화를 단계적으로 밟아가는 것과 같이 제어의 범위를 넘는 출력 변수를 변화시키도록 할 수 있다.
특이 값(outlier) 필터가 사용되어 정적으로 유효하지 않고 웨이퍼 측정에 의하여 고려되지 않는 특이 값을 제거할 수 있다. 특이 값 필터가 사용되어 평균으로부터 높고 낮은 특이 값 모두를 제거할 수 있다. 예를 들어, 박스 및 위스커(whisker) 방법은 사이트 도량형 데이터에 적용될 수 있다. 이러한 방법은 효과적 이고, 절대적 제한 없이 유지하기에 간단하고, 필터링 제한의 일 셋으로 하여금 인입 CD 평균 데이터의 다양한 셋에 적용되게 하고(그 목표는 필터 제한에 영향을 주지않고 변화할 수 있음), 시각화를 간단하게 한다. 특이 값 필터로 추가 규칙은 유지될 수 있다(정적으로 웨이퍼를 나타내는 웨이퍼에서의 최소의 수의 지점 및 로트(lot)를 나타내는 웨이퍼의 최소의 수).
잡음 필터가 사용되어 무작위 잡음을 제거하고 제어 루프를 안정화시킬 수 있고, EWMA(Exponentially Weighed Moving Average) 또는 칼만 필터(Kalman filter)가 적용될 수 있다. 필터가 사용되는 경우, 필터 시간 상수가 설정되어야만 한다(EWMA의 경우, 람다(Lambda)가 시간 상수임). 예를 들어, EWMA 계산은 매번 완료 히스토리를 사용하여 이루어져서 프로세싱 날짜 및 시간에 의하여 명령에서 벗어나 추가된 데이터 지점을 포착할 수 있다.
R2R 제어기는 피드포워드 데이터를 수신하고 사용할 수 있다 예를 들어, R2R 제어기는 처리되기 위한 인입 물질 및 요구되는 처리 결과(목표 CD)에 관한 정보를 수신할 수 있고, R2R 제어기는 일련의 방법 변수를 제공하여 요구되는 처리 결과를 달성할 수 있다. R2R 제어기는 피드백 데이터를 수신하고 사용할 수 있다. 예를 들어 R2R 제어기는 이미 처리된 물질에 관한 정보를 수신하고 이러한 데이터를 기초로 처리 모델을 조정할 수 있다. R2R 제어기는 지연된 피드백 데이터를 수신하고 사용할 수 있다. 예를 들어, R2R 제어기는 이미 처리된 물질에 관한 정보를 수신할 수 있고, 그 데이터가 그것이 툴에 의하여 처리되었던 명령에서 수신되지 않음에도 불구하고 이러한 데이터를 기초로 처리 모델을 조정할 수 있다. R2R 제어기는 제어 기를 구성하고 제어하기 위하여 수동으로 입력된 데이터를 수신하고 사용할 수 있다. 예를 들어, R2R 제어기 GUI 구성요소는 제어기 구성 정보의 수동 입력을 위한 수단을 제공한다.
R2R 제어기는 예외 조건의 통지를 송신하고 수신할 수 있다. 예를 들어, R2R 제어기는 고장 레벨 제어기 또는 툴 레벨 제어기로 그리고 그로부터 통지를 송신하고 수신할 수 있다. 추가로, 통지는 예외 조건의 식별 후에 e-진단 네트워크, 이메일 또는 호출기를 경유하여 송신될 수 있다.
R2R 제어기는 시뮬레이션 된 모드에서 실행할 수 있다. 예를 들어, R2R 제어기는 실제 처리 모드와 병행하여 시뮬레이션 모드에서 작동할 수 있다. 이러한 경우, 시뮬레이션 된 행동은 R2R 제어기 로그 및 히스토리 데이터베이스에 기록될 수 있고, 즉시 행동이 취해지지 않는다.
처리 모델은 가스 흐름 속도에 대한 입력 변수를 제공할 뿐만 아니라 가스 흐름 속도 비율에 대한 입력 변수를 제공한다. 예를 들어, R2R 제어기는 가스 흐름 비율을 계산하고 확립하며 결합된 가스의 전체 흐름을 조정한다.
R2R 제어기는 인입 물질 상황에 기초하여 처리 모델을 선택할 수 있다. 예를 들어, R2R 제어기는 인입 물질 상태 및 처리 방법에 기초하여 처리 모델을 선택할 수 있다. R2R 제어기는 시스템이 유효한 R2R 설정을 계산할 수 있는 것을 확인하기 위한 수단을 포함할 수 있다. 예를 들어, R2R 제어기는 로트(lot) 시작 전에 방법 변수 설정을 확인하기 위한 수단을 포함할 수 있다. R2R 제어기는 방법 설정 지점의 디폴트 설정을 사용하기 위한 수단을 포함할 수 있다. 예를 들어, R2R 제어기가 특정 웨이퍼를 위한 방법 변수를 제공할 수 없는 경우, "명목상의" 방법에서의 방법 변수가 사용될 수 있다.
R2R 제어기는 입력 및 출력 데이터를 저장하기 위한 데이터베이스 구성요소를 포함할 수 있다. 예를 들어 R2R 제어기는 수신된 입력, 송신된 출력, 그리고 검색가능한 데이터 베이스에서 제어기에 의하여 취해진 행동을 저장할 수 있다. 추가로, R2R 제어기는 데이터 백업 및 복구를 위한 수단을 포함할 수 있다. 또한, 검색가능한 데이터베이스는 모델 정보, 구성 정보, 그리고 히스토리 정보를 포함할 수 있고 R2R 제어기는 히스토리 및 현재의 모델 정보 및 모델 구성 정보를 백업하고 복구하기 위하여 데이터베이스 구성요소를 사용할 수 있다.
R2R 제어기는 사용자 인터페이스 기반의 웹을 포함할 수 있다. 예를 들어, R2R 제어기는 데이터베이스에서 데이터를 보기 위한 GUI 구성요소가 가능한 웹을 포함할 수 있다.
R2R 제어기는 보안 관리자에 의하여 허가된 승인에 의존하여 접속의 다중 레벨을 제공할 수 있는 보안 구성요소를 포함할 수 있다.
R2R 제어기는 설치시에 제공되는 일련의 디폴트 모델을 포함하고, 디폴트 조건에 재설정할 수 있는 능력을 갖는다.
R2R 제어기는 예외의 성질에 의존하여 다양한 행동을 취할 수 있다. 예를 들어, 예외 조건은 측정된 데이터를 잃는 것, 목표 CD를 잃는 것, 도량형 오류, 초과된 방법 변수 제한, 초과된 처리 모듈 변수 제한, 그리고 명령을 벗어나 수신된 피드백 이벤트를 포함할 수 있다.
R2R 제어기 입력은 지시, 기판(substrate) 상태, 모듈 물리적 상태, 처리 상태, 그리고 제어기 변수를 포함할 수 있다. 추가로, R2R 제어기 입력은 피드포워드/피드백 루프에 대한 시간 상수, 축적을 위한 재설정 이벤트, IM 단계, 그리고 ODP 오프셋을 포함할 수 있다. 지시는 목표, 허용 값, 계산 명령, 데이터 수집 계획, 알고리즘, 모델, 계수, 그리고 방법을 포함할 수 있다. 기판 상태는 처리되고 있는 기판으로부터의 정보(사이트, 웨이퍼, 로트(lot), 일괄처리(batch) 상태), 프로필, 그리고 물리적 또는 전기적으로 측정된 특성을 포함할 수 있다. 모듈 물리적 상태는 현재의 또는 이전의 알려진 기록된 모듈의 상태 및 기판을 처리하기 위하여 사용될 구성요소 - RF 시간, 웨이퍼의 수, 소모품 상태를 포함할 수 있다. 처리 상태는 현재 또는 이전에 알려진 프로세싱 환경의 센서로부터의, 추적 데이터를 포함하는 측정된 상태, 그리고 요약 통계를 포함할 수 있다. 제어기 변수는 기판 상태, 모듈 물리적 상태, 그리고 처리 상태를 생성했던 방법/제어기 설정 지점 및 처리 목표에 대한 마지막 설정들을 포함할 수 있다.
R2R 제어기 출력은 다음의 항목, 즉 유도된 변수, 설정, 이벤트 또는 메시지, 간섭, 유도된 상황, 로그 메시지, 그리고 히스토리를 포함할 수 있다. 예를 들어, 분석을 위하여 오프라인 시스템으로 송신된 데이터이다. 유도된 변수는 제어기, 처리, 물질, 또는 장치의 상태를 나타낼 수 있는 제어기에 의하여 발생되는 정보를 포함할 수 있다. 설정은 R2R 제어기에 의하여 계산되고 실행시간(rumtime)에 툴로 전형적으로 다운 로드되는 처리 툴 변수를 포함할 수 있다. 예를 들어, 이러한 변수들은 단계에 의한 시간, 압력, 온도, 가스 흐름, 및/또는 파워를 포함할 수 있다. 이벤트 또는 메세지는, 예외가 제어되고 있는 시스템에서 발생하고 있는 정보 지시를 포함할 수 있다. 간섭은 분석 결과에 기초하여 R2R 제어기에 의하여 추천된(또는 취해진) 행동에 관련한 정보를 포함할 수 있다. 유도된 상황은 R2R 제어기에 의하여 유도된 상황 정보를 포함할 수 있다. 로그 메세지는 R2R 제어기의 활동을 설명하는 텍스트 메시지일 수 있다. 히스토리 항목은 결정 지원 시스템(decision support system, DSS) 유형의 분석에 대하여 오프라인 시스템으로 송신되는 데이터를 포함할 수 있다.
R2R 제어기는 다수의 제어기 애플리케이션을 지원하는 적어도 하나의 컴퓨터 및 소프트웨어를 포함할 수 있다. R2R 제어기는 데이터를 저장하는 적어도 하나의 저장 장치를 포함할 수 있다. 예를 들어, 적어도 하나의 컴퓨터는 동경 일렉트론의 인제니오(Ingenio) 소프트웨어와 같은 선택적인 소프트웨어를 포함할 수 있다. 일 경우에서, 선택적인 소프트웨어는 구성 수단, 데이터 관리 수단, GUI 수단, 결점 관리 수단, 및 문제 해결(trouble-shooting) 수단 중 적어도 하나를 포함한다. 또한, 구성 GUI 화면은 컴퓨터와 프로세싱 요소 사이에서 인터페이스를 구성하고 프로세싱 요소(즉, 툴, 모듈, 센서 등)에 대한 장치 유형을 결정하기 위하여 사용될 수 있고, 데이터 관리 GUI 화면은 수집하는 데이터의 양 및 유형을 결정하고 수집된 데이터를 어떻게 그리고 어디에 저장할 것인지를 결정하기 위하여 사용될 수 있다. 더욱이, 결점 관리 GUI 화면은 사용자에게 결점 조건에 관하여 알려주기 위하여 사용될 수 있다.
도 3은 본 발명의 실시예에 관하여 R2R 제어기를 작동시키는 방법에 관한 흐 름도를 도시한다. 절차(300)는 310에서 시작한다. 예를 들어, R2R 제어기는 적어도 하나의 프로세싱 모듈 및 적어도 하나의 측정 장치를 포함할 수 있는 적어도 하나의 프로세싱 툴에 결합될 수 있다. 예를 들어, R2R 제어기는 동경 일렉트론에 의하여 제공될 수 있고, 그 프로세싱 툴은 동경 일렉트론으로부터의 것과 같은 클러스터 툴을 포함할 수 있다. 또한, R2R 제어기는 선택적 소프트웨어를 포함하는 컴퓨터, R2R 제어기에 결합된 데이터베이스, 그리고 R2R 제어기에 결합된 GUI를 포함할 수 있다. 선택적 소프트웨어는 APC 방법을 제어 작업에 관련시킬 수 있고, 서브 방법은 APC 방법 내에서 제공된다. APC 방법 및 서브 방법은 SEMI 포맷된 방법 표준을 따른다. 포맷된 방법은 팩토리 시스템의 방법 편집기(editor)와 호환될 수 있다.
315에서, R2R 제어기는 인입 물질(처리 전)을 설명하는 측정된 데이터의 제1 셋을 수신할 수 있다. 예를 들어, 측정된 데이터의 제1 셋은 ODP 툴과 같은 제1 측정 장치로부터일 수 있다. 추가로, 데이터의 제1 셋은 SEM 데이터 및 광 데이터(optical data)를 포함할 수 있다. 또한, 데이터의 제1 셋은 물리적 데이터, 전기적 데이터, 그리고 프로세싱 데이터를 포함하는 웨이퍼 데이터를 포함할 수 있다.
320에서, R2R 제어기에서, FF 제어기는 방법 선택을 하고 그 선택을 처리 제어기로 피드포워드한다. FF 제어기는 최상의 방법을 결정하기 위한 테이블 기반 및/또는 공식 기반 기술을 사용할 수 있다. FF 제어기는 처리되는 인입 물질 및 요구되는 처리 결과에 관한 정보를 수신하고, FF 제어기는 요구되는 처리 결과를 달성할, 그것이 결정하는 적어도 하나의 방법 선택을 제공한다. FF 제어기는 수신된 정 보에 제한를 제공할 수 있고 요구되는 처리 결과 주위에 윈도(window)를 제공할 수 있다. FF 제어기는 선택 처리에서 현재 방법 및 명목상의 방법을 포함할 수 있다.
325에서, R2R 제어기는 처리 데이터를 수신할 수 있다. 예를 들어, 처리 데이터는 프로세싱 툴, 처리 모듈(챔버(chamber)), 및/또는 처리 센서로부터일 수 있다.
330에서, R2R 제어기에서, 모델 제어기는 인입 웨이퍼 상태에 기초하여 그리고 일 처리 모델에서 일련의 결과를 결정한다. 예를 들어, 처리 모델은 처리 모듈(챔버(chamber))의 현재 상태에 기초할 수 있다.
335에서, R2R 제어기는 발신(out-going) 물질(처리 후)을 설명하는 측정된 데이터의 제2 셋을 수신할 수 있다. 예를 들어, 측정된 데이터의 제2 셋은 ODP 툴과 같은 제2 측정 장치로부터일 수 있다. 추가로, 데이터의 제2 셋은 SEM 데이터 및 광학 데이터를 포함할 수 있다. 또한, 데이터의 제2 셋은 물리적 데이터, 전기적 데이터, 및 프로세싱 데이터를 포함하는 웨이퍼 데이터를 포함할 수 있다.
340에서, R2R 제어기에서 FB 제어기는 측정된 데이터의 제2 셋에 기초하여 일련의 보정을 결정한다. FB 제어기는 테이블 기반 및/또는 공식 기반 기술을 사용하여 보정을 결정할 수 있다. FB 제어기는 발신 물질(처리 후) 및 요구되는 처리 결과에 관한 정보를 수신하고, FB 제어기는 달성된 결과(처리 후)와 요구되는 처리 결과 사이의 차이를 나타내는, 그것이 결정하는 적어도 하나의 보정을 제공한다. FB 제어기는 수신된 정보에 제한를 적용할 수 있고 보정 주위에 윈도우즈를 제공할 수 있다. FB 제어기는 현재 데이터, 지연 데이터, 및/또는 히스토리 데이터를 포함 하여 보정을 결정할 수 있다.
345에서, R2R 제어기에서, 처리 제어기는 업데이트된 처리 방법을 계산한다. 처리 제어기는 FF 제어기, 모델 제어기, 및 FB 제어기로부터의 결과를 사용하여 업데이트된 방법을 계산한다 처리 제어기는 테이블 기반 및/또는 공식 기반 기술을 사용하여 업데이트된 방법을 결정할 수 있다. 처리 제어기는 인입 물질(처리 전)에 관한 정보를 수신하고, 발신 물질(처리 후)에 관한 정보를 수신하고, 모델링 정보를 수신하고, 프로세싱 데이터를 수신하고, 그리고 요구되는 처리 결과를 수신한다. 처리 제어기는 달성된 결과(처리 후)와 요구되는 처리 결과 사이의 차이에 대하여 보정할, 그것이 결정하는 적어도 하나의 업데이터 방법을 제공한다. 처리 제어기는 수신된 정보에 제한을 적용하고 업데이트 방법 변수 주위에 윈도(window)를 제공할 수 있다. 처리 제어기는 현재 데이터, 지연된 데이터, 및/또는 히스토리 데이터를 포함하여 업데이트된 방법을 결정할 수 있다. 350에서, 처리가 종결된다.
본 발명의 다수의 수정 및 변형은 상기 설명의 범위에서 가능하다. 그러므로 첨부된 청구항의 범위 내에서, 본 발명은 여기서 특별히 설명된 것 이외의 것으로 실행될 수 있다.

Claims (9)

  1. 반도체 프로세싱 시스템을 작동시키는 방법으로서,
    웨이퍼에 대한 제1 상태를 결정하는 단계;
    상기 웨이퍼에 대한 제2 상태를 결정하는 단계;
    상기 웨이퍼의 상태를 상기 제1 상태로부터 상기 제2 상태로 변화시키기 위한 처리 방법을 결정하는 단계;
    상기 웨이퍼에서 상기 처리 방법을 수행하는 단계에서, 상기 웨이퍼의 상태는 상기 제1 상태로부터 처리된 상태로 변화하는 것인 수행 단계;
    상기 처리된 상태가 상기 제2 상태가 아닌 시기를 결정하는 단계; 및
    상기 처리 방법을 업데이트하는 단계
    를 포함하는 반도체 프로세싱 시스템을 작동시키는 방법.
  2. 제1항에 있어서, 상기 제1 상태를 결정하는 단계는 광학적 특성, 전기적 특성, 및 물리적 특성 중 적어도 하나를 측정하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  3. 제1항에 있어서, 상기 제1 상태를 결정하는 단계는 광학적 데이터, 전기적 데이터, 및 물리적 데이터 중 적어도 하나를 수신하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  4. 제1항에 있어서, 상기 제2 상태를 결정하는 단계는 광학적 특성, 전기적 특성, 및 물리적 특성 중 적어도 하나를 측정하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  5. 제1항에 있어서, 웨이퍼에 대한 상기 제2 상태를 결정하는 단계는 광학적 데이터, 전기적 데이터, 및 물리적 데이터 중 적어도 하나를 수신하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  6. 제1항에 있어서, 상기 처리 방법을 결정하는 단계는 상기 웨이퍼의 상기 제1 및 상기 제2 상태에 기초하여 적어도 하나의 처리 방법을 피드포워드하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  7. 제1항에 있어서, 상기 처리 방법을 결정하는 단계는 상기 처리 조건에 기초하여 상기 웨이퍼의 상기 제1 상태 및 처리 모델을 사용하여 상기 제2 상태를 예측하는 것을 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  8. 제1항에 있어서,
    상기 처리된 상태 및 상기 제2 상태 사이의 차이를 결정하는 단계; 및
    상기 차이를 피드백하는 단계
    를 더 포함하는 것인 반도체 프로세싱 시스템을 작동시키는 방법.
  9. 반도체 프로세싱 시스템을 작동시키는 방법으로서,
    웨이퍼에 대한 제1 상태를 결정하는 단계;
    상기 웨이퍼에 대하여 제2 상태를 결정하는 단계;
    상기 웨이퍼에 대하여 예측된 상태를 결정하는 단계에서, 예측된 처리 방법을 이용하여 상기 웨이퍼의 상태를 상기 제1 상태로부터 상기 예측된 상태로 변화시키는 것인 결정 단계;
    상기 웨이퍼에 대하여 측정된 상태를 결정하는 단계; 및
    상기 제1 상태, 상기 예측된 상태, 상기 모델링된 상태, 및 상기 측정된 상태를 사용하여 상기 웨이퍼 상태를 상기 제2 상태로 변화시키기 위한 방법을 결정하는 단계
    를 포함하는 반도체 프로세싱 시스템을 작동시키는 방법
KR1020057025282A 2003-06-30 2004-05-24 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법 KR101032931B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/609,129 2003-06-30
US10/609,129 US7158851B2 (en) 2003-06-30 2003-06-30 Feedforward, feedback wafer to wafer control method for an etch process

Publications (2)

Publication Number Publication Date
KR20060026902A true KR20060026902A (ko) 2006-03-24
KR101032931B1 KR101032931B1 (ko) 2011-05-06

Family

ID=33540772

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057025282A KR101032931B1 (ko) 2003-06-30 2004-05-24 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법

Country Status (6)

Country Link
US (1) US7158851B2 (ko)
JP (1) JP2007521652A (ko)
KR (1) KR101032931B1 (ko)
CN (1) CN1816905A (ko)
TW (1) TW200500920A (ko)
WO (1) WO2005006411A2 (ko)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
JP2004047885A (ja) * 2002-07-15 2004-02-12 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム及びモニタリング方法
US20050004780A1 (en) * 2003-07-03 2005-01-06 Taiwan Semiconductor Manufacturing Co., Ltd Virtual assistant for semiconductor tool maintenance
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7355470B2 (en) 2006-04-24 2008-04-08 Parkervision, Inc. Systems and methods of RF power transmission, modulation, and amplification, including embodiments for amplifier class transitioning
US7327803B2 (en) 2004-10-22 2008-02-05 Parkervision, Inc. Systems and methods for vector power amplification
US7477991B2 (en) * 2005-03-12 2009-01-13 Bushnell Performance Optics GPS device and method for reducing light emitted by display
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7911272B2 (en) 2007-06-19 2011-03-22 Parkervision, Inc. Systems and methods of RF power transmission, modulation, and amplification, including blended control embodiments
US8013675B2 (en) 2007-06-19 2011-09-06 Parkervision, Inc. Combiner-less multiple input single output (MISO) amplification with blended control
US7620460B2 (en) * 2005-10-25 2009-11-17 Fisher-Rosemount Systems, Inc. Process control with unreliable communications
US7587252B2 (en) * 2005-10-25 2009-09-08 Fisher-Rosemount Systems, Inc. Non-periodic control communications in wireless and other process control systems
US8719327B2 (en) * 2005-10-25 2014-05-06 Fisher-Rosemount Systems, Inc. Wireless communication of process measurements
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
US7305320B2 (en) * 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
JP2007250748A (ja) * 2006-03-15 2007-09-27 Omron Corp プロセス異常分析装置および方法並びにプログラム
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7542880B2 (en) * 2006-04-06 2009-06-02 Advanced Micro Devices, Inc. Time weighted moving average filter
US8031804B2 (en) * 2006-04-24 2011-10-04 Parkervision, Inc. Systems and methods of RF tower transmission, modulation, and amplification, including embodiments for compensating for waveform distortion
US8055370B1 (en) 2006-06-23 2011-11-08 Novellus Systems, Inc. Apparatus and methods for monitoring health of semiconductor process systems
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US7477948B1 (en) 2006-11-06 2009-01-13 Novellus Systems, Inc. Apparatus and methods for precompiling program sequences for wafer processing
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
WO2008137544A1 (en) * 2007-05-02 2008-11-13 Mks Instruments, Inc. Automated model building and model updating
WO2008144017A1 (en) 2007-05-18 2008-11-27 Parkervision, Inc. Systems and methods of rf power transmission, modulation, and amplification
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
CN101329986B (zh) * 2007-06-21 2011-08-17 中芯国际集成电路制造(上海)有限公司 刻蚀方法
WO2009005768A1 (en) 2007-06-28 2009-01-08 Parkervision, Inc. Systems and methods of rf power transmission, modulation, and amplification
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
CN101572218B (zh) * 2008-04-28 2011-03-23 中芯国际集成电路制造(北京)有限公司 半导体器件及栅极的形成方法
WO2009145887A1 (en) 2008-05-27 2009-12-03 Parkervision, Inc. Systems and methods of rf power transmission, modulation, and amplification
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US8406911B2 (en) 2010-07-16 2013-03-26 HGST Netherlands B.V. Implementing sequential segmented interleaving algorithm for enhanced process control
KR20140026458A (ko) 2011-04-08 2014-03-05 파커비전, 인크. Rf 전력 송신, 변조 및 증폭 시스템들 및 방법들
KR20140034895A (ko) 2011-06-02 2014-03-20 파커비전, 인크. 안테나 제어
US10047439B2 (en) * 2011-12-08 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tool condition monitoring based on a simulated inline measurement
US11199824B2 (en) 2012-01-17 2021-12-14 Fisher-Rosemount Systems, Inc. Reducing controller updates in a control loop
US10423127B2 (en) 2012-01-17 2019-09-24 Fisher-Rosemount Systems, Inc. Velocity based control in a non-periodically updated controller
US9298176B2 (en) 2012-01-17 2016-03-29 Fisher-Rosemount Systems, Inc. Compensating for setpoint changes in a non-periodically updated controller
CN103681250B (zh) * 2012-09-17 2016-08-17 上海华虹宏力半导体制造有限公司 两次刻蚀成型图形的关键尺寸的控制方法
US10229843B2 (en) * 2012-10-31 2019-03-12 Tokyo Electron Limited Substrate processing apparatus and control device for substrate processing apparatus
US8892237B2 (en) * 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
JP6267881B2 (ja) * 2013-07-03 2018-01-24 東京エレクトロン株式会社 基板処理方法及び制御装置
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
WO2015042142A1 (en) 2013-09-17 2015-03-26 Parkervision, Inc. Method, apparatus and system for rendering an information bearing function of time
JP6355416B2 (ja) * 2014-05-09 2018-07-11 パナソニック株式会社 ガス遮断装置
JP6805028B2 (ja) * 2017-03-07 2020-12-23 東京エレクトロン株式会社 液滴吐出装置、液滴吐出方法、プログラム及びコンピュータ記憶媒体
WO2020109945A1 (fr) 2018-11-26 2020-06-04 Braincube Procede de regulation d'un processus industriel
FR3094504A1 (fr) * 2019-03-29 2020-10-02 Braincube Appareil numérique de régulation d’un processus industriel avec contexte
FR3089024A1 (fr) * 2018-11-26 2020-05-29 Braincube Appareil numérique de régulation d’un processus industriel
JP7094377B2 (ja) 2019-12-23 2022-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502771A (ja) 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
GB2393852B (en) * 2001-02-14 2005-04-13 Advanced Micro Devices Inc Method and apparatus for controlling etch selectivity
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
AU2002341677A1 (en) 2001-09-18 2003-04-01 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US6725098B2 (en) * 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods

Also Published As

Publication number Publication date
US20040267399A1 (en) 2004-12-30
WO2005006411A3 (en) 2005-03-31
CN1816905A (zh) 2006-08-09
KR101032931B1 (ko) 2011-05-06
TW200500920A (en) 2005-01-01
JP2007521652A (ja) 2007-08-02
WO2005006411A2 (en) 2005-01-20
US7158851B2 (en) 2007-01-02

Similar Documents

Publication Publication Date Title
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101186694B1 (ko) 기판 처리 방법
KR101108856B1 (ko) 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
Mozumder et al. Statistical feedback control of a plasma etch process
WO2006044016A2 (en) R2r controller to automate the data collection during a doe
US7542880B2 (en) Time weighted moving average filter
US7020535B1 (en) Method and apparatus for providing excitation for a process controller

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190418

Year of fee payment: 9