JP2017227892A - 物理学ベースのエッチングプロファイルモデリングフレームワークを用いた高速エッジ配置誤差予測によるフォトレジスト設計レイアウトパターン近接効果補正 - Google Patents

物理学ベースのエッチングプロファイルモデリングフレームワークを用いた高速エッジ配置誤差予測によるフォトレジスト設計レイアウトパターン近接効果補正 Download PDF

Info

Publication number
JP2017227892A
JP2017227892A JP2017116554A JP2017116554A JP2017227892A JP 2017227892 A JP2017227892 A JP 2017227892A JP 2017116554 A JP2017116554 A JP 2017116554A JP 2017116554 A JP2017116554 A JP 2017116554A JP 2017227892 A JP2017227892 A JP 2017227892A
Authority
JP
Japan
Prior art keywords
feature
plasma
characteristic
model
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017116554A
Other languages
English (en)
Other versions
JP2017227892A5 (ja
Inventor
サラバナプリヤン・スリラマン
Sriraman Saravanapriyan
リチャード・ワイズ
Wise Richard
ハーミート・シン
Harmeet Singh
アレックス・パターソン
Paterson Alex
サード アンドリュー・ディー.・ベイリー・ザ
D Bailey Andrew Iii
サード アンドリュー・ディー.・ベイリー・ザ
バヒド・バヘディ
Vahedi Vahid
リチャード・エー.・ゴットショ
A Gottscho Richard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017227892A publication Critical patent/JP2017227892A/ja
Publication of JP2017227892A5 publication Critical patent/JP2017227892A5/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成する方法を提供する。【解決手段】初期設計レイアウト内のフィーチャを特定する工程と、エッチング動作中のフィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定する工程と、を備える。さらに、フィーチャのエッジ配置誤差(EPE)に特徴的な量を、IFPFに特徴的な1または複数の量と、EPEに特徴的な量の値をIFPFに特徴的な1または複数の量の値に関連づけるルックアップテーブル(LUT、および/または、例えば機械学習法(MLM)で構築されたLUTでトレーニングされた多変数モデルを用いて)内の量とを比較することによって推定する工程を備える。その後、初期設計レイアウトは、EPEに特徴的な決定された量に基づいて修正される。【選択図】図13A

Description

半導体処理工程の成功にとっては、しばしば、プラズマ支援エッチング処理の性能が重要である。しかしながら、エッチング処理の最適化は、困難で時間が掛かり、しばしば、処理エンジニアが、所望の目標フィーチャプロファイルを生成しようとその場でエッチング処理パラメータを手動で微調整することを含みうる。現在、与えられた所望のエッチングプロファイルにつながる処理パラメータの値を決定するために処理エンジニアが頼りにできる十分に正確な自動の手順は単に存在しない。
一部のモデルは、エッチング処理中に半導体基板表面上で起きる物理−化学プロセスをシミュレートしようとする。例としては、M.Kushnerおよび共働者のエッチングプロファイルモデルおよびCooperbergおよび共働者のエッチングプロファイルモデルが挙げられる。前者は、Y.Zhang著“Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3−Dimensional Profile Simulation,”Chapter3,dissertation,University of Michigan (2015)に記載され、後者は、Cooperberg、Vahedi、および、Gottscho著“Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma,”J.Vac.Sci.Technol.A20(5),1536(2002)に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。M.Kushnerおよび共働者のエッチングプロファイルモデルのさらなる記載は、J.Vac.Sci.Technol.A15(4),1913(1997)、J.Vac.Sci.Technol.B16(4),2102(1998)、J.Vac.Sci.Technol.A16(6),3274(1998)、J.Vac.Sci.Technol.A19(2),524(2001)、J.Vac.Sci.Technol.A22(4),1242(2004)、J.Appl.Phys.97,023307(2005)に見られ、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。これらのモデルの開発のためになされた大規模な作業にもかかわらず、モデルには、半導体処理産業での実質的な理容に望ましい精度および信頼度はまだない。
エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成する方法が開示されている。方法は:初期設計レイアウトを受信する工程と;初期設計レイアウト内のフィーチャを特定する工程であって、フィーチャのパターンは、設計レイアウトに対応するフォトレジストパターンの層が材料スタックに重ねられた時に、1セットの処理条件下で処理チャンバ内で実行されるプラズマベースのエッチング処理で半導体基板の表面上の材料スタックにエッチングされるフィーチャに対応する、工程と、を備えてよい。方法は、さらに:かかるプラズマベースのエッチング処理中の時間tにおけるフィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定する工程と;時間tにおけるフィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を、IFPFに特徴的な1または複数の推定量と、時間tにおけるEPEに特徴的な量の値をIFPFに特徴的な1または複数の量の値に関連づけるルックアップテーブル(LUT)内の量とを比較することによって推定する工程と、を備えてよい。その後、初期設計レイアウトは、EPEに特徴的な量に基づいて修正されうる。
いくつかの実施形態において、LUTは、材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築されてよい。いくつかの実施形態において、様々な上述の工程は、パターンが初期設計レイアウト内にある1または複数のさらなるフィーチャについて繰り返されてよく、初期設計は、さらに、1または複数のさらなるフィーチャに対応するEPEに特徴的な推定量に基づいて修正されてよい。
いくつかの実施形態において、IFPFに特徴的な1または複数の量は、フィーチャ内プラズマイオンフラックス(IFPIF)、および/または、フィーチャ内プラズマ中性フラックス(IFPNF)を含んでよい。いくつかの実施形態において、LUTは、エントリのリストを含み、エントリの少なくとも一部は、IFPIFに特徴的な量、IFPNFに特徴的な量、および、EPEに特徴的な対応する量のためのフィールドを備える。いくつかの実施形態において、LUT内のエントリの少なくとも一部は、さらに、エッチング時間および/またはフィーチャ深さのための1または複数のフィールドを備える。いくつかの実施形態において、LUT内のエントリの少なくとも一部は、さらに、フィーチャ内不動態化蒸着フラックス(IFPDF)のためのフィールドを備える。いくつかの実施形態において、LUT内のエントリの少なくとも一部は、さらに、較正パターン内に存在するエッジ形状に対応するエッジ形状インジケータのためのフィールドを備える。
いくつかの実施形態において、EPEに特徴的な量は、動作中に、IFPFに特徴的な1または複数の量をLUT内の量と比較し、LUT内の値の間を補間しうるトレーニングされた機械学習モデル(MLM)を用いて推定される。特定のかかる実施形態において、MLMは、コンピュータEPMを実行することによって生成されたデータセットでトレーニングされたものであり、データセットの少なくとも一部は、LUTを構築するために用いられたものである。
また、マスク設計を生成する方法が開示されている。これらの方法は、上述の技術を用いてフォトレジスト用の近接効果補正された設計レイアウトを生成する工程と、その後、生成された近接効果補正済みのフォトレジスト設計レイアウトに基づいて、マスク設計を生成する工程と、を備えてよい。また、半導体基板をエッチングする方法が開示されている。これらの方法は、上述のようにマスク設計を生成する工程と、マスク設計に基づいてマスクを形成する工程と、を備えてよい。その後、マスクを用いて、近接効果補正されたフォトレジスト設計レイアウトに実質的に従って基板にフォトレジスト層を転写するために、フォトリソグラフィ動作が実行されてよく、その後、基板は、最終的に基板をエッチングするプラズマに暴露されてよい。
また、エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成するためのコンピュータシステムが開示されている。システムは、プロセッサおよびメモリを備えてよい。メモリは、ルックアップテーブル(LUT)と、プロセッサ上で実行されるコンピュータ読み取り可能な命令と、を格納してよい。メモリ内に格納された命令は:初期設計レイアウトを受信するための命令と;初期設計レイアウト内のフィーチャを特定するための命令であって、フィーチャのパターンは、設計レイアウトに対応するフォトレジストパターンの層が材料スタックに重ねられた時に、1セットの処理条件下で処理チャンバ内で実行されるプラズマベースのエッチング処理で半導体基板の表面上の材料スタックにエッチングされるフィーチャに対応する、命令と、を含んでよい。メモリ内に格納された命令は、さらに:かかるプラズマベースのエッチング処理中の時間tにおけるフィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定するための命令と;時間tにおけるフィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を、(c)で推定されたIFPFに特徴的な1または複数の量と、時間tにおけるEPEに特徴的な量の値をIFPFに特徴的な1または複数の量の値に関連づけるLUT内の量とを比較することによって推定するための命令と;EPEに特徴的な量に基づいて初期設計レイアウトを修正するための命令と、を備えてよい。
いくつかの実施形態において、初期設計レイアウトは、コンピュータ読み取り可能な媒体から読み出されてよく、特定のかかる実施形態において、プロセッサ上で実行されるメモリ内に格納されたコンピュータ読み取り可能な命令は、さらに、近接効果補正された設計レイアウトをコンピュータ読み取り可能な媒体に書き込むための命令を含む。
また、上述のルックアップテーブル(LUT)およびコンピュータ読み取り可能な命令を格納した1または複数のコンピュータ読み取り可能な媒体が開示されている。
また、半導体基板をエッチングするためのエッチングシステムが開示されている。システムは、上述のフォトレジスト用の近接効果補正された設計レイアウトを生成するためのコンピュータシステムと、フォトリソグラフィモジュールと、を備えてよい。フォトリソグラフィモジュールは、フォトレジストのための近接効果補正された設計レイアウトをコンピュータシステムから受信し、近接効果補正された設計レイアウトからマスクを形成し、その後、マスクを用いてフォトリソグラフィ動作を実行して、近接効果補正されたフォトレジスト設計レイアウトに実質的に従って半導体基板にフォトレジスト層を転写するよう構成されてよい。システムは、さらに、半導体基板と接触して、フォトリソグラフィモジュールによって転写されたフォトレジストで覆われていない基板の表面の部分をエッチングするために利用できるプラズマを生成するよう構成されたプラズマエッチャを備えてよい。
エッチング処理の表面動力学モデルから計算的に生成されたエッチングプロファイルの一例を示す図。
図1に示したものと同様であるが、この図では、1または複数の光学測定ツールで得られた実権測定値から計算されたエッチングプロファイルの一例を示す図。
エッチングプロファイル座標空間に関してエッチングプロファイルモデルを最適化するための手順を示す処理フローチャート。
エッチングプロファイルモデル、および、特に、かかるモデルで用いられる特定のモデルパラメータを最適化するための手順を示す処理フローチャート。
エッチングプロファイルモデル、および、特に、かかるモデルで用いられる特定のモデルパラメータを最適化するための手順を示す処理フローチャート。
本開示に従って最適化されたモデルを用いて特定されうる基準エッチングプロファイルの例を示す図。
反射スペクトル空間に関してエッチングプロファイルモデルを最適化するための手順を示す処理フローチャート。
エッチング処理中に変化するエッチングプロファイルの反射スペクトル履歴を示す図。
三次元データブロック(データブロックの3つのインデックスが、ウエハ番号(i)、スペクトル波長(j)、および、エッチング処理時間(k)に対応する)の形態で多くのウエハにわたって収集された1セットのスペクトル反射データと、PLSスペクトル履歴分析のための独立データとして機能しうる二次元データブロックへの三次元データブロックの展開と、従属データがエッチングプロファイル座標であることを示す概略図。
エッチングスペクトル反射履歴をエッチング処理中のエッチングプロファイルに関連づけるPLSモデルを最適化すると同時に、PLSモデルの最適化に利用される計算反射スペクトルの生成で用いられるEPMを最適化するための反復手順を示す処理フローチャート。
ギャップを調整可能な容量結合(CCP)プラズマリアクタの一実施形態を示す図。 ギャップを調整可能な容量結合(CCP)プラズマリアクタの一実施形態を示す図。 ギャップを調整可能な容量結合(CCP)プラズマリアクタの一実施形態を示す図。
誘導結合プラズマ(ICP)リアクタの一実施形態を示す図。
フォトレジストの層によって規定されたように、フィーチャが材料内にエッチングされる前後の半導体基板上の材料の2層スタックを示す断面図。
90度曲がったトレンチフィーチャを示す上面図。
パターン近接効果補正(PPC)のための標準的な経験的VEBアプローチの様々な段階と、様々な段階の完了のため、および、VEBベースのマスク構築処理全体の完了のための(週単位での)タイムラインと、さらに、本明細書に開示の物理学ベースのエッチングプロファイルモデルアプローチを代わりに用いた場合の同様のタイムラインと、を示す図。
目標較正構造から選択された特定の構造/フィーチャを備えた単純な較正パターンを示す図。
本明細書に記載の次数低減モデル(ROM)ルックアップテーブル(LUT)を示す図。
本明細書に記載の別の次数低減モデル(ROM)ルックアップテーブル(LUT)を示す図。
ルックアップテーブ(LUT)のフィールド内の量を示した半導体基板のフィーチャ/構造を示す図。 ルックアップテーブ(LUT)のフィールド内の量を示した半導体基板のフィーチャ/構造を示す図。
フォトレジスト用のパターン近接効果補正された設計レイアウトを生成するための一連の動作を示す図。
初期設計レイアウト内の複数のフィーチャのエッジ配置誤差(EPE)を計算することを含む、フォトレジスト用のパターン近接効果補正された設計レイアウトを生成するための一連の動作を示す図。
例えば方向性イオンフラックスに対するフィーチャ内の点の可視性を示すために視線を図示したフィーチャの断面図。
エッジ配置誤差(EPE)を計算することの一環として、推定フィーチャ可視性を微調整することを含む、フォトレジスト用のパターン近接効果補正された設計レイアウトを生成するための一連の動作を示す図。
エッジ配置誤差(EPE)推定への1ステップアプローチと複数ステップアプローチとを示すフィーチャの断面図。 エッジ配置誤差(EPE)推定への1ステップアプローチと複数ステップアプローチとを示すフィーチャの断面図。
フォトレジスト用のパターン近接効果補正された設計レイアウトを生成するための複数ステップの一連の動作を示す図。
はじめに
本明細書では、半導体フィーチャエッチングプロファイルの十分に正確な表現(半導体処理産業において信頼されるのに十分良好な近似)を生成するために利用できるように、上述のエッチングプロファイルモデル(EPM)(および、その他の同様のモデル)の実用性を向上させるための手順を開示する。一般に、本発明の手順は、これらのモデルの予測力を向上させる。
一般に、EPMおよび同様のモデルは、経時的な基板フィーチャのエッチングプロファイルの変遷(すなわち、フィーチャの表面上の様々な空間位置におけるフィーチャの形状の時間依存的な変化)を、反応チャンバに設定されたプラズマ条件に特徴的なエッチャントおよび蒸着種の入射フラックスに起因するこれらの空間的な位置の各々でエッチング処理に関連する反応速度を計算することによって、シミュレートすることを試み、シミュレートされたエッチング処理にわたってそれを行う。出力は、プロファイルの形状を空間的にマッピングするデータ点の離散集合(すなわち、プロファイル座標)によって表現されたシミュレート済みのエッチングプロファイルである。かかるシミュレートされたエッチングプロファイルの一例を図1に示す;シミュレートされたプロファイルは、図2に示す実際に測定されたエッチングプロファイルに対応しうる。シミュレートされたエッチングプロファイルの経時的な変遷は、理論的にモデリングされ、空間分解された局所的なエッチング反応速度(もちろん、エッチング処理の基礎となる化学および物理学に依存する)に依存する。したがって、エッチングプロファイルシミュレーションは、エッチング処理の基礎となる化学反応メカニズムに関連する様々な物理的および化学的パラメータに依存し、概して処理エンジニアの制御下にある、チャンバ環境(温度、圧力、プラズマ電力、反応物質流量など)を特徴付けうる任意の物理的および化学的パラメータにも依存する。
したがって、前者に関して、エッチングプロファイルモデルは、「基本的な」化学的および物理的入力パラメータのセット(例えば、反応確率、付着係数、イオンフラックスおよび中性フラックスなど)を必要とし、これらは、一般に、処理エンジニアによって独立的に制御可能ではない、および/または、直接的に知ることもできないが、それにもかかわらず、シミュレーションへの入力として指定される必要がある。したがって、これらのセットの「基本的」または「機構的」入力パラメータは、一般に文献から得られた特定の値を有すると仮定され、それらの利用は、モデル化されるエッチング処理の背後にある基礎となる物理的および化学的メカニズムの或る程度の単純化(および近似)を暗に求める。
本開示は、基板エッチング処理のこれらのEPMの実際的な産業上の利用性を向上させるために実験技術およびデータマイニング/分析方法を組み合わせる手順を提示する。「基板エッチング処理」という用語は、マスク層をエッチングする処理、または、より一般的には、基板表面上に蒸着されたおよび/または存在する任意の材料層をエッチングする処理を含むことに注意されたい。技術は、これらのモデルによって用いられる「基本的な」化学的および物理的入力パラメータに焦点を当て、これらのパラメータに対してより効果的な値のセットと見なされうるもの(これらの「基本的」パラメータに対して決定された最適な値が、文献(または、その他の実験)がこれらのパラメータの「真の」物理的/化学的値として決定しうるものと異なっていても、エッチングモデルの精度を向上させるという観点で効果的な値)を決定するための手順を用いてモデルを改善する。
図3および図4(以下で、より十分い議論する)は、改善されたエッチングプロファイルモデルを生成するための処理例を示すフローチャートである。図3において、例えば、図の処理フローは、2つの入力ブランチを有しており、一方は、実験的測定からのものであり、もう一方は、まだ最適化されていないバージョンである現行バージョンのモデルからのものである。実験ブランチおよび予測モデルブランチの両方が、エッチングプロファイル結果を生成する。これらの結果は比較され、その比較は、結果の間のずれが減少するようにモデルを改善するために用いられる。
EPMによって出力されるように二次元または三次元で、エッチングプロファイルデータを詳細に特徴付けることは、モデルを最適化するための特定の困難を提示する。本明細書に開示する様々な実施形態において、プロファイルデータは、各々が厚さを有する一連の立面スライスとして表される。別の実施形態において、プロファイルは、共通の始点からの一連のベクトルとして、または、一連の幾何学的形態(台形など)として表される。プロファイルのこれらの立面スライスまたはその他の成分の多くを用いれば、実験的プロファイルとEPMプロファイルとの間の誤差を最小化する最適化の問題において、計算の要求が多くなりうる。要求される計算を削減するために、主成分分析(PCA)などの次元縮小法を用いて、様々なプロファイル成分から最適化で用いられる物理的プロファイル全体への相関寄与が特定される。縮小された次元空間にいくつかの主成分またはその他のベクトルでエッチングプロファイルを提示すれば、エッチングプロファイルモデルの予測能力を改善する処理を大幅に単純化できる。さらに、かかる主成分は、互いに直交することで、独立したプロファイル寄与が分離して最適化されうることを保証する。
以下の用語が、本明細書で用いられる。
独立変数−一般的に理解されるように、独立変数は、応答を引き起こす任意の変数である。エッチングプロファイルモデルは、リアクタ処理条件(例えば、温度、圧力、ガス成分、流量、プラズマ電力など)、局所的プラズマ条件、および、局所的反応条件など、様々なタイプの独立変数を含みうる。
結果変数−一般的に理解されるように、結果変数は、独立変数に起因する変数である。しばしば、結果モデルが、モデルによって出力される。一部の文脈において、結果変数は、従属変数という用語と同義である。本開示では、エッチングプロファイルが、結果変数の1タイプである。
入力変数−入力変数は独立変数と類似するが、一部の独立変数は、多くの実行に対して固定されうるため、かかる実行に対して技術的には「入力」変数ではないという点で、より特異的でありうる。入力変数は、検討中の実行に対する入力値として提供される。
機構的パラメータ−機構的パラメータは、リアクタまたはエッチングを受ける基板における1または複数の特定の位置での物理的および/または化学的条件を表すタイプの独立変数である。
プラズマパラメータ−プラズマパラメータは、局所的プラズマ条件(例えば、基板上の特定の位置におけるプラズマ密度およびプラズマ温度)を記述するタイプの機構的パラメータである。
反応パラメータ−反応パラメータは、局所的な化学的条件または物理化学的条件を記述するタイプの機構的パラメータである。
処理パラメータ−処理パラメータは、処理エンジニアが制御するリアクタパラメータである(例えば、チャンバ圧、RF電力、バイアス電圧、ガス流量、および、ペデスタル温度)。処理パラメータは、基板特性と共に、エッチングリアクタにおける機構的パラメータの値を制御しうる。
モデルパラメータ−モデルパラメータは、最適化されるタイプの独立変数である。通例は、化学反応パラメータなどの機構的パラメータである。モデルパラメータの初期値は、通例、最適化されていない;それらは、専門知識に基づいて選択された推定値であってもよいし、文献データから選択されてもよい。
エッチングプロファイル
エッチングプロファイルモデルの詳細およびモデルの改善のための手順を追求する前に、フィーチャのエッチングプロファイルの概念を説明することが有用である。一般に、エッチングプロファイル(EP)とは、半導体基板上のエッチングフィーチャの形状を特徴付けるために利用できる1セットの1または複数の幾何座標に対する任意のセットの値のことである。単純な例では、エッチングプロファイルは、フィーチャにわたる二次元垂直断面スライスで見られるように、フィーチャのベースまでの中間(フィーチャのベース(すなわち、底部)と基板の表面上の上部開口部との中間点)で決定されたフィーチャの幅として近似できる。より複雑な例では、エッチングプロファイルは、同じ二次元垂直断面スライスで見られるように、フィーチャのベースの上方の様々な高さで決定された一連のフィーチャ幅であってもよい。図2は、これに関する図を提供する。実施形態に応じて、幅は、凹部フィーチャの1つの側壁と他の側壁との間の距離(すなわち、エッチング除去された領域の幅)であってもよいし、いずれかの側にエッチングされたコラムの幅を指してもよいことに注意されたい。後者が、図2で概略的に示されている。一部の場合において、かかる幅は、「クリティカルディメンション」(図2の”CD”)と呼ばれ、フィーチャの底部からの高さは、いわゆるクリティカルディメンションの高さまたはz座標(図2においてパーセントで示されている)と呼ばれてよいことに注意されたい。上述のように、エッチングプロファイルは、共通始点からのベクトルのグループなど、他の幾何学的基準、もしくは、台形または三角形など、多くの形状、もしくは、弓形、直線、または、テーパ状の側壁、円形の底部、ファセットなど、典型的なエッチングプロファイルを規定する特徴形状パラメータのグループで表されてもよい。
このように、一連の幾何座標(例えば、異なる高さでのフィーチャ幅)は、フィーチャのプロファイルの離散的な描写をマッピングする。異なる高さでのフィーチャ幅を表す一連の座標を表現する多くの方法があることに注意されたい。例えば、各座標が、或る基準フィーチャ幅(平均フィーチャ幅、または、垂直方向で平均したフィーチャ幅など)からの偏差比を表す値を有しうる、もしくは、各座標が、垂直に隣接する座標からの変化を表しうる、などである。いずれにしても、「幅」と呼ばれているもの、および、一般的には、エッチングプロファイルを表すために用いられるプロファイル座標のセットに用いられるスキームが、文脈および利用から明らかになる。一連の座標が、フィーチャのエッチングプロファイルの形状を表すために用いられるということである。一連の幾何座標は、基板表面上にエッチングされたシリンダまたはトレンチの形状など、フィーチャのエッチングプロファイルの完全三次元形状またはその他の幾何学的特徴を表現するために利用できることにも注意されたい。したがって、いくつかの実施形態において、エッチングプロファイルモデルは、モデル化されるフィーチャの完全3D形状を提供しうる。
エッチングプロファイルモデル
エッチングプロファイルモデル(EPM)は、根本にある物理および化学エッチング処理および反応メカニズムを特徴付ける入力エッチング反応パラメータ(独立変数)のセットから、理論的に決定されたエッチングプロファイルを計算する。これらの処理は、エッチングされるフィーチャとそれらの周囲を表すグリッドに時間および位置の関数としてモデル化される。入力パラメータの例は、気相種(イオン、中性種、ラジカル、光子など)のフラックスなどのプラズマパラメータと、特定の化学反応に対応する反応確率、閾値エネルギ、スパッタ収率などの表面化学反応パラメータとを含む。これらのパラメータ(特に、いくつかの実施形態において、プラズマパラメータ)は、一般的なリアクタ構成と、圧力、基板温度、プラズマ源パラメータ(例えば、プラズマ源に提供される電力、周波数、デューティサイクル)、反応物質、および、それらの流量などの処理条件とからパラメータを計算する他のモデルを含め、様々なソースから取得されてよい。いくつかの実施形態において、かかるモデルは、EPMの一部であってよい。
上述のように、EPMは、反応パラメータを独立変数と見なし、応答変数としてエッチングプロファイルを機能的に生成する。換言すると、独立変数のセットは、モデルへの入力として用いられる物理的/化学的処理パラメータであり、応答変数は、モデルによって計算されたエッチングプロファイル特徴である。EPMは、反応パラメータとエッチングプロファイルとの間の1または複数の関係性を用いる。関係性は、例えば、係数、重み付け、および/または、エッチングプロファイルに関連する応答変数を生成するために規定の方法で独立変数に適用されるその他のモデルパラメータ(および、反応パラメータおよび/またはその他のモデルパラメータの線形関数、二次以上の多項式関数など)を含みうる。かかる重み付け、係数などは、上述した反応パラメータの内の1または複数を表してよい。これらのモデルパラメータは、本明細書に記載の最適化技術中に調節または調整される。いくつかの実施形態において、反応パラメータの一部は、最適化されるモデルパラメータであり、他のパラメータは、独立入力変数として用いられる。例えば、化学反応パラメータが最適化可能なモデルパラメータであってよく、プラズマパラメータが独立変数であってよい。
一般に、「応答変数」は、出力および/または効果を表す、ならびに/もしくは、それが効果であるか否かを調べるために試験される。「独立変数」は、入力および/または要因を表す、ならびに/もしくは、要因であるか否かを調べるために試験される。したがって、応答変数は、独立変数が変化した時に、変化するか否か、そして、どれだけ変化するのかを調べられうる。独立変数は、「予測変数」、「リグレッサ」、「制御変数」、「操作変数」、「説明変数」、または、「入力変数」としても知られうる。
上述のように、一部のEPMは、基本的な反応機構パラメータとして特徴付けられ、根底の化学および物理の基礎であると見なされうる入力変数(或るタイプの独立変数)を利用するため、実験プロセスエンジニアは、一般に、これらの量を制御しない。エッチングプロファイルモデルにおいて、これらの変数は、グリッドの各位置で、規定の時間ステップで分割された複数の時間に適用される。いくつかの実施例において、グリッド分解能は、約数オングストローム〜約1マイクロメートルの間で変化してよい。いくつかの実施例において、時間ステップは、約1e−15〜1e−10秒の間で変化してよい。特定の実施形態において、最適化は、2つのタイプの力学的独立変数を用いる:(1)局所的プラズマパラメータ、および、(2)局所的化学反応パラメータ。これらのパラメータは、位置の関数を、一部の例では、グリッドの解像度にまで変化させうるという意味で「局所的」である。プラズマパラメータの例は、イオン、ラジカル、光子、電子、励起種、デポジタ種などの粒子のフラックスおよびエネルギ、ならびに、それらのエネルギおよび角度分布など、局所的なプラズマ特性を含む。化学反応パラメータおよび物理化学反応パラメータの例は、速度定数(例えば、特定の化学反応が特定の時間に起きる確率)、付着係数、エッチングのエネルギ閾値、基準エネルギ、スパッタ収率を規定するためのエネルギの指数、角度収率関数、および、そのパラメータなどを含む。さらに、パラメータ化された化学反応は、反応物質がエッチング中の材料とエッチャントとを含む反応を含む。化学反応パラメータは、基板を直接エッチングする反応に加えて、様々なタイプの反応を含んでもよいことを理解されたい。かかる反応の例は、寄生反応、蒸着反応、副生成物の反応などを含む。これらはいずれも、エッチング速度全体に影響しうる。モデルは、上述のプラズマおよび化学反応入力パラメータに加えて、その他の入力パラメータを必要としてもよいことを理解されたい。かかる他のパラメータの例は、反応部位での温度、分圧、または、反応物質などを含む。一部の例において、これらおよび/またはその他の非機構的パラメータは、機構的パラメータの一部を出力するモジュールに入力されてよい。
いくつかの実施形態において、EPMモデル変数の初期値(最適化されていない値)、および、最適化中に固定される独立変数(例えば、いくつかの実施形態では、プラズマパラメータ)が、文献、他のコンピュータモジュールまたはモデルによる計算など、様々なソースから取得されてよい。いくつかの実施形態において、独立入力変数(プラズマパラメータなど)は、モデルを用いて(プラズマパラメータの場合には、エッチングチャンバプラズマモデルから)決定されてよい。かかるモデルは、処理エンジニアが(例えば、ノブを調整することによって)制御する様々な処理パラメータ(例えば、圧力、流量、プラズマ電力、ウエハ温度、ICPコイル電流、バイアス電圧/電力、パルス周波数、パルスデューティサイクルなどのチャンバ環境パラメータ)から適用可能な入力EPMパラメータを計算してよい。
EPMを実行する時、独立変数の一部は、実験を実行するために用いられる既知または予測パラメータ値に設定される。例えば、プラズマパラメータは、モデル化された領域内の位置における既知の値または予測値に固定されてよい。その他の独立変数(モデルのパラメータすなわちモデルパラメータとして本明細書に記載した)は、後述する最適化手順によって調整されるよう選択されるパラメータである。例えば、化学反応パラメータは、調整されるモデルパラメータであってよい。したがって、所与の測定された実験エッチングプロファイルに対応する一連の試行においては、モデルパラメータは、これらのパラメータの値を選択する方法を明らかにしてモデルを最適化するために変更される。
EPMは、多くの異なる形態のいずれをとってもよい。最終的に、それらは、独立変数と応答変数との間の関係性を提供する。関係性は、線形または非線形であってよい。一般に、EPMは、当該技術分野でモンテカルロ表面動力学モデルと呼ばれているものである。これらのモデルは、様々な形態で、半導体ウエハ製造の文脈で経時的にウエハフィーチャのトポグラフィの変化をシミュレートするよう動作する。モデルは、トポグラフィの変化のセルベースの表現を利用しうるが、レベルセットタイプのモデルまたはそれらの組みあわせを用いてもよい。さらに、集中ラングミュア−ヒンシェルウッド動力学モデルまたはその他のタイプの半解析的ハイブリッドモデルなど、集中動力学モデル(lumped kinetic model)が用いられてもよい。モデルは、ウエハ上の任意の半径方向位置に対してプラズマモデルまたは実験的診断によって生成されたエネルギおよび角度分布を持つ擬似粒子を発射する。擬似粒子は、表面へのラジカルおよびイオンのフラックスを表すために統計的に重み付けされる。モデルは、表面に対するエッチング、スパッタリング、混合、および、蒸着につながる様々な表面反応メカニズムを扱って、プロファイルの変化を予測する。モンテカルロ積分中、様々なイオンおよび中性の擬似粒子の軌跡は、それらの粒子が反応するかまたは計算流域を離れるまで、ウエハフィーチャ内で追跡される。EPMは、様々な材料に関して、エッチング、ストリッピング、原子層エッチング、イオン化金属物理蒸着、および、プラズマ化学蒸着を予測するための高度な能力を有する。いくつかの実施形態において、EPMは、二次元または三次元の直線メッシュを利用し、このメッシュは、ウエハフィーチャの寸法を適切に処理/モデル化するのに十分細かい分解能を有する(ただし、原則的には、メッシュ(二次元または三次元)は、非直線的座標も利用しうる)。メッシュは、二次元または三次元のグリッド点の配列と見なされてよい。メッシュは、各グリッド点に関連する(を中心とする)二次元の局所的な領域または三次元の空間を表すセルの配列と見なされてもよい。メッシュ内の各セルは、異なる固体材料または材料の混合物を表しうる。モデル化の基礎として二次元メッシュが選択されるか三次元メッシュが選択されるかは、モデリングされるウエハフィーチャの種類/タイプに依存しうる。例えば、二次元メッシュは、(例えば、ポリシリコン基板内の)長いトレンチフィーチャをモデル化するために用いられてよく、二次元メッシュは、トレンチの端部の形状が、トレンチの端部からトレンチの長さの大半だけ離れて起きる反応プロセスにはあまり関連していないという仮定(すなわち、この断面二次元モデルのために、トレンチが無限であると仮定され、その端部から離れたトレンチフィーチャのための合理的な仮定でもある)の下でトレンチの断面図を描写する。一方、三次元メッシュを用いて円形のビアフィーチャ(シリコン貫通ビア(TSV))をモデル化することが(フィーチャのx、y水平寸法が互いに同等であるので)適切な場合がある。
メッシュの間隔は、ナノメートル未満から(例えば、1オングストロームから)数マイクロメートル(例えば、10マイクロメートル)までの範囲であってよい。一般に、各メッシュセルは、プロファイルの変遷中に変化しうる(例えば、フィーチャによって占有されていない空間領域内の)材料のID(例えば、フォトレジスト、ポリシリコン、プラズマを割り当てられる。固相種は、計算セルのIDによって表され;気相種は、計算の擬似粒子によって表される。このように、メッシュは、ウエハフィーチャの形状/トポロジが反応エッチング処理で経時的に変遷する時のウエハフフィーチャおよび周囲のガス環境(例えば、プラズマ)の(例えば、計算のための)かなり詳細な表現を提供する。
エッチング実験およびプロファイル測定
以前のセクションで提示したEPMをトレーニングおよび最適化する目的で、エッチング処理パラメータの様々なセットによって規定される様々な処理条件下で実行された実際のエッチング処理から得られた実際のエッチングプロファイルを実験が許す限り正確に決定するために、様々な実験を行ってよい。したがって、第1エッチングプロファイルを生成するために、例えば、エッチング処理パラメータ(エッチャント流量、プラズマ電力、温度、圧力など)のセットに対する値の第1セットを指定し、それに従ってエッチングチャンバ装置を設定し、チャンバにエッチャントを流し、プラズマを点火するなどして、第1半導体基板のエッチングを進める。次いで、エッチング処理パラメータの同じセットに対する値の第2セットを指定し、第2基板をエッチングして、第2エッチングプロファイルを生成し、以下同様にする。
様々な組みあわせの処理パラメータが、必要に応じて、広い範囲または狭い範囲の処理空間を提供して、EPMをトレーニングするために用いられてよい。次いで、同じ組みあわせの処理パラメータが、EPMへの(独立)入力パラメータ(機構的パラメータなど)を計算して、実験結果と比較できるエッチングプロファイル出力(応答変数)を提供するために用いられる。実験にはコストおよび時間が掛かりうるので、EPMを最適化するためのロバストなトレーニングセットを提供するために実行する必要がある実験の回数を削減するように実験を計画する技術を利用できる。実験計画法(DOE)などの技術が、この目的のために用いられてよい。一般に、かかる技術は、様々な実験でどの処理パラメータセット利用するのかを決定する。それらは、処理パラメータ間の統計的相互作用、ランダム化などを考慮することによって、処理パラメータの組みあわせを選択する。一例として、DOEは、終了された処理の中心点の周りの限られた範囲のパラメータを網羅する少数の実験を特定しうる。
通例は、研究者が、モデル最適化処理の初期にすべての実験を実行し、最適化ルーチン反復で収束までこれらの実験だけを用いる。あるいは、実験計画者が、最適化の初期の反復のためにいくつかの実験を行い、最適化が進むにつれて後に追加の実験を行ってもよい。最適化処理は、評価される特定のパラメータひいては後の反復のために実行される特定の実験の情報を実験計画者に与えうる。
1または複数のその場またはオフライン測定ツールが、これらの実験エッチング処理動作から得られた実験エッチングプロファイルを測定するために用いられてよい。エッチング処理の終わり、エッチング処理中、または、エッチング処理中の1または複数の時点に、測定が行われる。測定がエッチング処理の終わりに行われる場合、測定方法は破壊的であってもよく、エッチング処理中に間隔を置いて実行される場合、測定方法は、一般に、(エッチングを妨害しないように)非破壊的である。適切な方法技術の例は、その場(in situ)および他の場(ex situ)での光学クリティカルディメンション(OCD)光散乱計測または断面SEMを含むが、これらに限定されない。測定ツールは、SEM(実験は、基本的に、フィーチャのエッチングプロファイルを画像化する)の場合などでは、フィーチャのプロファイルを直接測定してよく、また、OCD測定(何らかの後処理が、実際の測定データからのフィーチャのエッチングプロファイルを取り消すために実行される)の場合などでは、フィーチャのエッチングプロファイルを間接的に決定してよいことに注意されたい。いくつかの実施形態において、EPM最適化は、スペクトル空間でなされうるので、OCD測定からエッチングプロファイルを取り消す必要はなく;その代わり、EPMを介して計算されたエッチングプロファイルを用いて、OCD散乱をシミュレートすることに注意されたい。
いずれにしても、エッチング実験および測定手順の結果は、一連の測定されたエッチングプロファイルであり、各々が、一般に、上述のように、一連の座標に対する一連の値、または、フィーチャのプロファイルの形状を表す一連のグリッド値を含む。図2に、一例が示されている。次いで、エッチングプロファイルは、以下に記載するように、コンピュータ化されたエッチングプロファイルモデルをトレーニング、最適化、および、改良するための入力として用いられてよい。
モデルパラメータ調整/最適化
測定された各実験エッチングプロファイルは、計算されたエッチングプロファイルモデルを調整するためのベンチマークを提供する。したがって、実験エッチングプロファイルモデルを適用して、モデルがエッチングプロファイルの予測で実際からどれだけ逸脱しているのかを調べることによって、エッチングプロファイルモデルで一連の計算が実行される。この情報により、モデルが改善されうる。
図3は、エッチングプロファイルモデル(上述のモデルなど)を調整および/または最適化するための一連の動作300を示すフローチャートである。いくつかの実施形態において、かかる調整および/または最適化されたモデルは、エッチング実験の実行の結果として測定されたエッチングプロファイルと、モデルから生成された対応する計算エッチングプロファイルとの間の合計差に関連する(示す、定量化する、など)測定値を削減し、一部の例では、実質的に最小化する。換言すると、改良されたモデルは、(EPMへの独立入力パラメータを計算するために用いられる、異なるセットの選択処理パラメータの指定値によって指定された)異なる実験処理条件にわたる合計誤差を削減しうる。
図3に示すように、最適化手順300は、最適化される1セットのモデルパラメータの選択を行う動作310で始まる。再び、これらのモデルパラメータには、処理エンジニアが制御できない基礎となる化学的および物理的プロセスを特徴付けるパラメータが選択されてよい。これらの一部または全部が、モデルを改良するために実験データに基づいて調節される。いくつかの実施形態において、これらのモデルパラメータは、反応パラメータであってよく、反応確率および/または(熱)速度定数、反応物質付着係数、物理的または化学的スパッタリングのためのエッチング閾値エネルギ、エネルギへの指数依存性、エッチング角度収率依存性、および、角度収率曲線に関連するパラメータなどを含む。一般に、最適化は、エッチングチャンバへ流された化学種の特定の所与/指定の混合物に関して行われることに注意されたい(ただし、エッチング処理が進むにつれて、エッチングチャンバの化学塑性が変化することを理解されたい)。いくつかの実施形態において、反応パラメータは、その他の入力パラメータ(プラズマパラメータなど)とは別個の入力ファイルでEPMに供給される。
いくつかの実施形態において、モデルパラメータは、エッチング処理によってどの特定の化学反応がモデル化されるのかを示す指定を含んでよい。当業者であれば、所与のエッチング処理について、いつでもエッチングチャンバで発生する多くの進行中の反応が存在しうることがわかる。これらは、主エッチング反応自体を含むが、主エッチング反応の副反応、および、主エッチング反応の副生成物を含む反応、副生成物間の反応、副生成物の副生成物を含む反応なども含みうる。したがって、いくつかの実施形態において、モデルパラメータの選択は、どの反応をモデルに含めるかを選択することを含む。おそらく、含まれる反応が多くなるほど、モデルの精度が高くなり、対応する計算されたエッチングプロファイルがより正確になる。しかしながら、より多くの反応を含むことによりモデルの複雑さが高くなり、シミュレーションの計算コストが大きくなる。その結果、最適化すべき反応パラメータも多くなる。これは、追加された特定の反応がエッチング動力学全体に重要である場合には、良好でありうる。しかしながら、追加の反応が重要ではない場合、別のセットの反応パラメータの追加により、最適化手順を収束させることが困難になりうる。再び、どの反応を含めるかの選択、ならびに、これらの反応に関連する速度定数または反応確率は、(例えば、プラズマパラメータとは別個の)独自の入力ファイルでEPMに供給されてよい。特定の実施形態では、所与のセットの反応種について、各々の種の様々な別の/競合する反応経路の確率を1つにまとめることが好ましい。そして、再び、(例えば、入力ファイル内の)含められる反応、反応確率などの指定は、一般に、エッチング処理/反応を実行するためにエッチングチャンバに流れされている所与/指定の化学種の混合物に対して行われる(そして、最適化は、一般に、この所与の混合物に関するものであるが、いくつかの実施形態においては、1化学混合物に関して学習されたものが、同様の/関連する化学物質混合物にも適用可能であるとすることもできる)。
いずれにしても、図3のフローチャートに示した最適化処理を開始するには、一般に、最適化される様々なモデルパラメータ(反応確率、付着係数など)について、初期値を選択しなければならない。これは、動作310で行われる。初期値は、文献で見られるもの、他のシミュレーションに基づいて計算されたもの、実験から決定されたもの、または、以前の最適化手順から知ったもの、などであってよい。
動作310で選択および初期化されたモデルパラメータは、動作320で、複数セットの値を与えられた1セットの独立入力パラメータについて最適化される。かかる独立入力パラメータは、反応チャンバ内のプラズマを特徴付けるパラメータを含んでよい。いくつかの実施形態において、これらのプラズマパラメータは、(上述の)反応パラメータに用いられる入力ファイルとは別個の入力ファイルを介してEPMに供給される。したがって、独立入力パラメータ(例えば、プラズマパラメータ)の複数セットの値は、選択された独立入力パラメータの空間においてる異なる点を特定する。例えば、最適化されるよう選択された入力パラメータが、温度、エッチャントフラックス、および、エッチング密度であり、5セットの値が、これらの選択された入力パラメータに対して選択された場合、温度、エッチャントフラックス、および、プラズマ密度の選択された三次元入力パラメータ空間において5つの特異点が特定され、空間内の5点の各々は、温度、エッチャントフラックス、および、プラズマ密度の異なる組みあわせに対応する。上述のように、実験計画手順(DOEなど)が、入力パラメータのセットを選択するために用いられてよい。
選択すると、入力パラメータの各組みあわせについて、動作330で、実験エッチングプロファイルを測定するために、エッチング実験が実行される。(いくつかの実施形態では、複数回のエッチング実験が、例えば、同じ組みあわせの入力パラメータの値に対して実行され、結果として得られたエッチングプロファイル測定値が、(おそらく、外れ値などを破棄した後に)平均される)。次いで、このセットのベンチマークは、以下のようにモデルを調整および最適化するために用いられる:動作335において、エッチングプロファイルが、入力パラメータの値の各組みあわせに対して計算され、動作340において、実験エッチングプロファイルと、入力パラメータ値の異なるセット全部に対して計算されたエッチングプロファイルとの間の差を示す(関連する、定量化する、など)誤差メトリックが計算される。
(誤差メトリックの計算の元となった)このセットの計算エッチングプロファイルは、動作310で指定された以前に選択されたモデルパラメータのセットに対応することに注意されたい。最適化手順の目標は、これらのモデルパラメータのためにより効果的な選択を決定することである。したがって、動作350において、現在指定されているモデルパラメータが、動作340で計算された誤差メトリックを(モデルパラメータの空間の観点で)局所的に最小化するようなものであるか否かが判定され、そうでない場合、動作360で、モデルパラメータのセットの1または複数の値が修正された後に、新たなエッチングプロファイルのセットを生成するために用いられ(図3のフローチャートに概略的に示すように動作335を反復する)、その後、新たな誤差メトリックが、動作340の反復で計算される。次いで、処理は、再び、この新しい組みあわせのモデルパラメータが、誤差メトリックによって評価されるように入力パラメータのセット全部にわたって局所的な最小値を示すか否かを判定する動作350に再び進む。最小値を示す場合、最適化手順は、図に示すように終了する。そうでない場合、モデルパラメータは、動作360で再び修正され、サイクルが反復される。
図4Aは、エッチングプロファイルモデル内のモデルパラメータを微調整するための方法470のフローチャートを示す。図に示すように、方法470は、制御される一連のエッチングチャンバパラメータセットのために生成された実験エッチングプロファイルを収集することによって始まる。後の段階で、方法は、これらの実験的に生成されたエッチングプロファイルを、エッチングプロファイルモデルを用いて生成された理論的に生成されたエッチングプロファイルと比較する。実験的および理論的に生成されたエッチングプロファイルを比較することにより、エッチングプロファイルモデルによって用いられる1セットのモデルパラメータが、エッチングプロファイルを予測するモデルの能力を改善するために微調整されうる。
図の方法において、処理は、処理パラメータのセットが計算ステージおよび実験ステージの両方で用いるために選択される動作472で始まる。これらの処理パラメータは、比較が実行される条件の範囲を規定する。処理パラメータの各セットは、エッチングチャンバを動作させるための一群の設定を表す。上述のように、エッチングチャンバ内で選択および/または測定できる処理パラメータの例は、チャンバ圧、ペデスタル温度、および、その他のパラメータを含む。代替的または追加的に、処理パラメータの各セットは、エッチングされるワークピースの条件(例えば、エッチングで形成されるライン幅およびラインピッチ)を表す。
実験試行のために処理パラメータのセットを選択した後(EPM最適化のための独立入力パラメータのセットは、処理パラメータの各セットに対応(および/または、それから計算される)ことに注意すること)、実験が始まる。これは、複数のパラメータセットにわたってループで図示されており、動作474、476、478、および、480を含む。動作474は、単に、新たな実験を実行するための次の処理パラメータセット(パラメータセット(i))へインクリメントすることを示す。パラメータセットが更新されると、方法は、現在のパラメータセットのパラメータを用いて新たなエッチング実験を実行する(ブロック476)。次に、方法は、エッチング実験が現在のパラメータセットで実行された後に、ワークピース上で測定された実験エッチングプロファイルを生成および保存する(ブロック478)。「エッチングプロファイルの生成および保存」動作は、エッチングプロファイルの主成分表現など、上述のように、削減された次元空間でエッチングプロファイルを提供する。
新しい処理パラメータセットが実験で用いられるたびに、方法は、判定ブロック480に示すように、考慮すべきさらなるパラメータセットがあるか否かを判定する。さらなるパラメータセットがある場合、次のパラメータセットが、ブロック474に示すように初期化される。最終的に、最初に規定された処理パラメータセットすべてが考慮された後、判定ブロック480は、もはや考慮すべきものがないと判定する。この時点で、処理は、処理フローのモデル最適化部分に進む。
最初に、フローのモデル最適化部分において、1セットのモデルパラメータ(モデルパラメータ(j)が、ブロック482に示すように初期化される。上述のように、これらのモデルパラメータは、モデルがエッチングプロファイルを予測するために用いるパラメータである。この処理フローの文脈で、これらのモデルパラメータは、EPMの予測能力を改善するように修正される。いくつかの実施形態において、モデルパラメータは、エッチングチャンバで起こる1または複数の反応を表す反応パラメータである。一例において、モデルパラメータは、反応速度定数、または、特定の反応が起こる確率である。また、本明細書の別の箇所で説明するように、エッチングプロファイルモデルは、最適化ルーチン中に固定されたままであるその他のパラメータを用いてもよい。かかるパラメータの例は、プラズマ条件などの物理的パラメータを含む。
モデルパラメータが動作482で初期化された後、方法は、実験ループで実験エッチングプロファイルの生成に用いられる処理パラメータセットの各々に対応する理論エッチングプロファイルを生成する最適化ループに入る。換言すると、方法は、EPMを用いて、処理パラメータセットの各々に対応する(すなわち、異なるパラメータセット(i)すべてに対する)エッチングプロファイルを予測する。しかしながら、これらの処理パラメータセットの各々について、(EPMを実行するために)EPMへ実際に入力されるものは、所与の処理パラメータに対応する1セットの独立入力パラメータであることに注意されたい。一部のパラメータについては、独立入力パラメータが、処理パラメータと同じであってよいが;一部のパラメータについては、(実際にEPMに供給される)独立入力パラメータは、物理的な処理パラメータから導出/計算されてよく;したがって、それらは互いに対応するが、同一ではなくてもよい。したがって、図4Aにおけるこの最適化ループ(動作482〜496)の文脈において、EPMは、非常に正確に言えば、「パラメータセット(i)」に対応する1セットの独立入力パラメータに関して実行されるが、実験ループ(動作472〜480)において、実験は、「パラメータセット(i)」に対応する処理パラメータで実行される。
いずれにしても、このループの最初で、方法は、動作472において最初に設定されたパラメータセットの内の次のセットにインクリメントする。ブロック484を参照されたい。この選択されたパラメータセットで、方法は、モデルパラメータの現在のセットを用いてエッチングプロファイルモデルを実行する。ブロック486を参照されたい。その後、方法は、パラメータセットおよびモデルパラメータ(パラメータセット(i)およびモデルパラメータ(j))の現在の組みあわせに対して理論エッチングプロファイルを生成して保存する。ブロック488を参照されたい。「エッチングプロファイルの生成および保存」動作は、エッチングプロファイルの主成分表現など、削減された次元空間でエッチングプロファイルを提供する。
最終的に、パラメータセットすべてが、このループで考慮される。その時点の前に、判定ブロック490は、さらなるパラメータセットが残っていると判定し、パラメータセットが次のパラメータセットにインクリメントされるブロック484に制御を戻す。モデルの実行ならびに理論エッチングプロファイルの生成および保存の処理は、パラメータセットの各々(パラメータセット(i))に対して反復される。
現在考慮中のモデルパラメータ(モデルパラメータ(j))について考慮すべきパラメータセットが残っていない場合、処理は、このループを出て、理論エッチングプロファイルと実験エッチングプロファイルとの間の誤差を計算する。ブロック492を参照されたい。特定の実施形態において、誤差は、パラメータセットの内の1つだけではなく、処理パラメータのパラメータセット(i)のすべてにわたって決定される。
方法は、ブロック492で決定された誤差を用いて、モデルパラメータのための最適化ルーチンが収束したか否かを判定する。ブロック494を参照されたい。以下に述べるように、様々な収束基準を利用できる。最適化ルーチンが収束していないと仮定すると、処理制御は、方法が、モデルの予測能力を改善できる新たなセットのモデルパラメータ(モデルパラメータ(j))を生成するブロック496に進められる。新たなセットのモデルパラメータについて、処理制御は、ブロック484、486、488、および、490によって規定されたループに戻る。このループ内では、パラメータセット(i)は、モデルが新しい理論エッチングプロファイルを生成するために実行されるたびに繰り返しインクリメントされる。すべてのパラメータセットが考慮された後、理論および実験エッチングプロファイルの間の誤差が、再びブロック492で決定され、収束基準が、再びブロック494で適用される。収束基準がまだ満たされていないと仮定すると、方法は、上述のようにテストするためにさらに別のセットのモデルパラメータを生成する。最終的に、収束基準を満たす1セットのモデルパラメータが選択される。次いで、処理は終了される。換言すると、図4に示した方法は、エッチングプロファイルモデルの予測能力を向上させる1セットのモデルパラメータを生成した。
関連する手順を図4Bに示す。図に示すように、実験および理論エッチングプロファイルが、異なる処理条件ではなく、異なる基板フィーチャ構造について生成される。それ以外、基本的な処理フローは同じである。いくつかの実施例では、実験動作および理論動作のためのフィーチャ構造および処理条件の両方が変更される。
異なるフィーチャは、異なる「ライン」および「ピッチ」形状を含みうる。図4B−1を参照されたい。ピッチとは、多回数反復されるエッチング中のフィーチャを覆う最小単位セル幅のことである。ラインとは、対称であると仮定すると、2つの隣接する側壁の間の全厚である。一例として、方法は、L50P100、L100P200、L100P300、L75P150などの形状を反復する動作を実行してよく、ここで、数字は、ナノメートルを単位としたライン幅およびピッチを表す。
図の実施形態において、処理471が、エッチングプロファイルモデルの固定および可変のパラメータ(モデルパラメータ)を選択することによって始まる。これらは、いくつかの実施形態において、物理パラメータおよび化学反応パラメータであってよい。さらに、基板フィーチャが選択される。動作473を参照されたい。
各フィーチャ形状(動作475および481に示すようにインクリメントされたフィーチャセット(k))について、方法は、現在のフィーチャ形状を用いるためのエッチングプロセスを実行し、実験エッチングプロファイル(実験エッチングプロファイル(k))を生成して、そのエッチングプロファイルを保存する。動作477および479を参照されたい。上述のように、各実験エッチングプロファイルは、削減された次元表現で保存される。
その後、方法は、調整のためにモデルパラメータ(モデルパラメータ(j))を初期化する。動作483を参照されたい。各フィーチャ形状(動作485および491でフィーチャセット(k)としてインクリメントされたもの)について、方法は、エッチングプロファイルを実行し、理論エッチングプロファイル(理論エッチングプロファイル(k))を生成して、そのエッチングプロファイルを保存する。動作487および489を参照されたい。上述のように、各理論エッチングプロファイルは、削減された次元表現で保存される。
動作487および489を含むループで考慮されたモデルパラメータ(j)の各セットについて、方法は、理論および実験エッチングプロファイルを比較して、基板フィーチャセット全部にわたってエッチングプロファイル間の誤差を決定する。動作493を参照されたい。動作495で判定されたように、処理が収束している場合、処理は終了し、現在のモデルパラメータが選択される。処理が収束していない場合、方法は、新たなセットのモデルパラメータ(j)を生成し、動作485、487、489、および、491によって規定されたループに再び戻る。
いくつかの実施形態において、別個のモデルパラメータセットが、各フィーチャセットに対して選択される。かかる場合、方法は、ライン/ピッチ比(または、フィーチャのその他の特徴)と、最終的な収束モデルパラメータとの間の関係をプロットまたは他の方法で決定してよい。収束モデルパラメータ値がかなり一定であり、おそらく或る程度のノイズを伴う場合、方法は、改良されたエッジプロファイルモデルに平均モデルパラメータ値を用いる。収束モデルパラメータ値が或る傾向を示す場合、方法は、多項式フィッティングを用いて、各フィーチャセット(例えば、ラインおよびピッチ形状)のためのモデルパラメータ値を選択するために利用できる関数を開発する。
明らかなように、フィーチャセット、処理パラメータセット、または、その他の変数は、複数の実験を行うため、したがって、複数の実験的に決定されたエッチングプロファイルを生成するために用いられる。いくつかの実施例において、これらのエッチングプロファイル(および関連するパラメータセット)の半分または他の割合が、上記のフローチャートに示すように、トレーニングに用いられ、残りのエッチングプロファイルは、検証のために用いられる。トレーニングエッチングプロファイルは、調整されたモデルパラメータを生成し、それらのパラメータは、エッチングプロファイルモデルで用いられ、調整されたモデルを適用して検証セットのエッチングプロファイルを予測することによって検証される。検証セットの実験および理論エッチングプロファイル間の誤差が、トレーニングセットを用いた収束で見られる誤差よりも統計的に大きい場合、異なるトレーニングセットが、上述のようにモデルを調整するために用いられる。
反復非線形最適化手順に関する詳細
図3の文脈で上述したモデルパラメータ最適化手順は、一般に、反復非線形最適化手順、例えば、一般に入力パラメータの非線形関数である誤差メトリックを最適化するものであり、したがって、非線形最適化のための当業者に周知の様々な技術が用いられてよい。例えば、以下を参照のこと:Biggs,M.C.,“Constrained Minimization Using Recursive Quadratic Programming,”Towards Global Optimization(L.C.W.Dixon and G.P.Szergo,eds.),North−Holland,pp341-349,(1975);Conn,N.R.,N.I.M.Gould, and Ph.L.Toint,“Trust−Region Methods,”MPS/SIAM Series on Optimization,SIAM and MPS(2000);More,J.J. and D.C.Sorensen,“Computing a Trust Region Step,”SIAM Journal on Scientific and Statistical Computing,Vol.3,pp553-572,(1983);Byrd,R.H.,R.B.Schnabel, and G.A.Shultz,“Approximate Solution of the Trust Region Problem by Minimization over Two−Dimensional Subspaces,”Mathematical Programming,Vol.40,pp247-263(1988);Dennis,J.E.,Jr.,“Nonlinear least−squares,”State of the Art in Numerical Analysis ed.D.Jacobs,Academic Press,pp269-312(1977);More,J.J.,“The Levenberg−Marquardt Algorithm:Implementation and Theory,”Numerical Analysis,ed.G.A.Watson,Lecture Notes in Mathematics 630,Springer Verlag,pp105-116(1977);Powell,M.J.D.,“A Fast Algorithm for Nonlinearly Constrained Optimization Calculations,”Numerical Analysis,G.A.Watson ed.,Lecture Notes in Mathematics,Springer Verlag,Vol.630(1978);それぞれ、参照によって全ての目的で本明細書に全体が組み込まれる。いくつかの実施形態において、これらの技術は、入力パラメータおよび/または誤差メトリックに課されうる特定の制約を受ける目的関数(ここでは誤差関数/誤差メトリック)を最適化する。特定のかかる実施形態において、制約関数自体は、非線形であってよい。例えば、計算されたエッチングプロファイルがEPMによって出力された1セットの積み重なった台形で表される実施形態において、誤差メトリックは、これらの積み重なった台形の境界によって表される領域と、測定された実験エッチングプロファイルの領域との間の差として定義されてよい。この場合、誤差メトリックは、EPMによって出力された応答変数の非線形関数であり、したがって、制約付き最適化技術が、非線形制約の指定を可能にする上述の技術(および/または、組み込まれた引例)から選択される。図3に示したフローチャートの文脈で、これらの様々な手順は、1または複数のモデルパラメータが動作360でどのように修正されるか、そして、誤差における1または複数の潜在的な極小が動作350でどのように検出され処理されるか、に対応することに注意されたい。
いくつかの実施形態において、図3に示したように改良/調整されたモデルパラメータを決定するために用いられる反復非線形最適化手順は、複数の段階に分割されてもよく、特定のかかる実施形態において、異なる最適化段階が、エッチング中の半導体基板の表面上の異なる材料層に対応してよい。このアプローチは、変更される入力パラメータの数を減らすことによって計算負荷も減らすことができ、計算される誤差メトリックを単純化する。例えば、エッチングされる基板が、異なる逐次的に蒸着された材料の多層スタックを含む場合、異なる層は、一般に、異なる材料組成を有するため、一般に、異なる化学が、各層で起きる局所的なエッチング処理(例えば、1または複数の異なるエッチング反応、異なる副反応、副生成物間の異なる反応)を特徴付け、また、同じ(または、類似する)化学反応が起きている場合でも、化学反応は、一般に、異なる速度、異なる化学量論比で起こりうる。したがって、多層スタック全体のエッチングに対応するエッチングプロファイルモデル(EPM)を設定するために、モデルに供給される入力パラメータは、一般に、異なる積層に対応する異なるパラメータセットを含む。上述のように、これらのセットは、どの化学反応がエッチング処理のモデリングに含められるかを示すパラメータと、反応自体を特徴付けるパラメータ(反応確率、付着係数など)とを含みうる。
しかしながら、最適化手順は、必ずしもすべてのパラメータを同時に最適化する必要はない(例えば、図面で概略的に示したように、一部のパラメータが、最適化の1または複数の特定のサイクル/ラウンドで「変動」して修正されることを可能にされる一方で、他のパラメータが、図3の動作360で固定されたままであってもよい)ことがわかる。したがって、各層で起きる化学プロセスが、或る程度までその層に局所的であるという観察に基づいて、いくつかの実施形態において、最適化は、すべての層が個別に調整されるまで、他の層に関連するパラメータを固定しつつ、個別に、1層に関連するモデルパラメータを調整し、その後、別の層を選択して、パラメータが「変動」することを許容しつつ、他の層のパラメータを固定し、以下同様にすることによって促進されうる。次いで、層ごとの調整処理は、或る程度の最適化が達成されるまで、各回ですべての層について繰り返して、複数回反復されてよく、この時点で、完全な最適化が、個々に最適化された各層に関連するパラメータで、より効率的に(そして、おそらく、誤差メトリックのより良い極小に)収束するという認識に基づいて、すべての層にわたって完全な最適化が実行されうる、すなわち、すべての層のモデルパラメータが変更/「変動」されることを可能にしうる。さらに一歩進むと、層ごとの手順全体が、結果をさらに改善するために反復されてよく、すなわち、層に対して1または複数回繰り返すことによって層ごとの最適化を実行し、その後、すべての層のモデルパラメータの変動を許容する全体的な最適化を実行する。図3の文脈において、特定のモデルパラメータの選択と、他を固定しつつそれらのパラメータの「変動」(ひいては、特定の層に対する個別の最適化)を許容することは、(これらのおよび同様の実施形態で)図3のパラメータ修正動作360の一部として行われる。
上述した個別の層ごと最適化手順を説明する具体例として、エッチングマスクの下にある層のエッチングをモデル化する場合を考える。ここで、エッチングマスク層およびその下の層の両方が、或る程度までエッチングされる。したがって、これは、2層の各々に対するパラメータが、両方の層に対応するモデルパラメータの完全同時最適化の前に個別に最適化されうる2層エッチングモデルを構成する。
したがって、すべてのモデルパラメータに対する値を指定し、すべてのセットの入力パラメータの値(異なる実験エッチング条件を表す)について計算されたエッチングプロファイルを生成するためにモデルを実行し、複数セットの個々の入力パラメータの値に対応するすべてのプロファイルについて実験エッチングプロファイルと計算エッチングプロファイルとの間の差を示す誤差メトリックを計算することによって開始する。次いで、個別の層ごとの最適化に向けて、エッチングマスクの下にある層(例えば、誘電体層)を選択し、最適化のためにこの(誘電体)層に関連する1または複数のモデルパラメータを修正し、すべてのセットの個々の入力パラメータの値についてモデルを再実行し、新しい誤差メトリックを計算し、誘電体層に関連する1または複数のモデルパラメータを再び修正し、モデルを再実行し、誤差を再計算し、誘電体層に関して誤差の極小が得られるまで、同様に繰り返すことによって続行する。
次いで、誘電体層のためのモデルパラメータは、これらの値で固定され、エッチングマスク層に関して誤差の極小が達成されるまで、エッチングマスク層のモデルパラメータが、個別の最適化に向けて選択され、(エッチングマスク層のモデルパラメータの)これらの値の1または複数が修正され、モデルが再実行され、誤差が再計算され、以下同様に繰り返される。この時点で、両方の層のモデルパラメータについて完全な最適化が実行されてもよいし、または、いくつかの実施形態においては、その実行前に、完全最適化がより効果的になる(例えば、迅速に収束するか、または、より良い総誤差メトリックの極小に収束する)ように、個別の誘電体層およびマスク層の最適化の1または複数の追加サイクルが実行されてもよい。
一部の場合において、上述の層ごと最適化手順は、一度に1つの個々の層だけの調整に制限される必要がないことも理解されたい。例えば、6層スタックのエッチングをモデル化する場合に、上述の層ごとの最適化手順の一変形例では、同時調整に向けて層のペアを選択し(すなわち、隣接する層のペアに対応するモデルパラメータを同時に変動させ)、これを3つのペアに対して順次行い(おそらく、3工程サイクルを複数回繰り返す)、その後、すべての層のモデルパラメータの完全な同時最適化を実行し;上述のように、任意選択的に、スタック全体で誤差の極小が確認されるまで、層ごとの手順(すなわち、この場合には、ペアになった層ごとの手順)全体を繰り返す。
数値最適化手順は、(完全最適化の前に層ごとに実行されるか、すべての層にわたる完全最適化として直接実行されるかに関わらず)、最適化の開始点(すなわち、モデルパラメータのために選択された初期値)およびその他の要素によっては、エッチングプロファイルメトリックの複数の極小につながりうるため、最適化手順が改善(および/または最適モデル)を表すものとして潜在的に特定しうる多くの極小が存在しうることも起こりうる。多くの誤差の極小が存在する場合、多くの潜在的なモデルパラメータセットが、これらのモデルパラメータの物理的な実際の上限および下限を定義することによって、考慮から排除されてよい。いくつかの実施形態において、上述の数値最適化は、複数の極小ひいては複数の候補モデルパラメータセット(これらから、(おそらく、いくつかの実施形態においては、上述の物理的な実際の上限および下限を満たすすべての候補の内の最も小さい計算誤差メトリックを有する理由から)最も好ましいものが選択されうる)を潜在的に特定するために、開始点(モデルパラメータの初期値)の複数の選択のために実行される。
次元縮小および主成分分析
いくつかの実施形態において、エッチングプロファイルモデルは、計算エッチングプロファイルの発展中の各時間ステップに、多数のグリッド/メッシュ点(セル)における値を出力する。各セルまたはグリッド点に対応するこれらの値は、計算エッチングプロファイルの形状をマッピングする。計算エッチングプロファイルを表す点のグリッド/メッシュのかかる例は、図1に示されており、ここで、各グリッド/メッシュ点は、その空間領域が、エッチング処理中にその時点でフィーチャによって占有されているか否かを示す値を有する。いくつかの実施形態において、エッチングプロファイルを表すメッシュの垂直寸法は、少なくとも約5、少なくとも約10、または、少なくとも約20である。実施形態に応じて、垂直に隣接するメッシュ点の間の垂直距離の最小値は、1Åに選択されてよく、5Å、または10Å、または20Åなど、数オングストロームほどの大きさでありうる。
実際的には、隣接するメッシュ/グリッド点の間の距離が、フィーチャが時間と共に変化する(これは、プロファイルの複雑さに依存しうる)時に、フィーチャの形状の妥当な精度の表現を提供するのに十分に小さいが、(グリッド点が多くなれば、より多くの計算時間が必要になるので)この妥当な表現を達成するのに必要なものよりもそれほど小さくない(または、全く小さくない)ように選択したい。隣接するメッシュ/グリッド点の間の(ウエハの平面における)水平分離は、同じ考慮に基づいて選択されてよいが、一般に、水平および垂直分離は、同じ(すなわち、一様なグリッド)になるか、または、おおよそ同等になるように選択される。しかしながら、モデル化されるフィーチャの幅は、モデル化されるフィーチャの高さと必ずしも同じではないので、これは、垂直および水平のグリッド寸法が必ずしも同じであることを意味しない。したがって、水平寸法(所与の方向、2Dにおけるx次元、3Dにおけるx次元およびy次元にわたる水平点の数)は、フィーチャの側壁のみがモデル化されているか否か、フィーチャ全体(或るプロファイルエッジから別のプロファイルエッジまでの距離)がモデル化されているか否か、複数の隣接するフィーチャがモデル化されているか否か、などに依存しうる。
上述のように、エッチングプロファイルモデルによって出力される値のメッシュは、物理空間内で、フィーチャプロファイルのエッジが、異なる垂直高さに位置する場所の推定を提供する。(メッシュ点におけるこれらの値からの)この情報から、異なる高さでのフィーチャ幅、または、別の観点では、各高さの(いくつかのベースラインに対する)エッジの水平座標、を計算することができる。これは、図2に示されている。次いで、この座標セットは、特定のフィーチャプロファイルを表す多次元空間内の点と見なされうる。このベクトル空間は、直交空間であっても非直交空間であってもよいが、この表現から直交空間への線形変換がなされてもよい。その場合、変換された点の座標は、その空間内の1セットの直交軸に関連する距離である。いずれにしても、本明細書で「プロファイル座標」に言及する時、これは、一般に、プロファイル形状の任意の適切な(近似の)数学的表現を意味する。
いずれにしても、エッチングプロファイルモデルは、多数の「プロファイル座標」(以下では、上述のように、点のグリッド/メッシュを含める)を出力しうるものであり、目的は、測定された実験エッチングプロファイルにこれらを正確に一致させることであるため、エッチングプロファイルモデルにおける誤差を削減すること、すなわち、上で図3に関して述べたように、異なる実験処理条件にわたって合計された誤差を反復して削減することは、計算要求の高いタスクでありうる。例えば、m個の測定された実験エッチングプロファイルのセットが、n個のプロファイル座標からなる計算エッチングプロファイルに点ごとにマッチングされる場合、合計で、m×nのデータ点のデータセットを適合させるようにモデルを最適化することになる。
しかしながら、(測定されたか計算されたかに関わらず)エッチングプロファイルにおける潜在的な統計的相関があること、および、これらの相関を利用して、はるかに計算上扱いやすい形態でに最適化問題を改変できることがわかる。例えば、プロファイル座標の微細なグリッドは、多くのデータ点からなりうるが、統計的観点から、特定の組みあわせのこれらの座標の値は、互いに相関する。自明ではあるが説明に役立つ例を挙げると、垂直に隣接する座標は、互いに相関する傾向にあり、これは、単に、プロファイルを上下させる時に、エッチングフィーチャの幅が、隣接するグリッド点に関連する短い長さスケールではあまり動的に変化しないからである。プロファイル座標間の相関のより複雑な例は、一般に特定の組みあわせの処理座標を変化させることによって達成されうるプロファイル形状のタイプに関連する。いくつかの例を図5に示す。例えば、図5に示すように、エッチングプロファイルを内側または外側のいずれかに曲げるために、特定の処理パラメータが、単独または互いに組み合わせて調整されてよく、したがって、プロファイルのこの湾曲をマッピングするプロファイル座標(またはグリッド点)は、統計的に互いに相関する。また、同様に、図5に示すように、個々または組み合わせて様々な処理パラメータを調整して得られるエッチングプロファイルは、下向きまたは上向きのテーパ形状を示す場合があり、したがって、プロファイル座標は、1または複数のパラメータを変化させることがこのテーパ化の効果を引き起こす傾向にあるという限りで相関しうる。基礎となるプロファイル相関構造の2つのその他の例は、図5に示すように、上部テーパおよび底部テーパである。再び、これらの基礎となるプロファイル構造は、処理パラメータの変化が、他のスポットに影響することなしにプロファイル上の特定のスポットに局所的な影響を持つのではなく、プロファイルの形状全体の変化を引き起こす傾向にあるという事実の現れである。これは、もちろん、基礎となる物理学および化学がエッチング処理に関連する結果である。
上述のように、これらの基礎となる統計的相関のために、上述の最適化問題(図3のフローチャートに関して記載した)は、反復最適化技術により適した形態に改変できる。このための一方法は、いくつかのタイプの基準プロファイル形状を特定し、(各プロファイル座標の)1セットの基準プロファイルの加重平均として(各プロファイル座標の)総プロファイルを記述するなどして、これらの基準形状の観点で測定および/または計算エッチングプロファイルを表現することである。すなわち、1セットのベクトルが、基準プロファイル形状を表し、総プロファイルは、これらのベクトルの線形結合として近似的に表現されうる。このように、基礎となる統計的相関を利用し、個々のプロファイル座標すべての変化をモデル化するのではなく、プロファイルを表す線形結合の係数/重みの変化をモデル化することができる。例えば、湾曲およびテーパ(図5参照)を基準形状として選択した場合、例えばm=100のプロファイル座標をモデル化する問題は、線形結合における湾曲およびテーパの2係数の変化をモデル化することに縮小され、すなわち、100から2までの次元縮小を構成する。どの基準形状が有効であるかは、処理/層のタイプに依存しうる。図の方法は、実験データまたはEPMによるシミュレーションの実行のいずれかからそれらの形状を抽出する多くの方法を提供する。
この戦略が効果的であるには、基準形状は、解析に含まれる異なるプロファイル形状の良好な(ただし、正確ではない)表現を提供しなければならない。表現に含まれる独立的な基準形状が多いほど、表現は、(基準形状のベクトル空間において)正確になる。したがって、より多くの基準形状を含めることは、解析を正確にするが、計算コストも高くなり、反復最適化の文脈において、収束または所望の極小として収束するように最適化する能力に影響しうることを認識すると、どの基準形状を用いるか、および、いくつの基準形状を含めるかが問題になる。
このための一方法は、処理エンジニアに、過去の経験に基づいて、エッチング実験で頻繁に起きるのを観察する基準プロファイル形状のいくつかのタイプを特定させることである。このアプローチの利点は、単純なことである。潜在的な不利点は、(単に処理エンジニアの経験および直感に基づく)その場だけのものであること、および、十分な数のプロファイル形状が解析に含められた時を決定する方法を全く提供しないことである。実際的に、処理エンジニアが特定する任意の基準形状が含まれることになるが、これは、もちろん、正確な表現を提供するには不十分な場合がある。より重要なことは、このタイプの方法は、以前の仕事において形状が言及通りではなかったために、または、異なる根本的な物理的および化学的処理と共に新しいエッチング処理が起きた結果であるために、以前に特定されていないプロファイルデータ内の新しい相関を特定しない。
別のアプローチは、重要な基準プロファイル形状を自動的に識別することができる統計的方法に基づいて次元縮小手順を行い、十分に正確な表現を提供するためにいくつの形状を含める必要があるのかの評価を提供することである。これを達成するための1つのデータ解析技術は、主成分分析(PCA)であり、これは、特異値分解(SVD)、すなわち、数値線形代数からの行列分解技術を用いる。PCA技術および様々な応用例の記載が、(例えば)以下に見られる:Jackson,J.E.,“A User’s Guide to Principal Components,”John Wiley and Sons,p.592.[2](1991);Jolliffe,I.T.,“Principal Component Analysis,”2nd edition,Springer(2002);Krzanowski,W.J.,“Principles of Multivariate Analysis:A User’s Perspective,”New York:Oxford University Press(1988);それぞれ、参照によって全ての目的で本明細書に全体が組み込まれる。
上述の引例に記載されるように、PCAは、1セットのベクトルをその入力値とし(この場合、各ベクトルは、単一のプロファイルを表す一連のn個のエッチングプロファイル座標である)、PC1−i(ここで、i≦n)が入力プロファイルベクトルを表すための「最良の」i番目の次元の部分空間を構成するようにソートされうる主成分(PC)として知られる新たなセットのn個の直交ベクトルを返す;「最良の」とは、最小二乗法の意味で統計的に最適であることを意味し、すなわち、PCAから決定されたPCのi次元部分空間が、各入力ベクトルと、選択されたPCの部分空間におけるその線形表現との間の合計RMS誤差を最小化することを意味する。もちろん、含められるPCが多くなるほど、部分空間の次元も大きくなり、入力プロファイルデータの表現も良好になるが、PCAを介して構築された部分空間は最適であるので多くのPCを必要にならないことが期待され、さらなるPCを追加することによって得られる基礎データの統計的変動の量が、基礎となるSVDの特異値を通して評価されうる。したがって、PCAを用いて、エッチングプロファイルのデータセットの基礎になる基準プロファイル形状を特定することにより、エッチングプロファイルを表現するための縮小次元線型モデルを構築し、自動的な方法で(処理エンジニアの専門性に頼らずに)、プロファイルデータにおける新しい相関を特定する能力を有するように、良好な表現を提供するためにいくつの形状/次元が必要であるかの統計的評価を提供するように、構築することができる。
上述の方法の結果、統計誤差に大きい支障を来すことなしにかなりの次元縮小を達成でき、上述の数値最適化手順におけるフィッティングに必要なデータ点の数を実質的に減らすことができる。図3に提示した最適化手順内の次元縮小PCA手順を実施するためには、異なる実行可能な戦略があることにも注意されたい。例えば、図3の動作340で誤差メトリックが計算される方法の文脈で、次元縮小手順を用いる一方法は、(PCAを介して構築されうる)縮小次元部分空間へ、個別に、計算された対応する実験エッチングプロファイルを射影し、次いで、部分空間へ射影されたプロファイル間の差を計算する方法である。別の方法は、計算された対応する実験エッチングプロファイル間の差を取り、実験エッチングプロファイルと計算エッチングプロファイルとの間の潜在的な差を表す縮小次元部分空間へその差を投射し、異なる部分空間内でのこれらのベクトルの合計長さを総誤差メトリックと見なす方法である。
さらに、PCAは、独立入力パラメータの空間内の独立変数の数を次元縮小して、上述したのと同様な利点を提供するために用いられてもよいことに注意されたい。いくつかの実施形態において、次元縮小手順は、例えば、入力パラメータの連結ベクトルおよび対応する測定エッチングプロファイルにPCAを実行することによって、プロファイル座標空間および入力パラメータ空間の両方に同時に適用されてもよい。
最適化されたコンピュータエッチングモデルの応用
本明細書に開示する最適化されたコンピュータエッチングモデルは、エッチング処理の詳細な評価および特性化が望まれるのであれば半導体処理ワークフローで有用でありうる。例えば、新しいエッチング処理が開発されている場合、モデルは、実験室に行って各実験を個別に実行することなしに処理パラメータの多くの組みあわせに対してエッチングプロファイル特性を決定するために用いられてよい。このように、最適化されたエッチングプロファイルモデルは、より迅速な処理開発サイクルを可能にし、いくつかの実施形態においては、目標プロファイルを微調整するために必要な作業量を大幅に削減しうる。
エッジ配置誤差(EPE)の推定は、通例、リソグラフィの作業においてかなり重要であり、プロファイル形状の正確な計算がその情報を提供するので、リソグラフィ動作およびマスク現像も、正確なエッチングプロファイルモデル化から大きい恩恵を受けうる。いくつかの実施形態において、厳密な物理学ベースのEPE推定を通して、最適化されたEPMが、現在広く利用されているパターン近接効果補正(PPC)のための半経験的な試行錯誤の処理を典型的に行うよりも、はるかに短い時間枠でフォトレジストのためのパターン近接効果補正(PPC)設計レイアウトを生成するために用いられてよい。詳細を以下に示す。
本明細書に開示する最適化されたモデルは、相互問題を解決するのにも有用でありうる:この問題では、明確な目標エッチングプロファイルが望まれ、それを達成するために、処理パラメータ(すなわち、EPMパラメータ)の1または複数の特定の組みあわせを発見することが求められる。再び、これは、実験的な試行錯誤によってなされうるが、与えられた処理パラメータ(すなわち、EPM入力パラメータ)のセットおよび条件から生じるエッチングプロファイルの正確なモデリングが、良好な候補が実験的研究全体に対して特定されうるまで、実験の必要性に置き換わりうる、または、少なくとも、処理/入力パラメータ空間を探求する初期の段階においては置き換わりうる。いくつかの実施形態において、実際的には、完全に自動で、モデルを数値変換する、すなわち、所与のエッチングプロファイルを生成する1セットのパラメータを繰り返し探すことが可能でありうる。再び、(PCAを用いた)エッチングプロファイル座標空間の次元縮小およびこの空間への所望のエッチングプロアイルの射影は、この数値変換をより実現可能にしうる。
特定の実施形態において、最適化されたEPMは、エッチャ装置と統合されてもよいし、1または複数のエッチャ装置を配備する半導体製造施設のインフラに統合されてもよい。最適化されたEPMは、所望のエッチングプロファイルを提供するのに適切な処理パラメータの調整を決定するため、または、エッチングプロファイルに対する処理パラメータの変化の影響を理解するために用いられてよい。したがって、例えば、製造施設内で半導体基板を処理するためのシステムは、最適化されたEPMを実装するコントローラによって制御された1セットの独立入力パラメータによって動作が調整される半導体基板エッチング用のエッチャ装置を備えてよい。後述するように、エッチャ装置の動作を制御するのに適切なコントローラは、通例、プロセッサおよびメモリを備えており、メモリは、最適化されたEPMを格納し、プロセッサは、格納された最適化済みのEPMを用いて、1セットの入力処理パラメータに与えられた1セットの値についてエッチングフィーチャプロファイルを計算する。プロファイルの計算後、いくつかの実施形態において、コントローラは、(計算されたプロファイルの形状に応じて)1セットの独立入力パラメータの1または複数の値を変化させることによってエッチャ装置の動作を調整してよい。
一般に、開示されている最適化されたEPMと共に利用できるエッチャ装置は、表面から材料を除去することによって半導体基板をエッチングするのに適切な任意の種類の半導体処理装置であってよい。いくつかの実施形態において、エッチャ装置は、誘導結合プラズマ(ICP)リアクタを構成してよく;いくつかの実施形態においては、容量結合プラズマ(CCP)リアクタを構成してもよい。したがって、これらの開示した最適化されたEPMと共に用いるエッチャ装置は、処理チャンバと、処理チャンバ内で基板を保持するための基板ホルダと、処理チャンバ内でプラズマを生成するためのプラズマ発生器とを有してよい。装置は、さらに、処理チャンバに1または複数の処理ガスを流すための1または複数のバルブ制御された処理ガス流入口、処理チャンバからガスを排気するための1または複数の真空ポンプに流体接続された1または複数のガス流出口などを備えてもよい。エッチャ装置(一般に、エッチングリアクタ、または、プラズマエッチングリアクタなどとも呼ばれる)に関するさらなる詳細を以下に提供する。
反射スペクトルマッチング技術によるエッチングプロファイルモデルの最適化
本明細書に開示するエッチングプロファイル(EP)モデル(EPM)最適化技術は、反射スペクトル空間、または、スペクトル反射の空間から導出された縮小次元部分空間(RDS)において実行されてもよい。換言すると、EPM最適化は、実験的に測定された反射スペクトルに(EPMで生成された)計算反射スペクトルをマッチングさせることによってなされ、各スペクトルは、一連の波長で基板表面上にエッチングされたフィーチャから反射した電磁放射の強度を表す。最適化に用いられる反射スペクトルのセット(EPMを介して生成されたスペクトルおよび実験的に測定されたスペクトルの両方)は、エッチング時間ステップのシーケンスにも対応しうる(すなわち、1または複数のエッチング処理の異なる時間スナップショットを表す)。上で詳述したように、EPMは、一般に、プロファイルがエッチング処理中に時間と共に変化する際に、理論エッチングプロファイルを計算するので、最適化における異なるエッチング時間ステップからの反射スペクトルを含めることにより、最適化されたモデルは、最適化に用いられるエッチング時間のシーケンスにわたって統計的に有効になる。
スペクトルマッチング(SM)最適化手順は、例えば図3を参照して上述した一般的なEPM最適化の枠組みに従い、SM最適化がエッチングプロファイル座標の代わりにスペクトル反射の観点で動作する点で異なる。このために、EPMの典型的な出力は、一連のエッチングプロファイル座標によって表される計算エッチングプロファイルであるので、計算エッチングプロファイルから電磁放射(EM)の反射をシミュレートすることによって計算された反射スペクトルを生成する。「厳密結合波解析」(RCWA)として当業者に周知のものが、この目的のために利用できる1つの計算処理を構成するが、考慮される基板フィーチャでEM放射の相互作用をシミュレートするための任意の適切な手順が用いられてよい。
いずれにしても、EPMから反射スペクトルを生成する能力と共に、一般的な手順が、スペクトル反射の観点でEPMを最適化するために実施されてよい。ここで、エッチングプロファイルモデルを調整および/または最適化するための一連の動作301を示すフローチャートを提示する図6に関して説明する。
上述のように、いくつかの実施形態において、かかる調整および/または最適化されたモデルは、エッチング実験の実行の結果として測定されたエッチングプロファイルと、モデルから生成された対応する計算エッチングプロファイルとの間の合計差に関連する(示す、定量化する、など)測定値を削減し、一部の例では、実質的に最小化する。換言すると、改良されたモデルは、(EPMへの独立入力パラメータを計算するために用いられる、異なるセットの選択処理パラメータの指定値によって指定された)異なる実験処理条件にわたる合計誤差を削減しうる。
図6に示すように、反射スペクトルベースの最適化手順601は、最適化される1セットのモデルパラメータを選択してそれらの初期値を指定する動作610で始まり、ここでも、これらのモデルパラメータには、基礎となる化学的および物理的プロセスを特徴付けるパラメータ(反応確率、付着係数など)が選択されてよく、これらの一部またはすべてが、モデルを改善するために実験データに基づいて調整される。初期値は、文献で見られるものであってもよいし、他のシミュレーションに基づいて計算されたもの、実験から決定されたもの、または、以前の最適化手順から知ったもの、などであってもよい。
次いで、動作610で選択および初期化されたモデルパラメータは、動作620で、選択されて複数セットの値を与えられた1セットの独立入力パラメータについて最適化される。かかる独立入力パラメータは、例えば、反応チャンバ内のプラズマを特徴付けるパラメータ:温度、エッチャントフラックス、プラズマ密度などを含んでよい。独立入力パラメータの値の各組みあわせについて、動作630で、実験エッチング反射スペクトルを測定するために、エッチング実験が実行される。(いくつかの実施形態では、複数回のエッチング実験が、例えば、同じ組みあわせの入力パラメータの値に対して実行され、結果として得られた反射スペクトル測定値が、(おそらく、外れ値、ノイズスペクトルなどを破棄した後に)平均される)。次いで、このセットのベンチマークは、以下のようにモデルを調整および最適化するために用いられる:動作635において、EPモデルを実行してエッチングプロファイルを生成した後に、上述のように(例えば、RCWAを用いて)計算エッチングプロファイルをスペクトル反射に変換することによって、1セットの計算反射スペクトルが生成される(これは、動作630からの測定されたスペクトルに対応し、したがって、入力パラメータの値の各組みあわせに対して生成される)。この時点で、独立入力パラメータに選択された値の各セットから生成され、したがって、比較に適した対応する実験および計算反射スペクトルがある。比較は、動作640でなされ、ここで、実験反射スペクトルと、入力パラメータ値の異なるセット全部に対して計算された反射スペクトルとの間の差を示す(関連する、定量化する、など)誤差メトリックが計算される。
図6に関して上述したのと同様に、(誤差メトリックの計算の元となった)このセットの計算エッチングプロファイルは、動作610で指定された以前に選択されたモデルパラメータのセットに対応することに注意されたい。最適化手順の目標は、これらのモデルパラメータのためにより効果的な選択を決定することである。したがって、動作650において、現在指定されているモデルパラメータが、動作640で計算された誤差メトリックを(モデルパラメータの空間の観点で)局所的に最小化するようなものであるか否かが判定され、そうでない場合、動作660で、モデルパラメータのセットの1または複数の値が修正された後に、新たな反射スペクトルのセットを生成するために用いられ(図6のフローチャートに概略的に示すように動作635を反復する)、その後、新たな誤差メトリックが、動作640の反復で計算される。次いで、処理は、再び、この新しい組みあわせのモデルパラメータが、誤差メトリックによって評価されるように入力パラメータのセット全部にわたって局所的な最小値を示すか否かを判定する動作650に再び進む。最小値を示す場合、最適化手順は、図に示すように終了する。そうでない場合、モデルパラメータは、動作660で再び修正され、サイクルが反復される。
EPMが、異なる持続期間のエッチング処理に対して(上述のように)最適化される、または、エッチング処理にわたって時系列で反射スペクトルを計算するために最適化されることが望ましい場合、EPMを最適化するために用いられる実験反射スペクトルがエッチング処理にわたって光学測定から正確に決定されうる程度が考慮される。関連する問題は、これらの測定がエッチング処理にわたって実行されうる頻度である。
概して、スペクトル反射の測定は、その場または他の場で実行されてよい。他の場での測定は、一般に、(エッチングチャンバの外部にある)外部専用測定ツールを用いることから、より正確であるが、かかる測定では、ツールを利用するために、エッチングチャンバからウエハを取り出し、したがって、エッチング処理を停止する必要がある。エッチング処理の停止および再開は、連続期間のエッチング処理と比べてすべての種類の系統誤差につながるので、他の場で一連の異なるエッチング時間中に反射スペクトルを蓄積することは、一般に、異なる所望の持続時間の各々に一連の異なるウエハをエッチングした後に、個別に各々からの反射を測定することを含む。一方、その場スペクトル反射測定は、実行中のエッチング処理を中断することなしに、連続的に(または、実質的に連続的に、または、少なくともかなり迅速に)行われうるので、単一のウエハを用いて、一連のエッチング時間に対応する反射スペクトルを生成することができる(また、ウエハ間の変動が反射スペクトルのエッチング時間依存性を表すと解釈される可能性を排除する(または少なくとも低減する))。しかしながら、ウエハ間の変動は別にして、様々な理由で、その場スペクトル反射測定は、専用外部測定ツールが用いる場合ほど正確ではない傾向にある。
スペクトル空間EPM最適化は、他の場またはその場で測定されたスペクトルデータに関して行われてよいが、例えば、別の実施形態として、それぞれの欠点なしに他の場およびその場スペクトル反射測定の両方の利点を(少なくともある程度まで)達成するための技術も本明細書に開示する。特に、その戦略は、専用測定ツールで得られた他の場測定を用いて較正された(EPMを最適化することが望まれる一連のエッチング時間で)進行中のエッチング処理中に得られた高速その場スペクトル反射(光学)測定から生成されたEPMを最適化するために、実験反射スペクトルを用いることである。
これは以下のようになされてよい。1または複数のウエハが、所望の一連のエッチング時間を網羅する期間中にエッチングされ、進行中のエッチング処理を通して、スペクトル反射光学測定全体がその場でなされる。測定速度はかなり高速でありえ、例えば、1Hz、2Hz、5Hz、10Hz、15Hz、20Hz、50Hz、または、100Hzの周波数であってよい。いくつかの実施形態において、一連のエッチング時間の少なくとも一部にわたる連続的なエッチング時間に得られた光学測定値が、0.01〜1秒で(すなわち、100Hz〜1Hzの周波数で)分けられるか、または、0.05〜0.5秒で(すなわち、20Hz〜2Hzの周波数で)分けられる。別個に、1セットのウエハが、異なる指定のエッチング期間中にエッチングされ、各エッチング処理が終了し、ウエハがエッチングされた処理チャンバから取り出された後に、反射スペクトルが、専用外部測定ツールで他の場で光学的に測定される。次いで、異なるエッチング時間でのその場測定値は、対応する期間の他の場測定値と比較し、その場反射スペクトル強度をそれに従って調整することによって較正される。次いで、他の場光学測定値で較正されたその場光学測定値から生成されたこれらの反射スペクトルは、図3Rに関して記載したEPM最適化で用いられてよい。
また、最適化手順は、縮小次元部分空間(RDS)に関して実行されてよく、エッチングプロファイル空間に関してなされたのと同様であるが、この場合、RDSを用いて、最適化で(通常は局所的、または、近似的に)最小化される誤差メトリックを計算することを含むスペクトル空間の次元縮小である。RDSを構築する一方法は、上述のようにエッチングプロファイル座標の空間内でPCAを行う代わりに、PCAがスペクトル反射の全空間で行われうるPCAの方法によるものである。そうすることで、スペクトル空間の大幅な次元縮小が、数値最適化の統計誤差に大きい支障を来すことなしに達成されうる。ここで、PCAは、重要な基準スペクトル空間を特定することができ、また、(上述のように)或る程度の所望の統計的精度を達成するためにいくつの形状を含めるべきかの評価を提供する。このように、エッチングプロファイル座標空間内でなされる場合のように、数値最適化手順におけるフィッティングに必要なデータ点の数は、大幅に削減され、数値最適化の収束が、より迅速に達成される。
同様に、エッチングプロファイル座標空間における最適化のケースと同様に、RDSが、PCA、PLS(後述する)、または、その他の方法のどれで構築されるかに関わらず、例えば図6に提示する最適化手順内で、RDSの利用を実施するための異なる実行可能な戦略があることにも注意されたい。したがって、例えば、図6の動作640で誤差メトリックが計算される方法の文脈で、次元縮小手順を用いる一方法は、RDSへ、個別に、計算された対応する実験スペクトル反射を射影し、次いで、部分空間へ射影された反射スペクトル間の差を計算する方法である。別の方法は、計算された対応する実験反射スペクトル間の差を取り、実験反射スペクトルと計算反射スペクトルとの間の潜在的な差を表す縮小次元部分空間へその差を投影し、(反射スペクトルの)異なる部分空間内でのこれらのベクトルの合計長さを総誤差メトリックと見なす方法である。
PCAを実行するのではなく、RDSを構築する別の方法は、単に、特定のセットのスペクトル波長を選択し、これら(選択された波長)をRDSの基本セットとする方法である。これを行って、RDS上に2つの反射スペクトルをプロジェクト投射し、(RDSにおける)差を計算することは、それらの特定の波長での反射スペクトルの強度の差を計算し、例えば、誤差メトリックを(それらの波長にわたる)二乗平均平方根(RMS)誤差に比例する数にする差の合計をすることを意味する。これを一般化すると、誤差メトリックは、特定の選択された波長での対応する実験反射スペクトルおよび計算反射スペクトルの間の差の大きさに単調に関連する量の加重和として与えられてよい。
さらに、最適化手順において比較される実験および計算反射スペクトルが、一連の異なるエッチング時間に対応する場合、これらの特定のエッチング時間の選択が、RDSを規定するさらなる基準になりうる。したがって、かかる実施形態において、RDSは、特定のスペクトル波長の選択および波長が考慮される特定のエッチング時間の特定に基づいて決定される。さらに、特定のかかる実施形態において、異なる波長およびエッチング時間は、誤差メトリックの計算で異なった重み付けをなされてもよい。したがって、例えば、特定のエッチング時間のスペクトルデータの方が、その他のエッチング時間のデータよりも証拠がある場合、前者の(一部)は、より重く重み付けされてよい(すなわち、特定のエッチング時間の特定の波長は、その他のエッチング時間の同じ波長に対応する重み(の一部)よりも大きく設定されてよい)。追加的に(または、代替的に)、反射スペクトルの異なる波長が、同じエッチング時間においても、分析で異なる重み付けをなされてもよい。
RDSを構築する別の方法は、部分最小二乗(PLS)分析を実行することである。PLS分析は、エッチング処理中の変化に伴ったエッチングプロファイルの(反射)スペクトル履歴が、エッチング処理中および/またはエッチング処理の終了後のエッチングプロファイルを予測するという原理を利用する。図7Aでは、フィーチャが下向きにエッチングされる時に(図の右に示す)フィーチャに関するように、エッチング処理中の4つの一連の時間(t、t、t、および、tEP(‘EP’は、フィーチャの最終エッチングプロファイルを示す))に対応する4つの反射スペクトルを示す図が提供されている。図面から、エッチング中にフィーチャのプロファイルが変化するにつれて、反射スペクトルが変化することが明らかであり、したがって、統計モデルが、エッチング処理終了時のフィーチャエッチングプロファイルの幾何座標をエッチング処理のより早い特定の時間での特定の波長の様々な反射率値と関連づけるPLS分析を用いて生成されてよい。PLS分析は、どのスペクトル波長が、エッチング処理のより早いどの時点に、最終的なエッチングプロファイルを最も良く予測するのかを特定しうるものであり、モデルは、これらの波長および/または時間に対する最終的なエッチングプロファイルの感度も評価しうる。次いで、特定の時間のこれらのスペクトル波長は、どのEPMが最適化されるかに関してRDSの基本セットとして指定されうる。さらに、特定の時間のこれらの指定波長の相対的な統計的有意性のPLS分析による決定が、例えば、誤差メトリックにおける統計重みを規定することによって、EPMの数値最適化でより重く重み付けする基礎を提供する。
言い換えると、幾何エッチングプロファイル座標対エッチング処理の初期からの反射スペクトルのPLS分析が、効果的なRDSが構築されうるエッチング処理中の敏感なスペクトル領域を特定するために用いられてよく、特定された以前のエッチング処理時間でのこれらの特定された波長に与えられた相対的な統計重みは、EPMパラメータ最適化が実行される誤差メトリックの計算に用いられてよい。EPM最適化のためのかかるRDSの利用は、(エッチング時間の関数として)スペクトル空間の統計的に有意な領域を対象とするので、おそらく効率的になることに注意されたい。
上述のPLS分析および(特定のスペクトル波長、エッチング時間などに異なった重み付けをするための戦略を提供する)結果としてのPLSモデルは、(EPMのモデルパラメータが(RDSを用いて)最適化される処理条件の範囲におおよそ対応しうる)或る範囲のエッチング処理条件の影響下にある多くの異なるウエハにわたって収集されるエッチング処理データ(異なるエッチング時間中の反射スペクトルおよび対応するエッチングプロファイル座標のセット)から構築された場合、より統計的にロバストになる。図7Bは、データブロックの3つのインデックスが、ウエハ数(i)、スペクトル波長(j)、および、エッチング処理時間(k)に対応する三次元データブロックの形態で多くのウエハにわたって収集された反射スペクトルデータのかかるセットを概略的に示す。この三次元データブロックは、図に示すように、サイズK×Jの二次元“X”データブロックに「展開」されてよく、ここで、Kは時点の数であり、Jは波長の数である。(連結データベクトルのストライドは、波長の数Jである)。これらは、PLS分析に投入される独立変数である。PLS分析のための従属変数は、図に示すように、二次元“Y”データブロック内にあり、そのデータブロックは、図に示すように、l個のウエハの各々の最終的なN個の幾何エッチングプロファイル座標を含む。この過剰完全なセットのトレーニングデータから、PLS分析は、エッチング処理中の中間時点での反射スペクトルデータへの最終的なエッチングプロファイル座標の依存度を予測するために、回帰モデルを構築する。
(PLSモデルのためのトレーニングセットとして用いられる)かかるエッチングプロファイルおよびスペクトル反射のデータは、一連の異なるウエハにエッチング処理(および反射の測定)を実行することによって、実験的に測定されてよいが、かかる実験にはコストおよび時間が掛かりうることに注意されたい。ただし、上述の手順によって最適化されたものなど、十分な精度のEPMをすでに持っている場合、そのEPSを用いてエッチングデータセットを生成し、PLSモデルの構築/トレーニングにそれらを利用することが、より効率的な手順でありうる。原理上、実験的およびコンピュータ生成のエッチングプロファイルおよびスペクトル反射のデータ両方を組みあわせて用いることもできる。
いずれにしても、PLSモデルを構築するためにコンピュータ生成された反射スペクトルを利用することは、(潜在的に)最適化されていないEPMを用いて、PLS分析のための反射スペクトルのトレーニングセットを生成する反復手順を示唆しており、次いで、結果として得られるPLSモデルは、初期のEPMに戻ってそれを最適化するための(統計重みを有する)RDSを特定するために用いられてよい。次いで、新たに最適化されたEPMは、EPMのさらなる最適化で用いる新たなRDSを特定するなど、新たな(より良い)PLSモデルを構築するために新たなセットのエッチングデータを生成するのに用いられてよい。手順は、いくつかの所定の回数だけ繰り返して、または、PLSおよび/またはEPモデルの大幅な改善が、次の反復ではもはや見られないようになるまで、(EPM最適化およびPLS最適化の間を行き来して)このような方法で継続されてよい。変形例は、(例えば、PLS手順を含まない)上述の最適化技術のいずれかによって最適化されたEPMから開始し、そこから進む方法である。別の変形例は、いくつかの実験的に測定されたエッチング処理データを用いて、EPMとは独立した初期のPLSモデルを構築した後に、初期のEPMを最適化するためのRDSの特定に進む方法である。これらの一般的なテーマについてのその他の変形例およびそれらの組みあわせは、上述の議論を考慮すれば、当業者にとって明らかである。
この上述の反復アプローチは、図8に概略的に示されている。図8に示すように、最適化されたPLSモデルを生成する処理801は、共に一連のエッチング処理期間に対応する反射スペクトルの初期セットおよびエッチングプロファイルの対応するセットを受信する動作801で始まる。一連のエッチング時間は、エッチング処理中の異なる時間を表す場合があり、または、一連のエッチング時間は、異なる総エッチング期間のエッチング処理(換言すると、完了まで実行されるが、異なる基板に対して異なる総エッチング時間にわたって実行されるエッチング処理)を表す場合もある。いずれにしても、(一連のエッチング時間に対応する)この初期トレーニングセットの反射スペクトルは、実験的に測定されたもの、最適化されていないEPMで生成されたもの、または、上述したもの(例えば、PLSを含まないもの)などの別の手順によって最適化されたEPMを用いて生成されたものであってよい。トレーニングセットの受信後、初期PLSモデルを生成するために、PLS分析が動作820で実行される。PLSモデルは、(動作810で受信された)エッチングプロファイルの座標を(同じく動作810で受信された)反射スペクトルに関連づける。特定の実施形態において、PLS分析は、上述したように、初期の特定の時間での反射スペクトルの特定の波長に対する後のエッチング時間またはエッチング処理の終了時のエッチングプロファイル座標の依存度と、この依存度の統計的感度とを表す回帰モデルを生成する。
この初期PLSモデルは、一部の目的には十分に正確でありえ、動作830で、これに当てはまると判定された場合、最適化処理は終了する。しかしながら、動作830において、PLSモデルが十分な精度でないと見なされた場合、処理801は、(動作820で構築された)現在のPLSモデルを用いて、(上述のように)有効な誤差メトリックを規定するための統計重みと共に(統計的に有意な)縮小次元部分空間(RDS)を決定する動作840に進む。次いで、統計的に重み付けされた新たなスペクトル誤差メトリックは、(例えば)図6に関して上述したEPM最適化手順に従ってEPMモデルを最適化するために、動作850で用いられる。かかる統計的に重み付けされた誤差メトリックは、PLS手順によって統計的に有意であると見なされた(全スペクトル空間の)スペクトル部分空間におけるEPM計算反射スペクトルおよび対応する測定反射スペクトルの間の差の効果的な正確な尺度として機能するように、(例えば、図6の最適化で)用いられてよい。
このEPM最適化手順は、動作820で用いられたのと同じスペクトルデータを用いてもよいし、異なるスペクトルデータを用いてもよい(ただし、再び、動作840で規定された新たなスペクトル誤差メトリックを用いて最適化される)。いずれにしても、EPMは、(動作850で)最適化されると、計算反射スペクトルの新たな(かつ、おそらく非常に広範囲の)セットを生成するために用いられてよい。これは、動作860で1セットの計算エッチングプロファイルを生成し、その後、動作865で、これらの計算エッチングプロファイルを用いて、(例えば、図面に示し上述したRCWAを用いることによって)1セットの計算反射スペクトルを生成することによってなされる。次いで、これらのスペクトルは、スペクトルトレーニングセットとして、動作820へ戻されてよく、ここで、新たなPLSモデルが、この新たな(おそらくは、かなり広範囲の)トレーニングセットに基づいて生成される。新たなPLSモデルの統計的精度は、動作830で評価され;動作サイクル(840、850、860、865、820、および、830)は、動作830の反復の1つにおいて、PLSモデルが十分な統計的精度を持つと見なされるまで、反復されてよい。
この種のPLSモデルは、(「良好な」RDSの特定を介して)EPMモデルを最適化するのに有用であるが、同時係属の米国特許出願(代理人整理番号LAMRP230)(すべての目的に対してその全体が参照によって本明細書に組み込まれる)に記載されたものなど、エッチングエンドポイント検出手順にも独立的に有用であることに注意されたい。例えば、上述のように、PLSモデルは、エッチング処理中のどのスペクトル領域がエッチング処理の結果として得られる最終的なエッチングプロファイルをより良く/最良に予測するのかについての統計的判定と見なされうる。したがって、PLSモデルの構築は、実際上、フィーチャプロファイルがいつ十分にエッチングされたのかを判定するために(すなわち、エンドポイント検出のために)どのスペクトル領域をエッチング処理中に監視できるのかを特定する感度分析である。したがって、PLSモデルで重要な(エッチング時間の関数としての)それらのスペクトル領域寄りに最適化の統計的重み付けを行うことによるEPMモデルの最適化は、より効率的なEPM最適化に潜在的につながることに加えて、PLS感度分析の統計的精度を高める利点を有する。なぜなら、PLSモデルは、それにより、PLS分析によって重要であると見なされた(エッチング処理における)スペクトル空間の同じ領域寄りに最適化が統計的に重み付けされたEPMモデルによって生成されたエッチングプロファイルデータセットから構築されているからである。
エッチング動作で利用される容量結合プラズマ(CCP)リアクタ
容量結合プラズマ(CCP)リアクタが、2009年2月9日に米国特許出願第12/367,754号として出願した米国特許第8,552,334号「ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON−CONTACT PARTICLE SEAL」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
例えば、図9A〜図9Cは、ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタ900の一実施形態を示す図である。図に示すように、真空処理チャンバ902は、下側電極906を収容する内部空間を取り囲むチャンバハウジング904を備える。チャンバ902の上側部分には、下側電極906から垂直に離間されて、上側電極908が設けられている。(プラズマ生成に用いるように構成された)上側電極908および下側電極906の平面は、実質的に、平行であり、電極間の垂直方向に直交する。上側電極908および下側電極906は、円形であり、1つの垂直軸に関して同軸であることが好ましい。上側電極908の下面は、下側電極906の上面と対向している。離間されて対向している電極の表面は、それらの間に調整可能なギャップ910を規定する。プラズマ生成中、下側電極906には、RF電源(整合)920によってRF電力が供給される。RF電力は、RF供給管路922、RFストラップ924、および、RF電力部材926を通して、下側電極906に供給される。より均一なRF場を下側電極906に供給するために、接地シールド936が、RF電力部材926を囲んでよい。米国特許公開第2008/0171444号(すべての目的のためにその全体が本明細書に参照によって組み込まれる)に記載されているように、ウエハが、ウエハポート982を通して挿入され、処理に向けてギャップ910において下側電極906上に支持され、処理ガスが、ギャップ910に供給されて、RF電力によってプラズマ状態に励起される。上側電極908は、電力供給されてもよいし、接地されてもよい。
図9A〜図9Cに示す実施形態では、下側電極906は、下側電極支持プレート916上に支持されている。下側電極906と下側電極支持プレート916との間に挿入された絶縁リング914は、下側電極906を支持プレート916から絶縁する。RFバイアスハウジング930は、RFバイアスハウジングボウル932上に下側電極906を支持する。ボウル932は、RFバイアスハウジング930のアーム934によって、チャンバ壁プレート918の開口部を通して管路支持プレート938に結合されている。好ましい実施形態では、RFバイアスハウジングボウル932およびRFバイアスハウジングアーム934は、1つの構成要素として一体的に形成されるが、アーム934およびボウル932は、ボルトなどで結合された2つの別個の構成要素であってもよい。
RFバイアスハウジングアーム934は、RF電力と、気体冷却剤、液体冷却剤、RFエネルギ、リフトピン制御のためのケーブル、電気的な監視および作動の信号などの設備とを、真空チャンバ902の外側から真空チャンバ902の内側の下側電極906の背面の空間に通すための1または複数の空洞の通路を備える。RF供給管路922は、RFバイアスハウジングアーム934から絶縁されており、RFバイアスハウジングアーム934は、RF電力のRF電源920への帰還路を提供する。設備管路940は、設備要素のための通路を提供する。設備要素のさらなる詳細は、米国特許第5,948,704号および米国特許出願第2008/0171444号(共に、すべての目的のためのその全体が参照によって本明細書に組み込まれる)に記載されているため、記載を簡単にするため、本明細書では省略する。ギャップ910は、閉じこめリングアセンブリ(図示せず)によって囲まれていることが好ましく、その詳細は、米国特許公開第2007/0284045号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。
管路支持プレート938は、作動機構942に取り付けられている。作動機構の詳細は、米国特許公開第2008/0171444号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。作動機構942(サーボモータ、ステッピングモータなど)は、例えば、ネジ歯車946(ボールネジおよびボールネジを回転させるためのモータなど)によって、垂直リニアベアリング944に取り付けられる。ギャップ910のサイズを調整するための動作の間、作動機構942は、垂直リニアベアリング944に沿って移動する。図9Aは、作動機構942がリニアベアリング944の高位置にある結果、小さいギャップ910aが生じる場合の構成を示す。図9Bは、作動機構942がリニアベアリング944の中間位置にある場合の構成を示す。図に示すように、下側電極906、RFバイアスハウジング930、管路支持プレート938、RF電源920はすべて、チャンバハウジング904および上側電極908に対して、より低く移動されているため、結果として、中間サイズのギャップ910bが生じる。
図9Cは、作動機構942がリニアベアリングの低位置にある場合の大きいギャップ910cを示す。上側電極908および下側電極906は、ギャップ調整の間も同軸を維持し、ギャップの両側にある上側電極および下側電極の対向面は、平行を維持することが好ましい。
この実施形態によれば、複数工程のエッチング処理中のCCPチャンバ902内の下側電極906および上側電極908の間のギャップ910を、例えば、300mmウエハまたは平面パネルディスプレイなど、大直径の基板にわたって均一なエッチングを維持するために、調整することが可能である。特に、この実施形態は、下側電極906および上側電極908の間に調整可能なギャップを提供するのに必要な線形の動きを容易にするための機械的な構成に関する。
図9Aは、近位端で管路支持プレート938に対して、そして、遠位端でチャンバ壁プレート918の段付きフランジ928に対してシールされた軸直角変位ベローズ950を示す。段付きフランジの内径は、RFバイアスハウジングアーム934を通すチャンバ壁プレート918の開口部912を規定する。軸直角変位ベローズ950は、真空シールを提供すると共に、RFバイアスハウジング930、管路支持プレート938、および、作動機構942の垂直移動を許容する。RFバイアスハウジング930、管路支持プレート938、および、作動機構942は、片持梁アセンブリと呼んでもよい。好ましくは、RF電源920は、片持梁アセンブリと共に移動し、管路支持プレート938に取り付けられてよい。図9Bは、片持梁アセンブリが中間位置にある時に中立位置にあるベローズ950を示す。図9Cは、片持梁アセンブリが低位置にある時に軸直角方向に変位したベローズ950を示す。
ラビリンスシール948が、ベローズ950とプラズマ処理チャンバハウジング904の内部との間に粒子バリアを提供する。チャンバハウジング904の内壁のチャンバ壁プレート918に、固定シールド956を固定することで、ラビリンス溝960(スロット)が提供されており、そのラビリンス溝960内で、移動可能なシールドプレート958が、片持梁アセンブリの垂直の動きに対応して垂直移動する。移動可能なシールドプレート958の外側部は、下側電極906がどの垂直位置にあっても、スロット内に残る。
図の実施形態において、ラビリンスシール948は、チャンバ壁プレート918の開口部912においてチャンバ壁プレート918の内面に取り付けられてラビリンス溝960を規定する固定シールド956を備える。移動可能なシールドプレート958は、RFバイアスハウジングのアーム934がチャンバ壁プレート918の開口部912を通過する位置で、アーム934から放射状に伸びるように取り付けられる。移動可能なシールドプレート958は、ラビリンス溝960内に伸びると共に、第1ギャップだけ固定シールド956から離間され、第2ギャップだけチャンバ壁プレート918の内壁から離間されていることにより、片持梁アセンブリが垂直に移動することを許容する。ラビリンスシール948は、ベローズ950から砕けた粒子が、真空チャンバの内部に進入することを防ぎ、処理ガスプラズマからのラジカルがベローズ950に移動すると堆積物を形成して後に砕ける場合があることから、その移動を防止する。
図9Aは、片持梁アセンブリが高位置にある(小さいギャップ910aである)時に、移動可能なシールドプレート958が、RFバイアスハウジングアーム934の上方のラビリンス溝960内で高位置にある様子を示す。図9Cは、片持梁アセンブリが低位置にある(大きいギャップ910cである)時に、移動可能なシールドプレート958が、RFバイアスハウジングアーム934の上方のラビリンス溝960内で低位置にある様子を示す。図9Bは、片持梁アセンブリが中間位置にある(中間のギャップ910bである)時に、移動可能なシールドプレート958が、ラビリンス溝960内で中立すなわち中間位置にある様子を示す。ラビリンスシール948は、RFバイアスハウジングアーム934に関して対称であることが図示されているが、別の実施形態では、RFバイアスアーム934に関して非対称であってもよい。
エッチング動作で利用される誘導結合プラズマリアクタ
誘導結合プラズマ(ICP)リアクタについては、2013年12月10日出願の米国特許公開第2014/0170853号「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
例えば、図10は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマエッチング装置1000の断面を概略的に示しており、エッチング装置の一例は、カリフォルニア州フレモントラムリサーチ社製のKiyo(商標)リアクタである。誘導結合プラズマエッチング装置1000は、チャンバ壁1001および窓1011によって構造的に規定された全面エッチングチャンバを備える。チャンバ壁1001は、ステンレス鋼またはアルミニウムから製造されてよい。窓1011は、石英またはその他の誘電材料から製造されてよい。任意選択的な内部プラズマグリッド1050が、全面エッチングチャンバを上側サブチャンバ1002および下側サブチャンバ1003に分割する。ほとんどの実施形態において、プラズマグリッド1050を取り除くことにより、サブチャンバ1002および1003でできたチャンバ空間を利用することができる。チャック1017が、下側サブチャンバ1003内で底部内面付近に配置されている。チャック1017は、エッチング処理が実行される半導体ウエハ1019を受けて保持するように構成されている。チャック1017は、ウエハの存在時にウエハ1019を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)が、チャック1017を取り囲んでおり、ウエハがチャック1017上に存在する時にウエハ1019の上面とほぼ同一平面上にある上面を有する。チャック1017は、ウエハをチャックおよびデチャックするための静電電極も備える。フィルタおよびDCクランプ電源(図示せず)が、そのために提供されてよい。ウエハ1019をチャック1017から持ち上げるための他の制御システムも準備されうる。チャック1017は、RF電源1023を用いて帯電されうる。RF電源1023は、接続1027を通して整合回路1021に接続される。整合回路1021は、接続1025を通してチャック1017に接続される。このように、RF電源1023が、チャック1017に接続されている。
プラズマ生成のための要素には、窓1011の上方に配置されたコイル1033が含まれる。コイル1033は、導電材料から製造され、少なくとも1つの完全な巻きを含む。図10に示すコイル1033の例は、3回の巻きを含む。コイル1033の断面が記号で示されており、「X」のコイルは、紙面の表から裏に向かって回転して伸び、「●」のコイルは、紙面の裏から表に向かって回転して伸びている。プラズマ生成のための要素には、コイル1033にRF電力を供給するように構成されたRF電源1041も含まれる。一般に、RF電源1041は、接続1045を通して整合回路1039に接続される。整合回路1039は、接続1043を通してコイル1033に接続される。このように、RF電源1041が、コイル1033に接続されている。任意選択的なファラデーシールド1049が、コイル1033と窓1011との間に配置されている。ファラデーシールド1049は、コイル1033に対して離間された関係に維持される。ファラデーシールド1049は、窓1011の直上に配置される。コイル1033、ファラデーシールド1049、および、窓1011は、各々、互いに実質的に水平になるように構成される。ファラデーシールドは、金属またはその他の種がプラズマチャンバの誘電体窓上に蒸着することを防ぎうる。
処理ガス(例えば、ヘリウム、ネオン、エッチャントなど)が、上側チャンバ内に配置された1または複数の主要ガス流入口1060および/または1または複数の側方ガス流入口1070を通して処理チャンバに流されてよい。同じように、明示されていないが、同様のガス流入口が、図6A〜図6Cに示した容量結合プラズマ処理チャンバに処理ガスを供給するために用いられてよい。真空ポンプ(例えば、1または2段の機械的乾式ポンプおよび/またはターボ分子ポンプ)1040が、処理チャンバ1024から処理ガスを引き出すため、および、処理チャンバ1000内の圧力を維持するために用いられてよい。バルブ制御された導管が、真空ポンプを処理チャンバに流体接続して、真空ポンプによって提供される真空環境の印加を選択的に制御するために用いられてよい。これは、動作プラズマ処理中、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御された流量制限装置を用いて行われてよい。同様に、真空ポンプ、および、図6A〜図6Cの容量結合プラズマ処理チャンバへのバルブ制御された流体接続が、用いられてもよい。
装置の動作中、1または複数の処理ガスが、ガス流入口1060および/または1070を通して供給されてよい。特定の実施形態において、処理ガスは、主要ガス流入口1060を通してのみ、または、側方ガス流入口1070を通してのみ供給されてよい。いくつかの場合、図に示したガス流入口は、例えば、より複雑なガス流入口、1または複数のシャワーヘッドと置き換えられてもよい。ファラデーシールド1049および/または任意選択的なグリッド1050は、チャンバへの処理ガスの供給を可能にする内部チャネルおよび孔を備えてよい。ファラデーシールド1049および任意選択的なグリッド1050の一方または両方が、処理ガスの供給のためのシャワーヘッドとして機能してよい。
高周波電力が、RF電源1041からコイル1033へ供給されることで、RF電流がコイル1033を流れる。コイル1033を流れるRF電流は、コイル1033の周りに電磁場を生成する。電磁場は、上側サブチャンバ1002内で誘導電流を発生させる。生成された様々なイオンおよびラジカルとウエハ1019との物理的および化学的な相互作用が、ウエハのフィーチャを選択的にエッチングする。
上側サブチャンバ1002および下側サブチャンバ1003の両方が存在するようにプラズマグリッドが利用される場合、誘導電流は、上側サブチャンバ1002に存在するガスに作用して、上側サブチャンバ1002内で電子イオンプラズマを生成する。任意選択的な内部プラズマグリッド1050は、下側サブチャンバ1003内のホットエレクトロンの量を制限する。いくつかの実施形態において、装置は、下側サブチャンバ1003に存在するプラズマがイオン−イオンプラズマになるように設計および動作される。
上側の電子−イオンプラズマおよび下側のイオン−イオンプラズマは両方とも、正イオンおよび負イオンを含むが、イオン−イオンプラズマの方が、正イオンに対する負イオンの比が大きい。揮発性のエッチング副生成物が、ポート1022を通して下側サブチャンバ1003から除去されてよい。
本明細書に開示されたチャック1017は、約10℃〜約250℃の範囲の高温で動作されてよい。温度は、エッチング処理動作および個々のレシピに依存する。いくつかの実施形態において、チャンバ1001は、約1mTorr〜約95mTorrの範囲の圧力で動作してもよい。特定の実施形態において、圧力は、上述のようにより高くてもよい。
チャンバ1001は、クリーンルームまたは製造施設に設置される時に、設備(図示せず)に接続されてよい。設備は、処理ガス、真空、温度制御、および、環境粒子制御を提供する配管を備える。これらの設備は、対象となる製造施設に設置される時に、チャンバ1001に接続される。さらに、チャンバ1001は、典型的なオートメーションを用いてロボット技術により半導体ウエハをチャンバ1001の内外に移送することを可能にする移送チャンバに接続されてよい。
さらに、図10には、システムコントローラ1050が示されている。以下で詳述するように、かかるシステムコントローラ1050は、本明細書に記載の最適化されたEMPを用いて計算されたエッチングプロファイルの生成に応じて、エッチャの動作を調整することを含め、エッチャ装置の動作の一部または全部を制御してよい。
フォトレジストマスク設計レイアウトの予測パターン近接効果補正
20nmノード以上へのフォトリソグラフィの拡張は、フォトリソグラフィおよびエッチングならびにマスクの設計および製造にさらに厳しい公差要件を課し続ける先進的な分解能向上技術を推進する。フォトマスクにおける残余誤差の存在、および、処理モデルにおける残余誤差を捕らえることに含まれる制限が、マスク加工影響の補正を駆動するのに役立った。しかしながら、プラズマベースエッチング処理自体では、フォトリソグラフィによるパターン化されたフォトレジストの転写後に、プラズマフラックスのパターンローディングなどの長距離の不均一性、および、フォトレジストによって規定されたパターンのエッチングにおける「近接欠陥」などの短距離の欠陥が、パターニングされたエッチング処理全体で観察される欠陥シグネチャに寄与する。(2層スタック上に)フォトレジスト1101の層によって規定されたように、フィーチャが材料内にエッチングされる前後の半導体基板上の材料の2層スタックの断面図を示す図11Aに、簡単な例を示す。この図は、比較的理想的なエッチング処置でも、(マスク(図示せず)によって投影された)フォトレジスト1101の転写パターンの底部における「フット」1111が、エッチングフィーチャの幅に影響を与える場合があることを示しており、これとは別に、図は、エッチング後のフィーチャの側壁が、完全に垂直ではなく、それらに向かって若干テーパ上になりうることを示している。図11Bは、原形的なフィーチャ(その中でトレンチが90度曲がっている)の上面図を示しており、かかるフィーチャの意図された設計(図11B−1)が、分断されたレイアウト(図11B−2)に見られるように近接効果によって変えられうることを示す。パターン化されたエッチング処理におけるかかる短距離の近接欠陥は、ダイ内のクリティカルディメンション(CD)のばらつきを高めるよう作用し、集積回路(IC)の性能および歩留まりの低下に寄与しうる。パターン化されたエッチング処理のためのフォトマスクを生成する現在の技術水準の方法では、パターン近接欠陥の修正(すなわち、「パターン近接効果補正」)が、経験的ルールに基づいた補正戦略または経験的モデルに基づいた補正戦略のいずれかによって対処される。ルールに基づいた手順は、通例、テスト基板上に基準フォトレジストパターンレイアウトを形成するためにフォトリソグラフィで転写され、その後、エッチングされた時に、基準レイアウトにおける標準セットのライン/空間フィーチャに関連する所与のピッチ/CDに標準セットのオフセット/補正を提供する基準マスクを用いる。かかるルールに基づいたアプローチは、汎用のフォトレジストレイアウトを扱う時に、精度が限られる。
モデルベースのアプローチは、可変エッチングバイアスモデル(VEB)と一般に呼ばれるものを利用する。その他の同様の発見的モデルは、(エッチング側の)コンパクトなリソ−エッチバイアスモデルおよび(リソグラフィ側の)コンパクトなレジストモデルとして知られるものを含む。そのアプローチ自体は「モデルベース」と呼ばれるが、これは、(ここで簡単に述べるように)統計学に基づく最小二乗適合「モデル」だけを指しており;物理学に基づく(すなわち、化学的表面動力学に基づく)エッチング処理の計算モデル(エッチング処理にわたって時系列でフィーチャのエッチングプロファイルの近似的な変化を計算する上述の最適化されたエッチングプロファイルモデル(EPM)など)を指してはいない。
標準的な経験的VEBアプローチでは、実験CD情報が、所与の処理条件セットについて多くの予めプリントされたマスクテスト較正パターンから収集される。特に、このために、これらの較正マスクが構築され、フォトレジストの関連する較正パターンが、(構築されたマスクを用いたフォトリソグラフィ処理によって)実際のウエハ基板へ転写されなければならず、その後、これらの(テスト)パターン化ウエハは、所与の処理条件下で実際にエッチングされなければならない。
これは、その他の工程と共に、VEBモデルベースのアプローチ全体をかなり時間の掛かるものにする。図12の上の部分は、標準的な経験的VEBアプローチの様々な段階を示しており、様々な段階の完了のため、および、VEBベースのマスク構築処理全体の完了のための(週単位での)タイムラインを示すものである。図に示すように、通例、この処理の較正マスク構築工程(すなわち、上述の工程の最初の工程)の前には、標準的なフォトリソグラフィ(「Prolith」)シミュレーション(Prolithは、業界標準ソフトウェアパッケージである)が行われ、後には、反復光学近接効果補正(OPC)工程が続く。本質的には、これら2つの工程は、(マスク設計を通して投影された光学的放射への暴露から生成される)所与のフォトレジストパターンレイアウトに対応するマスクを決定するための手順を構成する。この段階で、フォトレジストの所望のパターンは較正パターンであるため、「較正マスク」は、この手順を通して決定された後に、「構築」が(図に示すように、3番目の工程として)実行される。
(図に示す)「較正マスク構築」に続き、フォトレジストパターンがマスクに従ってテスト基板に転写され、基板がエッチングされる。次いで、CD情報が、テスト構造から抽出され、CDバイアスをテスト構造のエッジの移動と相関させるデータの最小二乗適合が(図に示すように)実行される。この最小二乗適合モデルから、エッジ補正が、再較正のために構築されたテストマスクに適用され、マスク構築手順が繰り返される。このマスク構築/エッチング/最小二乗適合のサイクルは、最後のセットのマスク設計のプリントに収束する前に、少なくとも数回反復される。(図12は、かかる構築/エッチング/適合サイクルの1または数回のみを示しているので、VEBモデルに関しては、この点で楽観的なものである)。全体として、図に示すように、この現在の技術水準のいわゆる「モデルベース」VEBアプローチは、完了に少なくとも12週間かかると推定されるが;複数回の構築−エッチング−適合サイクルが必要である場合、(例えば)完了に16週間以上かかりうる。さらに、手順は、実際のウエハ基板の実験的エッチング、ならびに、これらの基板のエッチング前および/またはエッチング後の測定の両方を、さらに、潜在的に多いサイクルにわたって含むので、処理全体は、(通例)、材料および資源コストの視点からもかなり高くなる。最後に、このプロトコルの統計的精度への制約にも注意すべきである:テストウエハの表面上にある有限の限られた数のパターン化サイトが測定され、最小二乗適合手順で用いられる。この回帰窓の外側の補外は、必ずしも統計的妥当性が限られるとは限らず、もちろん、実際の/生産レイアウトは、再現されないフィーチャ、または、テスト較正パターンに見られるものと類似しないフィーチャを有する。
これらのほぼ完全に経験的なアプローチと対照的に、本明細書に記載の方法は、実際の物理学および表面動力学ベースのエッチングプロファイルモデル(EPM)(すなわち、基板表面上で起こり基板のプラズマエッチングの主要因である基本的な物理学的プロセスおよび化学反応メカニズムのモデル)を利用するモデルベースのアプローチを用いる;上述したように、EPMモデルは、半導体基板上のエッチングプロファイルがプラズマベースエッチング処理中に変化するのに伴って、その変化を追跡する。パターン化されたエッチング処理の場合、フィーチャプロファイルの時間的変化は、半導体基板の表面上の所与の材料スタックに重ねられた(所与のマスクレイアウトから形成された)或るフォトレジスト層のパターニングに基づいて進行する。概して、本明細書に記載の物理/化学モデルベースのアプローチでは、所与のマスク開口処理について、(線形二次元ライン/ピッチ格子を含み、単純な三次元パターンを含んでもよい)目標較正パターン/レイアウトが、(上述したように)厳密な物理学ベースのEPMを最適化するために用いられる。(所与の材料スタックにフォトリソグラフィで転写されて、エッチングされた後に)目標較正パターンを備える試験ウエハで測定が行われ、EPMが、上述の様々な最適化手順のいずれかを用いて、この実験測定に対して較正される。いくつかの実施形態において、最適化は、クラウドベースまたはクラスタベースの実施例を用いて実行されてよく、計算は、中心点の周りの多数のサンプルを生成した後に、実験データに関して、EPMの較正/最適化の改善のためにそのパラメータ空間で検索を行うことを含みうる。
最適化されたEPMが(特に、所与のエッチング処理および基板材料スタックに対して)確立されると、計算予測パターン近接効果補正(PPC)スキームの実施で用いられてよく、そのスキームは、いくつかの実施形態においては、さらなる物理実験を必要としない。したがって、図12の下側部分に示すように、かかる物理学ベースのモデルアプローチを用いる際に、方法は、総マスク構築時間を少なくとも3〜4週間短縮でき、1つだけの最終的な物理マスク構築工程を含む。
PPC処方の基本的概観が、設計レイアウト全体の小部分である隔離されたフィーチャに関して、図11Bで概略的に示されている。図11B−1に示すように、意図したエッチング設計に対応する(適切に設計された光学マスクを通して投射されることによってフォトリソグラフィで生成されたフォトレジストの層に具現化される)初期(試験)エッチング設計レイアウトが受信される。この例では、単純なL字形トレンチ(90°曲がっている)である。次いで、受信された設計レイアウト(再び、これは、意図された設計パターンである)のエッジは離散化され(1セットの点が、エッチング設計レイアウトの水平面内で選択される)(図11B−2の「分断されたレイアウト」を参照)、最適化/較正されたEPMモデル(較正パターンに従って最適化された上述のものなど)が、離散化されたエッジ点の選択されたセットに実行される。EPMからの出力は、様々な離散化エッジ点に関して計算されたシミュレートされたエッチング中に経時的に変化する(図1に示したような)フィーチャの断面プロファイルである。したがって、最終エッチング時間まで、EPMは、離散化の精密さ(または粗さ)に従って、フィーチャの輪郭(図11B−2の「シミュレートされた輪郭」を参照)の周りのフィーチャのエッジ配置誤差(EPE)の推定を提供する。図11B−2に示すシミュレートされた輪郭は、図11B−1に示す元々のフォトレジストパターンを用いて実際のプラズマエッチングを実際に実行する場合に見られる可能性がある近接欠陥を示す。しかしながら、EPM計算に基づいて、予測された欠陥を補償する近接効果補正された設計レイアウトを提供するために、初期設計レイアウトが、図11B−3に示すように修正されてよい。近接効果補正(PPC)設計レイアウトに似せて、フォトレジスト層を基板に転写してパターニングし、その後、このPPCで基板をエッチングすることで、図11B−4に示す「最終的なパターン」が形成され、この図は、元々の意図された設計レイアウトとより近く一致するエッチングフィーチャのエッジを示す。
この一般的な構成に基づいて、様々なアプローチが想定されうる。ウエハ基板のパターニングされた表面全体が多数のエッジ点で離散化され、較正されたEPMが適切な近接効果補正を決定するためにエッジ点の各々に対して実行される力づくのアプローチが想定されうる。これは、原理上は機能しうる。実際的には、かかる大きいグリッドの点を網羅するのに必要なEPM計算の回数は、計算の視点からかなりコストが掛かり、かなり実行困難でありうる。
しかしながら、ウエハの表面上の異なる点およびウエハの表面上にエッチングされる異なるフィーチャ内で起きる実際の物理的プロセスおよび化学的プロセスの間にかなり多くの類似性がある可能性があるという認識から、別のアプローチが生じうる。エッチングチャンバ内でのプラズマフラックスの変動に伴って設計レイアウトから異なるフィーチャ形状は、もちろん、或る程度の差につながるが、例えば、同じ化学反応が含まれる、同じプラズマが含まれる、多くのフィーチャが同様の形状を有する、または、異なる一般的な分類の形状に入る、など、ウエハ全体でかなりの類似性がある可能性が有る。したがって、この認識によれば、これらの類似性を利用して、所与の設計レイアウト内のすべてのエッジに力づくのEPM計算を行うことを避けるための具体的な手順が求められる。それにより、莫大な計算コストの節約の機会が提供される:設計内の多くの点が同じ結果を生む可能性があるので、大きい複雑なフォトレジスト設計レイアウト内のすべてのフィーチャにEPMを再実行する必要がない。この鍵は、これらがどの点であるのかを解明することである。
これを達成するための本明細書に記載の具体的なアプローチは、フィーチャ内のエッチング反応速度が、エッチングされているフィーチャ内のプラズマもしくはエッチング処理中のフィーチャ内の任意のエッチャントまたは不動態化種の物理的特性と強く相関するというより具体的な概念を利用する。特に、各フィーチャ(すなわち、半導体基板上の材料スタック)の材料組成は、通例、同じになるので、これはそのようである。換言すると、フィーチャ内の所与のエッチャント(例えば、プラズマベースの)フラックスについて1つのフィーチャ内で起きること(例えば、フィーチャのエッジが、エッチングにつれてどのように動くか)が(最適化されたEPMで)既知である場合、おそらく、エッチング中に同じフィーチャ内プラズマ/エッチャントフラックス(IFPF)を有するすべてのフィーチャで(または、或る程度の総幾何学的相似度を有するフィーチャについて)同じ結果が生じる。
この概念を実施するため、そして、すべてのエッジに力づくでEPM計算を行うことを避けるために、1セットの目標較正構造が構築される。図13Aは、それから選択された特定の構造/フィーチャ1301および1302を備えた単純な較正パターン1300を示す図である。各目標較正構造/フィーチャについて、フィーチャ内プラズマフラックス(IFPF)の1または複数の特性が決定され、エッチング中のフィーチャの時間的変化、特に、エッチングの結果としてのフィーチャのエッジ配置誤差(EPE)を決定するために、最適化されたEPMモデルが、その較正構造/フィーチャに対して実行される。目標較正構造/フィーチャが、実際のフォトレジスト設計レイアウト内で見られる可能性のあるIFPFの範囲をカバーするIFPFの範囲を示す場合、限られた数の較正構造にEPMを実行するこの手順は、それでも、IFPFとEPEとの間の近似的な対応関係を提供している。対応関係は、本明細書において、次数低減モデルすなわちROMと呼ばれ、本明細書に記載するように、対応関係は、図13Bおよび図13Cに示すものなど、ルックアップテーブル(LUT)フォーマットで便利に表されうる。次いで、かかるROM LUTは、近接効果補正のためのプロトコルが開発されうる非常に高速な計算ツールを構成する。ただし、ROM関係を表すために、他の計算効率の良い実施例が用いられてもよいことを理解されたい。例えば、後に詳述するように、LUTを構築するために利用されうるのと同様のデータセットで、機械学習モデルをトレーニングしてもよい(あるいは、おそらく、トレーニングデータセットは、さらに包括的であってもよい−以下を参照)。LUTは、EPEとIFPFに特徴的な量との間のROM関係の1つの計算効率のよい/実現可能な実施例であるが、実施形態によっては、別の実施例が、さらに高速である、および/または、トレーニングセット内の点の間のより良好な補間を提供する場合があり、したがって、好ましい場合がある。
いずれにしても、より一般的には、マスクされたエッチング処理の次数低減モデル(ROM)であるもののルックアップテーブル(LUT)実施形態に再び言及すると:図13Bに示すように、ルックアップテーブル内の各エントリは、通例、IFPFに特徴的な量の1または複数の値のためのフィールド(この例では、フィーチャ内プラズマイオンフラックスおよびフィーチャ内プラズマ中性フラックスならびに不動態化蒸着フラックスのための列/フィールド)と、IFPFに関連する量(較正構造にEPMを実行して以前に決定されたもの)と関連すると予測される結果としてのEPE(この例では、”ΔxEPE”)(または、EPEに特徴的である/を示す量)のためのフィールドと、を有する。図13Bのテーブルに示すように、フィーチャ内プラズマイオンフラックス(IFPIF)、フィーチャ内プラズマ中性フラックス(IFPNF)、および、フィーチャ内不動態化蒸着フラックス(IFPDF)は、フィーチャ内プラズマエッチャント種のコンパクトな物理モデル(CPM)を表し、一般に、基板の真上のフラックス負荷の影響と、フィーチャ内の様々な深さでの可視性とを考慮した計算で決定される。(図13BのLUTの例でさらに示すように、フラックス”負荷”は、中性種フラックスおよび不動態化種フラックスを決定するのにより重要であるが、”可視性”は、イオン種フラックスに関連し、プラズマイオンフラックスは、処理チャンバ内の電磁場によって方向性を持ち、そのため、フィーチャの側壁からのシャドーイング効果を非常に受けやすい)。
さらに、ルックアップテーブル内の異なるエントリが、1つの総エッチング時間に対応しうるか、または、異なるエントリが、異なるエッチング時間に対応しうる。図13Bのテーブルでは、エッチング中の異なる中間時点の値をテーブルにするために、「層の深さ」フィールド(z、z、・・・z)が、例えば、「エッチング時間」フィールド(t、t、・・・t)の代わりに用いられるが、原理は同じである。(エッチング中の複数の中間時点のEPEデータを集める利点については、後に詳述する)。
これをより具体的に示すために、ルックアップテーブルのフィールド内の量を示した半導体基板のフィーチャ/構造を示す簡略な図が、図14Aおよび図14Bに示されている。両方の図が、エッチング処理でエッチングされた幅’w’およびピッチ’P’を有する構造/フィーチャ1410を規定するフォトレジスト層からフォトレジストの2つのライン(LおよびL)の断面プロファイルを示している。図14Aは、フィーチャが対応する深さzを有する様々なエッチング時間tのフィーチャの実際のプロファイルとして見ることができるものを概略的に示しており、これは、図13Bに示したルックアップテーブルで表にしたように、時間(等価的に、深さ)の離散化を表す。(上述のように、エッチング時間とエッチング深さとの間に対応関係がある)。図14Bは、エッチング中の様々な時点の同じフィーチャの”デジタル”/離散化表現と、図13Bのルックアップテーブルで表にしたEPE(ΔxEPE)がどのように計算されるのか、とを示す。
したがって、次数低減モデル(ROM)ルックアップテーブル(LUT)を用いて、設計レイアウト内の特定のエッジのEPEを決定するために、エッジが関連するフィーチャに対応するIFPFに特徴的な量の1セットの1または複数の推定値を利用し、テーブル内でこれらの量を探す。したがって、例えば、図13BのROM LUTに示すように、フィーチャ内プラズマイオンフラックス(IFPIF)、フィーチャ内プラズマ中性フラックス(IFPNF)(プラズマフリーラジカル種を含む)、および、フィーチャ内不動態化蒸着フラックス(IFPDF)を、LUT内にインデックス化するためのフィーチャ内プラズマフラックス(IFPF)に特徴的な量として用いて、これらの量に近似的に対応するエッジ配置誤差(EPE)の推定値を得ることができる。
しかしながら、これらは、フィーチャ内のプラズマの特性の「コンパクトな物理モデル」(CPM)を表しうる唯一の可能な量ではない。この点で、「IFPFに特徴的な量」という表現は、(本明細書で用いられるように)、計算モデルから決定された(ただし、原理的には、実験的にも決定されうる)フィーチャ内(すなわち、その側壁間)のプラズマ/エッチャントの実際の物理特性を包含するよう意図される。しかしながら、IFPFは、(本明細書で用いられるように)、より一般的には、フィーチャ自体の中のプラズマ/エッチャントの実際の物理特性をおそらく表さないにも関わらず、それらと強く相関する他のプラズマ/エッチャントパラメータを含むようにも意図される。
一例が、図13CのROM LUTに示されている。このROM LUT内には、”負荷フラックス”(フィーチャ上方の負荷フラックスを指す)のための明確なフィールド、および、”可視性”(例えば、フィーチャの角度依存の”可視性カーネル”を半球状に平均/積分することによって得られるフィーチャの側壁のシャドーイング効果を表す−以下のさらなる詳述を参照)のためのフィールドがある。これらは、厳密に言えば、フィーチャ内(すなわち、その中の下方、その側壁の間)の実際のプラズマ種の実際の物理特性ではないが、まとめると、これらのパラメータは、フィーチャ内のプラズマの実際の物理特性と強く相関する。例えば、基板表面上方の負荷フラックスおよびフィーチャの近似的な可視性が、(それらの技術用語が当業者に理解されるように)既知である場合、フィーチャ内のイオンおよび中性/ラジカルプラズマフラックス密度を良好な精度で計算できる。このため、まとめると、かかる1セットのフィーチャは、テーブル内にリストされたEPEにつながる実際のフィーチャ内イオンおよび中性/ラジカルプラズマフラックスの”コンパクト物理モデル”(CPM)を構成するとも言える。したがって、図13CのLUT内のこれらのフィールドは、本明細書において、”IFPFに特徴的な量”としても分類される。
また、図13Bおよび図13Cに示したLUTが”エッジ”フィールドを含むことにも注意されたい。手っ取り早い方法として、例えば、ルックアップテーブルへのキーとして負荷フラックスまたは可視性を用いる代わりに、ルックアップテーブル内でエッジを直接探すこともできる。通例、エッジフィールドは、較正パターン内に存在するフィーチャのエッジと幾何学的相似性を有する設計レイアウト内に存在するフィーチャのエッジを識別してルックアップテーブル内で見つけるための或る種のエッジ形状インジケータを含む。したがって、いくつかの実施形態において、設計レイアウト内のフィーチャのエッジのエッジ形状インジケータが、そのフィーチャの形状と較正パターン内に存在するフィーチャの形状とをパターンマッチングすることによって決定されうる(次いで、ルックアップテーブルへのキーとして利用されうる)。フィーチャ形状は、IFPFと強く相関する可能性があるので、こうすることにより、エッジ形状インジケータは、LUT内にインデックス化するためのIFPFに特徴的な量になりうる。いくつかの実施形態において、ルックアップテーブルは、最初に、フィーチャの決定されたエッジ形状インジケータに基づいて検索されてよい。特定のかかる実施形態において、エッジ形状インジケータに基づくかかる検索は、テーブル内にリストされた他の量に基づく詳細な検索(および/または補間)(IFPIFおよび/またはINPNFに基づく後続の検索など)が実行される前に、ルックアップテーブル内の関連エントリを絞り込むために最初に利用されてよい。
概念上、ルックアップテーブルは、したがって、ルックアップテーブルを構築するのに用いられる処理条件および特定の材料スタックを考慮すると、IFPF関連の量と、フィーチャがエッチングされる際のフィーチャのEPE(エッジ配置誤差)との間の非常に高速なマッピングを提供する。それは、高速であるだけでなく、非常に複雑な物理的/化学的エッチング処理になるものを、局所的なプラズマフラックス(IFPF)(または、関連するエッチング処理である場合には、非プラズマベースのエッチャントのフラックス)の特性と、エッジ配置誤差(EPE)との間の中心的な因果関係に縮小するよう機能することから、本明細書では、次数低減モデル(ROM)と呼ばれる。再び、このROM関係性(ルックアップテーブルに具現化される)は、上で詳述した最適化方法のいずれかを用いて実際の実験処理挙動に対して較正された物理ベースのEPMを用いて構築されうる。どのように構築されても、この関係性が確立されると、ROMルックアップテーブル内に具現化されたエッチング処理の物理および化学に基づいて、フォトレジスト用の初期/試験設計レイアウトのパターン近接効果補正(PPC)を行うために、処方が設計されうる。
かかる一連の動作は、図15のフローチャートに示されている。図に示すように、エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成するための方法は、初期設計レイアウトを受信する動作1500に始まり、その後、動作1520で、フィーチャが、近接効果補正に向けて設計レイアウト内で特定される。もちろん、多くの場合、近接効果補正に向けて設計レイアウト内の多数の画像を選択することが望まれる;これについては、後に詳述する。いずれにしても、この特定されたフィーチャに関して、動作1540で、方法は、モデル化されたプラズマベースのエッチング処理中の時間tのフィーチャの中でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定することによって進行し、次に、動作1550で、これらの1または複数の推定されたIFPF関連の量は、ルックアップテーブル内に具現化された次数低減モデル(ROM)を用いて時間tのフィーチャのエッジのエッジ配置誤差(EPE)を推定するために用いられる。(時間tのIFPFに特徴的な量は、ROMルックアップテーブルへのキーとして機能する)。再び、ROMルックアップテーブルは、時間tの値をIFPFに特徴的な1または複数の量に関連づけるものであり、材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築される。フィーチャに関連する推定EPEが決定されると、方法は、EPEに基づいて初期設計レイアウトを修正する動作1590で終了する。
次いで、修正された設計レイアウトは、例えば、上述の業界標準の”Prolith”ソフトウェアパッケージを用いて、エッチングフォトマスク設計が生成する元となりうる最終的な近接効果補正レイアウトとして機能しうる。次いで、実際のフォトマスクが、物理的に形成され、近接効果補正された設計レイアウトに一致するフォトレジスト層を基板表面に転写するために、通常の方法で、それを用いてフォトリソグラフィ動作が実行されうる。最後に、実際のプラズマエッチング動作が実行されてよい。
上述のように、ほとんどの場合、パターン近接効果補正(PPC)は、初期設計レイアウト内の多くのフィーチャになされることが望ましい。したがって、図16は、図15に示した方法と類似するが、複数のフィーチャ(それらのパターンが初期設計レイアウト内にある)に関するパターン近接効果補正を含む方法1502を示す。図16に示すように、方法1502は、図15の方法1501と同様に進むが、対象となる最初のフィーチャについてEPEが推定される動作1550の後に、動作1571で、別のフィーチャを対象とすべきか否かが判定される。対象とすべきである場合、方法は、初期設計レイアウト内の別のフィーチャが選択される動作1520に戻り、上述のように進むが、新しく追加的に対象となったフィーチャに関して、再び動作150でそのEPEの推定値を求める。次いで、方法1502は、対象とすべきフィーチャがないと判定されるまで、動作1571の判定ロジックに従ってループし続け、フィーチャがないと判定された時点で、方法は、次に初期設計が、対象となったすべての異なるフィーチャに対して推定された異なるEPEに基づいて修正される動作1590に進む。
上述のように、IFPFに関連する(に特徴的な)様々な量が、ROM LUT内にインデックス化することによってEPEの推定値を取得するためのキーとして用いられてよい。図13Cに示した実施形態では、プラズマフラックスのコンパクトな物理モデル(CPM)が、ウエハ上の負荷プラズマフラックスおよびフィーチャ内可視性に関して利用された。図13Bに示した実施形態において、ROM LUTは、上述のように、フィーチャ内プラズマイオンフラックス(IFPIF)、フィーチャ内プラズマ中性フラックス(IFPNF)(プラズマフリーラジカル種を含む)、および、フィーチャ内不動態化蒸着フラックス(IFPDF)に関して、CPMを利用する。
さらに、本明細書で説明する例のほとんどは、ROM LUTアプローチでプラズマベースのエッチング処理をモデル化する場合に関するが、いくつかの実施形態において、他のエッチング処理も、LUTの枠組みで効果的にモデル化されうる。例えば、プラズマがない場合、より一般的に、フィーチャ内エッチャントフラックス/濃度(IFEF)に特徴的な1または複数の量が、この非プラズマベースのエッチング処理に対応するEPEの値を保持するLUT内にインデックス化するためのキーとして用いられてもよい。
処理チャンバ、チャンバ形状などに対して設定される処理条件が、基板の表面から遠い”全体のプラズマフラックス”を決定し、すなわち、処理条件は、基板が存在しない時に、どのような”全体のプラズマフラックス”が一般に処理チャンバ内に存在するのかを決定づけることに注意されたい。基板が存在する場合、基板は、その近傍の真上のプラズマフラックスに影響を与え、すなわち、負荷プラズマフラックスは、処理条件から決まる全体のプラズマフラックスに関連し、それに基づいて推定されうるが、それらは、一般に同じではない。特に、負荷プラズマフラックスは、チャンバ内の基板の存在により水平の径方向の依存性を有し、さらに、径方向の依存性は、所与の設計レイアウトに対応するウエハ表面上のフォトレジストのパターン密度に影響されうる。したがって、負荷プラズマフラックス(負荷プラズマ中性フラックス(LPNF)および/または不動態蒸着フラックス(LPDF))は、エッチング処理のために計画された設計レイアウトと共に(チャンバ条件によって決定された)全体のプラズマフラックスに基づいて推定されうる。イオン種のフラックス密度は、一般に、それらの”全体のプラズマフラックス”の値から大きく外れないので、プラズマイオンフラックス(PIF)を計算することはそれほど重要ではないことに注意されたい。したがって、しばしば、ROM LUTで表にしたPIFの値は、非負荷プラズマフラックスであるということが当てはまる(しかし、これは、すべての実施形態に当てはまるとは限らず、フラックス負荷の補正が対象とするのに十分に重要であるいくつかのイオン種が存在しうることにも注意されたい)。
図13CのROMルックアップテーブルは、フィーチャ内可視性のためのフィールドも有する。上述のように、可視性は、フィーチャの側壁が方向性イオンフラックスの遮蔽によりプラズマ密度に与えるシャドーイング効果の程度を示す。これは、図17に示すフィーチャの断面図によって示されている:視線1710および1720が、フィーチャ内の空間点1730(エッジの1つにおける特定の深さを表す)に収束しており、方向性イオンフラックスへのその点の目に見える暴露の角度限界を表しており;したがって、視線1710および1720は、空間点1730がエッチング中にさらされる方向性イオンフラックスの一部を決定する。より正確には、フィーチャ内の特定の深さでの特定のイオンのイオンフラックスは、対象となるその特定のイオンに関連するイオンエネルギ角度分布関数(IEADF)で、フィーチャ内のその特定の深さに対応する可視性カーネルの角度積分(例えば、数値的になされる)によって与えられる(特定の深さで、可視性カーネルは、角度依存性を有し、例えば、図17の視線1720および1720を参照)。(IEADFは、全プラズマモデルに由来する)。したがって、可視性は、イオンフラックス密度に密接に関連するため、上述のように、IFPFの特性であると言える。所与のエッジについて、可視性カーネルは、図13Cに示したROMルックアップテーブル内に存在するすべての異なるエッジ深さ(および/またはエッチング時間)に対してテーブル化されうる平均可視性値を得るために積分されてよい。次いで、積分された可視性(対象となるフィーチャに関連するもの)を単に用いて、ROM内にインデックス化することができる。別の実施形態では、可視性カーネルおよびIEADFの積が、図13Cに示したLUTへのインデックスとして後に利用されうるIFPIFを得るために(角度にわたって)積分される。
図17に示すように、フィーチャの”可視性”は、主にその形状によって決定される。しかしながら、フィーチャの形状は、エッチング中に変化するため、ROM LUTへのインデックス/キーとして後に利用されうるそれに対応する可視性を決定するために何をエッチング中のフィーチャの推定形状とすべきかについて問題がある。様々なアプローチがこのために利用されうる。
1つのアプローチは、単に、対象となるフィーチャの推定形状が、フォトレジストの所与の初期設計レイアウトに対応する開口部を有すると共に、そのフィーチャが、その開口部のエッジから下向きに伸びる実質的に垂直な側壁を有すると仮定することである。換言すると、フィーチャが、可視性/フラックス負荷CPM画像をROM LUT内にインデックス化する方法として用いるために、ゼロEPEを有するということである。いくつかの実施形態において、この近似は、十分でありうる。
フィーチャ形状、したがって、LUT内にインデックス化するための可視性を推定するより高度な方法が、図18のフローチャートに示されている。図18は、パターン近接効果補正(PPC)方法1503を示しており、その方法は、図16の方法1502と同様に始まるが、動作1520(フィーチャ選択)の後に、動作1530でフィーチャ上方の負荷プラズマフラックスを推定し、並行の動作1535でエッチング中の時間tにおけるフィーチャの可視性を推定する。後者は、(フィーチャの設計レイアウトに一致する垂直側壁を仮定して)上述のように行われてもよいし、より繊細な初期推測が用いられてもよい(以前の計算で見られた形状を用いて、フィーチャ開口部からベースまでの何らかのデフォルトの近似テーパを仮定する、など)。いずれにしても、形状は、ROMルックアップテーブル内にインデックス化して推定EPEを決定するために、動作1535の負荷フラックスと共に動作1550で後に利用される可視性を推定するために用いられる。ただし、推定EPEは、フィーチャの形状を示す。したがって、図18において、方法1530は、(エッチング中の時間tにおける)フィーチャの可視性の推定値を更新/改善すべきか否かについて判定がなされる動作1572に進む。更新すべきである場合、方法は、動作1535に戻り、現在の推定EPEに基づいて可視性を再推定し、次いで、再び、動作1550に進み、そこで、ROMルックアップテーブル内で新たな再推定された可視性を探すことによって、より改善されたEPEの推定値が得られる。(可視性の再推定、および、それからのEPEの再推定の)反復は、動作1572の判定ロジックで指示されるように、固定の回数だけ、もしくは、可視性および/またはEPEに関して収束に至るまで続いてよく、その後、動作1590で、初期設計レイアウトは、(以前の図の方法1501および1502と同様に)再推定されたEPEに基づいて修正される。
もちろん、負荷フラックスおよび可視性は、フィーチャ内プラズマフラックス(IFPF)を推定するための優れたコンパクト物理モデル(CPM)であるが、フィーチャ内プラズマ自体の直接的な物理特性など、IFPFに特徴的な他の量も良好な代用になりうる。例えば、フィーチャ内の実際のイオンフラックスおよび中性プラズマフラックスの密度に直接関係するLUTを実施してもよい。上述の図13Bの議論を参照すること。
上述のように、ROMルックアップテーブル(LUT)は、IFPFを特徴付ける上述の量からエッジ配置誤差(EPE)を計算するための非常に速いメカニズムを構成する。しかしながら、一部の場合に、ROM LUTは、まだ非常に大きいため、その性能を改善するために、様々な最適化手順が利用されてよい。例えば、LUTは、エントリの1または複数のフィールドに基づいてソートされて格納されてよい。どのフィールドが、一次ソート基準、二次ソート基準などとして用いられるのかは、特定の実施形態に応じて決まりうる。いくつかの実施形態において、上述のように、エッジ形状インジケータフィールドは、一次ソート基準として用いられてよい。有意義な方法でソートされたROMテーブルがあれば、(検索される量(例えば、エッジ形状インジケータ、プラズマイオンフラックス、プラズマ中性フラックスなど)の値と、テーブルの関連フィールド内に保持された値との間に必要な比較動作の回数を減らすことにより)、関連する1または複数のエントリを探すためにテーブルを検索する速度が増す。しばしば、検索される関連量の正確な値が、ROM LUT内に存在しない。これが当てはまる場合、最近傍のエントリ(正確な検索対象値に最も近いエントリ)、および/または、正確な検索値の周りの近傍にあるための何らかの基準に当てはまるエントリを特定して、これらのエントリの間を補間することができる。いくつかの実施形態において、例えば、多変量多項式ベースの補間スキームが用いられてよい。
ただし、いくつかの実施形態では、より高度な「補間」が、多変数機械学習モデルを用いて達成されうる。実施形態に応じて、かかる機械学習モデル(MLM)は、教師なしまたは部分教師ありであってよく、かかるアプローチは、機械学習および/または統計科学の分野で「勾配ブースティングマシン」、「深層学習」、および、「分散ランダムフォレスト」として知られるものを含みうる。
「ランダムフォレスト」技術に関しては、例えば、以下を参照すること:Breiman,Leo,“Random forests,”Machine learning 45.1(2001):5−32;Verikas,Antanas,Adas Gelzinis,and Marija Bacauskiene,“Mining data with random forests:A survey and results of new tests,”Pattern Recognition 44.2(2011):330−349; and Segal,Mark R.,“Machine learning benchmarks and random forest regression,”Center for Bioinformatics&Molecular Biostatistics(2004);それぞれ、参照によって全ての目的で本明細書に全体が組み込まれる。
同様に、これらの分野で一般に「勾配ブースティングマシン」と呼ばれる技術に関しては、例えば、以下を参照すること:Friedman,Jerome H.,“Greedy function approximation:a gradient boosting machine,”Annals of statistics(2001):1189−1232;Friedman,Jerome H.,“Stochastic gradient boosting,”Computational Statistics&Data Analysis 38.4(2002):367−378;and Schapire,Robert E.,“The boosting approach to machine learning:An overview,”Nonlinear estimation and classification,Springer New York,2003,149−171;それぞれ、参照によって全ての目的で本明細書に全体が組み込まれる。
最後に、これらの分野で一般に「深層学習」と呼ばれる技術に関しては、例えば、以下を参照すること:Krizhevsky,Alex,Ilya Sutskever,and Geoffrey E.Hinton,“Imagenet classification with deep convolutional neural networks,”Advances in neural information processing systems,2012;LeCun,Yann,et al.“Backpropagation applied to handwritten zip code recognition,”Neural computation 1.4(1989):541−551;and Schmidhuber,Jurgen,“Deep learning in neural networks:An overview,”Neural Networks 61(2015):85−117;それぞれ、参照によって全ての目的で本明細書に全体が組み込まれる。
これらの技術は、(ここでも、実施形態に応じて)、EPEと、LUT内にインデックス化するために上述のように用いられる量(プラズマイオンフラックスおよび中性フラックスなど)との間の十分統計的に正確な相関関係を決定するために用いられてよい。
一般に、ROM LUTを構築するのに用いられるのと同様のデータセットが、選択された機械学習モデル(MLM)を開発する(すなわち、学習させる)ためのトレーニングセットとしても用いられる。ただし、MLMのトレーニングにおいて、ROM LUT内で効率的に検索可能なものよりもはるかに多くのバージョンのこのデータセットを用いることが可能である。すなわち、機械学習モデルは、評価効率のよいそれの多変数モデルを作成するために、完全なデータセットを用いてオフラインでトレーニングされるが、新たなフィーチャのEPEがPPC手順で求められるたびに完全な(トレーニング)データセットを検索することは効率的でない場合がある。もちろん、MLMがデータセット(その一部は、効率的に検索可能なLUTを構築するように選択されうる)に基づいてトレーニングされると、MLMは、単純なLUTと同様に、EPEと、(例えば)プラズマイオンおよび/または中性フラックスとの間の関係性を具現化するので、或る程度は、まだ、その多変数モデルの評価において、IFPFを示す1または複数の量と、EPEに特徴的な量との間の比較を行うが、元々のデータセットでトレーニングされたMLMの動作の文脈では、正確な量および比較がなされる。いずれにしても、MLMが元々のデータセットに対してトレーニングおよび検証されると、それは、PPC手順で効率的に利用できる予測の数学的関係を確立する。
これらのPPC技術の精度を改善するためのさらに別の方法は、エッチング時間ベース(またはエッチング深さベース)の反復スキームを利用する方法である。図19Aおよび図19Bは、有用な対照図を提供する。図19Aは、「1ステップ」アプローチを図示しており、フィーチャの上部から底部まで1ステップだけEPEの推定を行うことを示す。したがって、IFPFに特徴的な様々な量の推定は、推定されたEPEに達するためのROMルックアップテーブル内でのこれらの量の検索と同様に、1つのエッチング時間t(または、1つのエッチング深さ)でのみ実行される。これは、例えば、図15、図16、および、図18の一連の動作で示されている。しかしながら、「複数ステップ」アプローチが、EPEの計算に用いられてもよい。これは、最終的に「最後の」ΔxEPEの値が計算されるフィーチャの底部までの複数のエッチング深さ(z、z、・・・z)に対応する複数のエッチング時間(t、t、・・・t)で計算されたΔxEPEの複数の値を示す図19Bに図示されている。
最も単純な複数ステップバージョンには、2回だけのステップがなされる。したがって、最初のエッチング時間t=tにIFPFに特徴的な量(例えば、負荷フラックスおよび可視性)の推定を行い、これらを用いて、時間tに対応するROMルックアップテーブル内の値とそれらを比較することによって時間tのEPEを評価し、次いで、2番目のエッチング時間t=tに対して手順を繰り返す。ただし、2回目には、後続の反復で、最初の反復などの間に得られた情報を利用できる。したがって、例えば、フィーチャが、時間tの時に対して時間tでは変化しているので、負荷フラックスおよび可視性カーネルは、それに応じて、この情報に基づいて調整されてよく、次いで、これらの更新された値が、時間tに対応するROMルックアップテーブル内のエントリとの比較に用いられてよい。
同様に、所望の回数のステップに計算を分けることに進んでもよい。かかる複数ステップの方法は、図20に示されている。図20の方法1504は、対象となるエッチング時間t=Tで最初に動作1540および1550を実行して(動作1550で)最初のEPEを推定することを除けば、図16および図18に示した方法1501および1502と同様に進む。次いで、方法1504は、動作1573に進み、そこで、現在のエッチング時間tが最終的な総エッチング時間未満であるか否かが判定される。それ未満である場合、方法は、時間インデックス”i”をインクリメントし(ti+1>t)、IFPFに特徴的な量が再推定される動作1540に戻り、次いで、再び動作1550に進んで、更新された時間のEPEを再推定する。反復は、動作1773で、現在の時間tが、最終/総エッチング時間以上であると判定されるまで継続し、その判定により、方法は、初期設計レイアウトが、最終推定EPEおよび/または中間の時間に計算された中間EPEに基づいて修正される動作1590に進み、それで、方法は終了する。さらに、より良好な可視性推定値に達するための図18に示した反復スキームを、上述のように反復的な時間/深さのスライシングを含む図20に示した反復スキームと組み合わせることも可能であることに注意されたい。
一部のマスクされたエッチング処理は、スタック内の異なる層が異なる材料塑性を有しうる材料の多層スタックのエッチングを含みうる。これが、1つのマスクで実行される(すなわち、多層エッチング処理が同じフォトレジストパターンでなされる)と仮定すると、設計レイアウトの効果的なPPCを行うために、実際には、個々の層のエッチングに関連するEPEだけでなく、(1つのフォトレジストパターンでの)多層エッチング処理全体に対応する累積的なEPEに関心が持たれる。
ROM LUT内へのインデックス化のためにCPMを用いてEPEを計算する上述の手順が、これを達成するために用いられてよいが、実際的には、これを実行しうる方法には、いくつかのバリエーションがある。1つの方法は、単に、多層エッチング処理全体に対応する大きいROM LUTを構築する方法である。上述のROM LUTは、時間/深さフィールド(例えば、図13Bおよび図13Cを参照)を含みうるので、このフィールドは、後に処理全体の累積的なEPEになる適切なEPEを探すために、LUT内にインデックス化する方法を提供する。換言すると、このようにROMを構築すると、すでに、原理的には、異なる材料層の存在、それらの厚さなどを効果的に考慮することになる。ただし、これが実際的に正確であるには、図20に関して上述した反復時間/深さスライシングスキームを実施することが重要でありうることに注意されたい。例えば、異なる層が異なる材料組成を有し、さらに、異なるエッチング化学物質が様々な層のエッチングに利用されうる多層スタックの文脈で、推定EPEの精度は、時間/深さスライシング反復を異なる層の深さ(またはエッチング時間)と一致させることによって劇的に改善されうる。これらの時点で、化学物質は変化するので、1つの層の底部のEPEは、多層スタック全体の上部ではなく、その同じ層の上部でのIFPFの特性にのみ良好に相関する。
多層エッチング処理全体の1つのモノリシックなLUT表現を(累積的に)用いることは、多くの状況で効果的ありうるが、多層スタックの問題に対処する潜在的により柔軟な方法は、各々が多層スタック内の異なる材料層の1つに対応する1セットのより小さいROM LUTを構築する方法である。柔軟性は、各層の材料組成に対応するLUTがあり、それが、特定のスタック構成の層の厚さを考慮するのに十分なエッチング時間/深さまで下がるエントリを有する限りは、同じセットのROM LUTを多くの異なる材料スタック構成に利用できる点で、かかるアプローチの1つの利点になる。異なる材料の2層(ひいては、2つの対応するROM LUT)のより単純な例を挙げると、第1に、最上層に対応するLUT内にインデックス化して、この層の底部まで(すなわち、下の層のちょうど上部まで)のエッチングに関連するEPEを計算し、次いで、第2に、この第1EPEを保持して、それを用いて、第2LUT内にインデックス化して最下層の底部におけるEPEを計算するために下層の第2層の可視性を計算する。その結果、この後者のEPEは、2層エッチング処理に対する累積EPEを表す。したがって、これは、上述の反復時間/深さスライシングアプローチの2ステップバージョンと同様であるが、各ステップが別個のLUTを用いる点でより柔軟である。次の下層などのためのROM LUT内にインデックス化するために、以前に計算されたEPEを用いて、さらなる層/材料固有のROM LUTで、同様に3以上の層を扱うこともできる。多層スタックを扱うための単一または複数ROM LUTアプローチのいずれでも、概して、当業者に理解されるように、CPMおよびROMルックアップテーブルを用いてEPEを計算するための上述の手順のいずれを用いてもよい。
しかしながら、複数LUT(1層あたり1LUT)アプローチを用いる多層エッチング処理の上述の処理に関して、特定の状況では、層の組成および含まれるエッチング処理の詳細に応じて、複雑さが生じうることに注意されたい。いくつかの実施形態において、これらの複雑さは、一般に、下層(第2層、第3層など)のエッチングが、下層に対応する層固有のLUTでは考慮されない上方の1または複数の層のエッチングの何らかの特性または結果に依存する場合に生じうる。例えば、エッチングされている層の上方の層(すなわち、すでにエッチングされた層)のまさに存在が、下層をエッチングする処理の化学を変化させうる。および/または、影響を与えうる。この変化させられた化学が、層固有のLUTを構築するのに用いられるEPMによって考慮されない場合、そのLUTの利用は、累積EPEの正確な推定値を与えない。かかる場合、改善法は、下層の上方の層の存在を考慮したEPEを用いて下層に固有のLUTを構築することでありうる。とは言え、エッチングされている層の上方の層のシャドーイング効果のみが、可視性カーネルを通して層固有のLUTによって良好に考慮されうることにも注意されたい。しかしながら、上層のシャドーイング効果が良好に考慮されるには、下層に作用するエッチング処理中のそれらの形状の任意の変化もおそらく考慮されることが好ましい。再び、当業者は、上述の層ごとのアプローチが、図20に関して上述したものと同様の時間スライシングアプローチであり、tは、スタック内の第1層のエッチングに対応し、tは、スタック内の第2層のエッチングに対応し、以下同様である。
最後に、フォトマスク生成および半導体エッチング動作で用いるフォトレジストのための近接効果補正された設計レイアウトを生成する上述の技術は、コンピュータシステムで実施されうることに注意されたい。かかるコンピュータシステムは、通例、1または複数のプロセッサと、1または複数のメモリユニットと、を有しており、後者は、通例、(1または複数のプロセッサ上で実行する)コンピュータ読み取り可能な命令を格納し、命令は、上述の方法のいずれかを実施する。いくつかの実施形態において、かかるシステムは、初期設計レイアウトをコンピュータ読み取り可能な媒体から読み取り、最終的な近接効果補正された設計レイアウトをコンピュータ読み取り可能な媒体に書き込むことによって動作しうる。本明細書に開示される技術および動作は、1または複数のコンピュータ読み取り可能な媒体に格納されたコンピュータ読み取り可能な命令内に具現化されてもよい。
同様に、半導体基板をエッチングするためのプラズマエッチングシステムが、上述のコンピュータシステムと、(i)フォトレジストのための近接効果補正された設計レイアウトをコンピュータシステムから受信し、(ii)近接効果補正された設計レイアウトからマスクを形成し、(iii)マスクを用いてフォトリソグラフィ動作を実行して、近接効果補正されたフォトレジスト設計レイアウトに実質的に従って半導体基板にフォトレジスト層を転写するよう構成されたフォトリソグラフィモジュールと、を備えてよい。かかるプラズマエッチングシステムは、さらに、後に半導体基板と接触して、フォトリソグラフィモジュールによって転写されたフォトレジストで覆われていない基板表面の部分をエッチングするプラズマを生成するよう構成されたプラズマエッチャ構成要素(例えば、反応チャンバ、プラズマ生成ハードウェア、ウエハ支持体などを備える)を備える。
上述の原理、技術、および、方法を適用することにより、物理学を意識したパターン近接効果補正(PPC)ソリューションが、ICデバイス設計者に提供されうる。所与の処理レシピおよび材料スタックについて、フォトレジスト用の所与の入力設計レイアウトのためのエッチング伝達関数を(良好な精度ではなく、近似的に)予測する能力を備えたEDA(電子設計自動化)ツールが実装されてよく、図12に関して説明したように、これは、フォトレジスト開発コストを大幅に削減すると共に解決までの時間を劇的に短縮する能力を有する。さらに、上述の原理、技術、および、方法を用いれば、テストウエハおよび時間の掛かる物理実験の利用を最小限にして、感度行列を迅速に生成できるプロセスウィンドウライブラリが利用可能になりうることが想定される。これらは、システムとセットで販売されても、別個に販売されてもよく、おそらくは、新たな膜スタックおよび処理のために定期的な更新がなされる。さらに、いくつかの実施形態において、必ずしも所与の設計に合わせて処理を開発するのではなく、特定の処理に合わせて作られた設計を作成することもできる。これは、潜在的に、設計時にツール選択をする(初期に確定(または除外)する)ことを可能にし、それにより、最初の変動性のレベルが、最初にデザインインされうる。さらに、早期に計算原理からエッジ配置誤差を予測できることにより、容易にはできなかった初期の欠陥検出および分類が可能になりうる。
システムコントローラ
システムコントローラが、図9A〜図9Cに示したCCPエッチャ装置および/または図10に示したICPエッチャ装置など、上述の処理装置のいずれかにおけるエッチング動作(または、その他の処理動作)を制御するために用いられてよい。特に、システムコントローラは、上述のように最適化されたEPMを実施して、(上述のように)最適化されたEPMを用いて生成された計算エッチングプロファイルに応じてエッチャ装置の動作を調整してよい。
エッチャ装置と通信するシステムコントローラの一例が、図10に概略的に示されている。図10に示すように、システムコントローラ1050は、1または複数のメモリデバイス1056と、1または複数のマスストレージデバイス1054と、1または複数のプロセッサ1052とを備える。プロセッサ1052は、1または複数のCPU、ASIC、汎用コンピュータ、および/または、専用コンピュータ、1または複数のアナログおよび/またはデジタル入力/出力接続、1または複数のステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ(図10の1050)は、個々の処理ステーションの動作を含む処理ツール(例えば、図10のエッチャ装置1000)の動作の一部または全部を制御する。マシン読み取り可能なシステム制御命令1058が、本明細書に記載の膜蒸着処理および/またはエッチング処理を実施/実行するために提供されてよい。命令は、システムコントローラに接続されうるおよび/またはシステムコントローラによって読み出されうるマシン読み取り可能な持続性の媒体で提供されてよい。命令は、プロセッサ1052上で実行されてよく、システム制御命令は、いくつかの実施形態において、マスストレージデバイス1054からメモリデバイス1056にロードされる。システム制御命令は、タイミング;気体および液体反応物質の混合;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;ウエハ温度;目標電力レベル;RF電力レベル(例えば、DC電力レベル、RFバイアス電力レベル);RF暴露時間;基板ペデスタル、チャック、および/または、サセプタの位置;ならびに、処理ツールによって実行される特定の処理の他のパラメータ、を制御するための命令を含んでよい。
半導体基板処理動作は、(表面に吸着したエッチャントのプラズマ活性化を含む原子層エッチング(ALE)動作(例えば、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」を参照。これは、参照によって全ての目的で本明細書にその全体が組み込まれる)による)基板上の薄膜のエッチングに関する処理、蒸着処理(表面に吸着した薄膜前駆体のプラズマ活性化による原子層蒸着(ALD)など)、および、その他のタイプの基板処理動作を含むがこれらに限定されない様々なタイプの処理を利用しうる。
したがって、例えば、プラズマベースのエッチング処理を実行するための処理装置に関して、システムコントローラによって実行されるマシン読み取り可能な命令は、最適化されたEPMから計算エッチングプロファイルを生成するための命令と、計算エッチングプロファイルに応じてプラズマ発生器の動作を調整するための命令とを含んでよい。
システム制御命令1058は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御命令は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。いくつかの実施形態において、システム制御命令は、ソフトウェアで実装され、別の実施形態において、命令は、ハードウェアで実装されてもよく(例えば、ASIC(特定用途向け集積回路)内にロジックとしてハードコードされる)、また、別の実施形態において、ソフトウェアおよびハードウェアの組み合わせとして実装されてもよい。
いくつかの実施形態において、システム制御ソフトウェア1058は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、1または複数の蒸着および/またはエッチング処理の各段階が、システムコントローラによる実行のための1または複数の命令を備えてよい。例えば、薄膜蒸着および/またはエッチング処理段階のための処理条件を設定するための命令が、対応する蒸着および/またはエッチングレシピ段階に含まれてよい。いくつかの実施例において、レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。
システムコントローラ1050に関連付けられたマスストレージデバイス1054および/またはメモリデバイス1056に格納された他のコンピュータ読み取り可能な命令および/またはプログラムが、いくつかの実施形態において用いられてもよい。プログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル上にロードすると共に基板と処理ツールの他の部品との間の間隔を制御するために用いられる処理ツール構成要素のための命令を備えてよい。位置決めプログラムは、基板上に薄膜を蒸着および/またはエッチングするために、必要に応じて、反応チャンバに基板を適切に出し入れするための命令を備えてよい。
処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、蒸着および/またはエッチングの前に1または複数の処理ステーションの周りの空間内にガスを流して、これらの空間内の圧力を安定させるための命令を備えてよい。いくつかの実施形態において、処理ガス制御プログラムは、基板への薄膜蒸着および/またはエッチング動作中に処理チャンバ内の1または複数の処理ステーションの周りの空間に特定のガスを導入するための命令を備えてもよい。処理ガス制御プログラムは、さらに、蒸着される薄膜の組成および/または含まれるエッチング処理の性質に応じて、同じ持続時間、同じ流量、もしくは、異なる流量および/または異なる持続時間で、これらのガスを供給するための命令を備えてもよい。処理ガス制御プログラムは、さらに、加熱された注入モジュール内で、ヘリウムまたはその他の搬送ガスの存在下で、液体反応物質を霧化/気化させるための命令を備えてもよい。
圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するための命令を備えてよい。圧力制御プログラムは、基板への様々な薄膜タイプの蒸着中および/または基板のエッチング中に、同じまたは異なる圧力を維持するための命令を備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するための命令を備えてよい。代替的または追加的に、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してもよい。ヒータ制御プログラムは、基板への様々な薄膜タイプの蒸着および/または基板のエッチング中に、反応チャンバ内および/または処理ステーションの周りの空間内で、同じまたは異なる温度を維持するための命令を備えてよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーションにおけるRF電力レベル、周波数、および、暴露時間を設定するための命令を備えてよい。いくつかの実施形態において、プラズマ制御プログラムは、基板への薄膜蒸着および/または基板のエッチング中に、同じまたは異なるRF電力レベルおよび/または周波数および/または暴露時間を利用するための命令を備えてよい。
いくつかの実施形態において、システムコントローラに関連したユーザインターフェースがあってもよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラによって調整されるパラメータは、処理条件に関してよい。非限定的な例は、処理ガスの組成および流量、温度(例えば、基板ホルダおよびシャワーヘッドの温度)、圧力、プラズマ条件(RFバイアス電力レベルおよび暴露時間)などを含む。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、処理ツールのアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ(MFC)、圧力センサ(マノメータなど)、温度センサ(熱電対など)などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
上述の様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールおよび/または処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、共通の製造施設で一緒および/または同時に、かかるツールが利用され、また、処理が実行される。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール(誘導結合プラズマまたは容量結合プラズマを用いるもの)、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
別の実施形態
上述の開示されている技術、動作、処理、方法、システム、装置、ツール、薄膜、化学物質、および、組成は、簡潔さおよび理解を促進するために具体的な実施形態の文脈で詳細に記載されているが、当業者にとって、本開示の精神および範囲の中に含まれる上述の実施形態を実施する多くの別の方法があることは明らかである。したがって、本明細書に記載の実施形態は、限定的ではなく、開示された発明の概念を例示するものと見なされるべきであり、最終的には本開示の主題に向けられた任意の請求項の範囲を不当に限定するための容認できない根拠として用いられるべきではない。

Claims (30)

  1. エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成する方法であって、
    (a)初期設計レイアウトを受信する工程と、
    (b)前記初期設計レイアウト内のフィーチャを特定する工程であって、前記フィーチャのパターンは、前記設計レイアウトに対応するフォトレジストパターンの層が材料スタックに重ねられた時に、1セットの処理条件下で処理チャンバ内で実行されるプラズマベースのエッチング処理で半導体基板の表面上の前記材料スタックにエッチングされるフィーチャに対応する、工程と、
    (c)かかるプラズマベースのエッチング処理中の時間tにおける前記フィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定する工程と、
    (d)時間tにおける前記フィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を、工程(c)で推定された前記IFPFに特徴的な前記1または複数の量と、時間tにおけるEPEに特徴的な前記量の値を前記IFPFに特徴的な前記1または複数の量の値に関連づけるルックアップテーブル(LUT)内の量とを比較することによって推定する工程と、
    (e)EPEに特徴的な前記量に基づいて、前記初期設計レイアウトを修正する工程と、
    を備え、
    前記LUTは、前記材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで前記1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築されたものである、方法。
  2. 請求項1に記載の方法であって、さらに、
    パターンが前記初期設計レイアウト内にある1または複数のさらなるフィーチャについて、工程(b)〜(d)を繰り返す工程を備え、
    工程(e)で前記初期設計を修正することは、さらに、前記1または複数のさらなるフィーチャのEPEに特徴的な前記推定量に基づく、方法。
  3. 請求項1または2に記載の方法であって、工程(c)において、前記IFPFに特徴的な前記1または複数の量は、
    フィーチャ内プラズマイオンフラックス(IFPIF)に特徴的な量と、
    フィーチャ内プラズマ中性フラックス(IFPNF)に特徴的な量と、
    を含む、方法。
  4. 請求項3に記載の方法であって、IFPNFに特徴的な前記量は、前記処理チャンバ内の前記基板の存在を考慮した前記フィーチャ上方の負荷プラズマフラックスである、方法。
  5. 請求項4に記載の方法であって、前記負荷プラズマフラックスは、前記処理チャンバ内のファーフィールドの全体のプラズマフラックスに特徴的な1または複数の量に基づいて、工程(c)で推定される、方法。
  6. 請求項5に記載の方法であって、ファーフィールドの全体のプラズマフラックスに特徴的な前記1または複数の量は、処理チャンバ条件を考慮するが前記処理チャンバ内の前記基板の存在を考慮しないコンピュータプラズマモデルで計算される、方法。
  7. 請求項3に記載の方法であって、前記IFPIFに特徴的な前記量は、前記フィーチャに対応する可視性カーネル(VC)に基づいて、工程(c)で推定される、方法。
  8. 請求項7に記載の方法であって、前記IFPIFに特徴的な前記量は、前記フィーチャ上方の1または複数のプラズマイオンフラックス(PIF)に対応するイオンエネルギ角度分布関数(IEADF)で前記VCの積分を推定することを含む手順によって計算される、方法。
  9. 請求項8に記載の方法であって、前記IEADFは、処理チャンバ条件を考慮したコンピュータプラズマモデルで計算された前記処理チャンバ内のファーフィールドの全体のプラズマフラックスに特徴的な1または複数の量に基づいて推定される、方法。
  10. 請求項9に記載の方法であって、前記VCは、前記フィーチャが、フォトレジストの前記初期設計レイアウトに対応する開口部を有し、前記開口部のエッジから下向きに伸びる実質的に垂直な側壁を有することを仮定することによって、工程(c)で推定される、方法。
  11. 請求項10に記載の方法であって、さらに、
    (c’)工程(d)で推定された前記EPEに基づいて、前記フィーチャの前記VCを再推定する工程と、
    (d’)工程(c’)で再推定された前記可視性カーネルの値と前記LUT内の値とを比較することにより、時間tにおけるEPEに特徴的な前記量を再推定する工程と、
    を備え、
    前記初期設計レイアウトは、さらに、工程(d’)からの時間tにおけるEPEに特徴的な前記量の前記再推定された値に基づいて、工程(e)で修正される、方法。
  12. 請求項3に記載の方法であって、前記LUTは、エントリのリストを含み。前記エントリの少なくとも一部は、IFPIFに特徴的な前記量、IFPNFに特徴的な前記量、および、EPEに特徴的な前記対応する量のためのフィールドを備える、方法。
  13. 請求項12に記載の方法であって、前記LUT内の前記エントリの少なくとも一部は、さらに、エッチング時間および/またはフィーチャ深さのための1または複数のフィールドを備える、方法。
  14. 請求項12に記載の方法であって、前記LUT内の前記エントリの少なくとも一部は、さらに、フィーチャ内不動態化蒸着フラックス(IFPDF)のためのフィールドを備える、方法。
  15. 請求項12に記載の方法であって、前記LUT内の前記エントリの少なくとも一部は、さらに、前記較正パターン内に存在するエッジ形状に対応するエッジ形状インジケータのためのフィールドを備える、方法。
  16. 請求項15に記載の方法であって、さらに、前記フィーチャの形状と前記較正パターン内に存在する前記フィーチャの形状とをパターンマッチングすることにより、エッチングされる前記フィーチャのエッジ形状インジケータを決定する工程と、前記決定されたエッジ形状インジケータを前記LUTでの検索に用いる工程と、を備える、方法。
  17. 請求項16に記載の方法であって、前記LUTは、最初に、前記フィーチャの決定されたエッジ形状インジケータに基づいて検索される、方法。
  18. 請求項12に記載の方法であって、
    前記LUTは、前記エントリの1または複数のフィールドに基づいてソートされ、
    工程(d)での比較は、前記LUTでの検索を含み、
    工程(d)での推定は、前記検索後に前記LUT内のエントリの間を補間することを含む、方法。
  19. 請求項18に記載の方法であって、前記補間は、多変量ベースの補間スキームを含む、方法。
  20. 請求項1または2に記載の方法であって、EPEに特徴的な前記量は、動作中に、IFPFに特徴的な1または複数の量を前記LUT内の量と比較し、前記LUT内の値の間を補間するトレーニングされた機械学習モデル(MLM)を用いて、工程(d)で推定され、
    前記MLMは、前記コンピュータEPMを実行することによって生成されたデータセットでトレーニングされたものであり、前記データセットの少なくとも一部は、前記LUTを構築するために用いられたものである、方法。
  21. 請求項1または2に記載の方法であって、
    工程(c)および(d)は、時間tにおけるEPEに特徴的な量を推定するために、t=tに対して実行され、
    前記方法は、さらに、時間tにおけるEPEに特徴的な量を推定するために、t=t(>t)に対して工程(c)および(d)を実行する工程を備え、
    前記初期設計レイアウトは、時間tおよびtにおけるEPEに特徴的な前記量の前記推定された値に基づいて、工程(e)で修正され、
    前記LUTは、少なくとも時間tまで前記EPMを実行することによって構築される、方法。
  22. マスク設計を生成する方法であって、
    請求項1または2の方法を用いて、フォトレジスト用の近接効果補正された設計レイアウトを生成する工程と、
    前記生成された近接効果補正済みのフォトレジスト設計レイアウトに基づいて、マスク設計を生成する工程と、
    を備える、方法。
  23. 半導体基板をエッチングする方法であって、
    請求項22の方法を用いて、マスク設計を生成する工程と、
    前記マスク設計に基づいて、マスクを形成する工程と、
    前記マスクを用いてフォトリソグラフィ動作を実行し、前記近接効果補正済みのフォトレジスト設計レイアウトに実質的に従って、フォトレジストの層を前記基板に転写する工程と、
    前記基板をエッチングするプラズマに前記基板を暴露させる工程と、
    を備える、方法。
  24. 請求項1または2に記載の方法であって、工程(d)で用いられる前記LUTを構築するためにフォトレジストの前記較正パターン上で実行する前記コンピュータエッチングプロファイルモデル(EPM)は、複数のモデルパラメータを用いて、半導体基板上のフィーチャの前記エッチングプロファイルを1セットの独立入力パラメータに関連づけるモデルであり、前記モデルは、
    (1)最適化されるよう選択されたセットの前記モデルパラメータに対する1セットの値を特定する工程と、
    (2)それらにわたって最適化されるよう選択されたセットの独立入力パラメータに対する複数セットの値を特定する工程と、
    (3)工程(2)で特定された各値セットについて、工程(2)で特定された前記値セットを用いて実行された実験エッチング処理の光学測定から生成された実験反射スペクトルを受信する工程と、
    (4)工程(2)で特定された各値セットについて、工程(1)および(2)で特定された前記値セットを用いて、前記モデルから計算反射スペクトルを生成する工程と、
    (5)前記選択されたセットのモデルパラメータに対して工程(1)で特定された1または複数の値を修正し、工程(3)で受信された前記実験反射スペクトルと、工程(2)で特定された前記選択された独立入力パラメータの1または複数セットの値に関して工程(4)で生成された対応する計算反射スペクトルとの間の差を示すメトリックを小さくするために、前記修正された値セットで工程(4)を繰り返す工程であって、
    工程(5)で前記メトリックを計算することは、
    前記計算反射スペクトルと、対応する実験反射スペクトルとの間の差を計算して、前記差を縮小次元部分空間に射影する工程、および/または、
    前記計算反射スペクトルおよび対応する実験反射スペクトルを縮小次元部分空間に射影して、前記部分空間に射影された前記反射スペクトル間の差を計算する工程を含む、工程と、
    を備える方法によって最適化されたものである、方法。
  25. 請求項1または2に記載の方法であって、工程(d)で用いられる前記LUTを構築するためにフォトレジストの前記較正パターン上で実行する前記コンピュータエッチングプロファイルモデル(EPM)は、複数のモデルパラメータを用いて、半導体基板上のフィーチャの前記エッチングプロファイルを1セットの独立入力パラメータに関連づけるモデルであり、前記モデルは、
    (1)最適化されるよう選択されたセットの前記モデルパラメータに対する1セットの値を特定する工程と、
    (2)それらにわたって最適化されるよう選択されたセットの独立入力パラメータに対する複数セットの値を特定する工程と、
    (3)工程(2)で特定された各値セットについて、工程(2)で特定された前記値セットを用いて実行された実験エッチング処理に起因する実験エッチングプロファイルを受信する工程と、
    (4)工程(2)で特定された各値セットについて、工程(1)および(2)で特定された前記値セットを用いて、前記モデルから計算エッチングプロファイルを生成する工程と、
    (5)前記選択されたセットのモデルパラメータに対して工程(1)で特定された1または複数の値を修正し、工程(3)で受信された前記実験エッチングプロファイルと、工程(2)で特定された前記選択された独立入力パラメータの全セットの値について工程(4)で生成された対応する計算エッチングプロファイルとの間の合計差を示すメトリックを小さくするために、前記修正された値セットで工程(4)を繰り返す工程であって、
    工程(5)で前記メトリックを計算することは、
    前記計算エッチングプロファイルと、対応する実験エッチングプロファイルとの間の差を計算して、前記差を縮小次元部分空間に射影する工程、および/または、
    前記計算エッチングプロファイルおよび対応する実験エッチングプロファイルを縮小次元部分空間に射影して、前記部分空間に射影された前記エッチングプロファイル間の差を計算する工程を含む、工程と、
    を備える方法によって最適化されたものである、方法。
  26. エッチング動作で用いられるフォトレジスト用の近接効果補正された設計レイアウトを生成するためのコンピュータシステムであって、
    プロセッサと、
    ルックアップテーブル(LUT)、および、前記プロセッサ上で実行されるコンピュータ読み取り可能な命令を格納するメモリと、
    を備え、
    前記命令は、
    (a)初期設計レイアウトを受信するための命令と、
    (b)前記初期設計レイアウト内のフィーチャを特定するための命令であって、前記フィーチャのパターンは、前記設計レイアウトに対応するフォトレジストパターンの層が材料スタックに重ねられた時に、1セットの処理条件下で処理チャンバ内で実行されるプラズマベースのエッチング処理で半導体基板の表面上の前記材料スタックにエッチングされるフィーチャに対応する、命令と、
    (c)かかるプラズマベースのエッチング処理中の時間tにおける前記フィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定するための命令と、
    (d)時間tにおける前記フィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を、(c)で推定された前記IFPFに特徴的な前記1または複数の量と、時間tにおけるEPEに特徴的な前記量の値を前記IFPFに特徴的な前記1または複数の量の値に関連づける前記LUT内の量とを比較することによって推定するための命令と、
    (e)EPEに特徴的な前記量に基づいて、前記初期設計レイアウトを修正するための命令と、
    を含み、
    前記LUTは、前記材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで前記1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築されたものである、コンピュータシステム。
  27. 請求項26に記載のコンピュータシステムであって、
    (a)で受信される前記初期設計レイアウトは、コンピュータ読み取り可能な媒体から読み出され、
    前記プロセッサ上で実行される前記メモリ内に格納された前記コンピュータ読み取り可能な命令は、さらに、
    (f)前記近接効果補正された設計レイアウトをコンピュータ読み取り可能な媒体に書き込むための命令を含む、コンピュータシステム。
  28. ルックアップテーブル(LUT)およびコンピュータ読み取り可能な命令を格納した1または複数のコンピュータ読み取り可能な媒体であって、前記命令は、
    (a)初期設計レイアウトを受信するための命令と、
    (b)前記初期設計レイアウト内のフィーチャを特定するための命令であって、前記フィーチャのパターンは、前記設計レイアウトに対応するフォトレジストパターンの層が材料スタックに重ねられた時に、1セットの処理条件下で処理チャンバ内で実行されるプラズマベースのエッチング処理で半導体基板の表面上の前記材料スタックにエッチングされるフィーチャに対応する、命令と、
    (c)かかるプラズマベースのエッチング処理中の時間tにおける前記フィーチャ内でのフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定するための命令と、
    (d)時間tにおける前記フィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を、(c)で推定された前記IFPFに特徴的な前記1または複数の量と、時間tにおけるEPEに特徴的な前記量の値を前記IFPFに特徴的な前記1または複数の量の値に関連づける前記LUT内の量とを比較することによって推定するための命令と、
    (e)EPEに特徴的な前記量に基づいて、前記初期設計レイアウトを修正するための命令と、
    を含み、
    前記LUTは、前記材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで前記1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築されたものである、コンピュータ読み取り可能な媒体。
  29. 半導体基板をエッチングするためのシステムであって、
    請求項26のコンピュータシステムと、
    フォトリソグラフィモジュールであって、
    フォトレジスト用の近接効果補正された設計レイアウトを前記コンピュータシステムから受信し、
    前記近接効果補正された設計レイアウトからマスクを形成し、
    前記マスクを用いてフォトリソグラフィ動作を実行し、前記近接効果補正済みのフォトレジスト設計レイアウトに実質的に従って、フォトレジストの層を半導体基板に転写するよう構成された、フォトリソグラフィモジュールと、
    前記半導体基板と接触して、前記フォトリソグラフィモジュールによって転写されたフォトレジストで覆われていない前記基板の表面の部分をエッチングするプラズマを生成するよう構成されたプラズマエッチャと、
    を備える、システム。
  30. 設計レイアウトのフォトレジストを材料スタック上に重ねられた半導体基板上のフィーチャのエッジのエッジ配置誤差(EPE)に特徴的な量を推定する方法であって、前記フィーチャは、1セットの処理条件のもとで、対応する実際のまたはシミュレートされた処理チャンバ内で実行される実際のまたはシミュレートされたプラズマベースのエッチング処理でエッチングされ、前記方法は、
    (a)前記エッチング中の時間tにおけるフィーチャ内プラズマフラックス(IFPF)に特徴的な1または複数の量を推定する工程と、
    (b)時間tにおけるEPEに特徴的な前記量を、工程(a)で推定された前記IFPFに特徴的な前記1または複数の量と、時間tにおけるEPEの値を前記IFPFに特徴的な1または複数の量に関連づけるLUT内の量とを比較することによって推定する工程と、
    を備え、
    前記LUTは、前記材料スタック上に重ねられたフォトレジストの較正パターンに対して少なくとも時間tまで前記1セットの処理条件のもとでコンピュータエッチングプロファイルモデル(EPM)を実行することによって構築されたものである、方法。
JP2017116554A 2016-06-21 2017-06-14 物理学ベースのエッチングプロファイルモデリングフレームワークを用いた高速エッジ配置誤差予測によるフォトレジスト設計レイアウトパターン近接効果補正 Pending JP2017227892A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/188,910 US10197908B2 (en) 2016-06-21 2016-06-21 Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US15/188,910 2016-06-21

Publications (2)

Publication Number Publication Date
JP2017227892A true JP2017227892A (ja) 2017-12-28
JP2017227892A5 JP2017227892A5 (ja) 2018-02-15

Family

ID=60660150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017116554A Pending JP2017227892A (ja) 2016-06-21 2017-06-14 物理学ベースのエッチングプロファイルモデリングフレームワークを用いた高速エッジ配置誤差予測によるフォトレジスト設計レイアウトパターン近接効果補正

Country Status (6)

Country Link
US (2) US10197908B2 (ja)
JP (1) JP2017227892A (ja)
KR (1) KR102415463B1 (ja)
CN (2) CN113420523A (ja)
SG (1) SG10201705049VA (ja)
TW (1) TWI738796B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020160029A1 (en) * 2019-01-29 2020-08-06 Lam Research Corporation Fill process optimization using feature scale modeling
US10997345B2 (en) 2018-04-06 2021-05-04 Lam Research Corporation Method of etch model calibration using optical scatterometry
TWI750648B (zh) * 2019-05-21 2021-12-21 荷蘭商Asml荷蘭公司 用於判定與期望圖案相關聯之隨機變異之方法
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102466464B1 (ko) * 2015-08-03 2022-11-14 삼성전자주식회사 광 근접 보정을 위해 초기 바이어스 값을 제공하는 방법, 및 그 초기 바이어스 값에 기초한 광 근접 보정을 수반하는 마스크 제작 방법
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
CN115480457B (zh) 2016-12-30 2024-03-12 谷歌有限责任公司 补偿电路元件中的沉积不均匀性
US10269663B2 (en) * 2017-01-06 2019-04-23 Varian Semiconductor Equipment Associates, Inc. Critical dimensions variance compensation
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US11841391B1 (en) * 2017-09-15 2023-12-12 Eysight Technologies, Inc. Signal generator utilizing a neural network
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
US10692203B2 (en) 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
CN110416111B (zh) * 2018-04-28 2022-05-27 北京北方华创微电子装备有限公司 实现多个腔室匹配的方法和实现多个腔室匹配的装置
KR20230098730A (ko) 2018-07-26 2023-07-04 에이에스엠엘 네델란즈 비.브이. 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법
US10930531B2 (en) 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10705514B2 (en) 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
KR102563023B1 (ko) * 2018-11-12 2023-08-03 주식회사 히타치하이테크 결함의 발생을 추정하는 시스템, 및 컴퓨터 가독 매체
TWI776015B (zh) * 2019-01-30 2022-09-01 晶喬科技股份有限公司 半導體元件的製程開發方法以及系統
WO2020254066A1 (en) 2019-06-20 2020-12-24 Asml Netherlands B.V. Method for patterning process modelling
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
US11106855B2 (en) * 2019-09-17 2021-08-31 Taiwan Semiconductor Manufacturing Company Limited Pre-characterization mixed-signal design, placement, and routing using machine learning
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
CN111308857B (zh) * 2020-02-25 2023-08-11 上海华力集成电路制造有限公司 一种转角opc检查方法
KR102416787B1 (ko) * 2020-03-25 2022-07-05 한국핵융합에너지연구원 플라즈마 시뮬레이션을 위한 데이터의 입력 장치 및 방법
KR20210133364A (ko) 2020-04-28 2021-11-08 삼성전자주식회사 반도체 장치의 제조를 위한 방법 및 컴퓨팅 장치
KR20220001262A (ko) 2020-06-29 2022-01-05 삼성전자주식회사 반도체 공정의 근접 보정 방법
US11194951B1 (en) * 2020-08-31 2021-12-07 Siemens Industry Software Inc. Optical proximity correction model verification
CN112485976B (zh) * 2020-12-11 2022-11-01 上海集成电路装备材料产业创新中心有限公司 基于逆向刻蚀模型确定光学临近修正光刻目标图案的方法
KR20230016112A (ko) 2021-07-23 2023-02-01 삼성전자주식회사 반도체 장치의 제조를 위한 전자 장치 및 전자 장치의 동작 방법
US20230092729A1 (en) * 2021-09-20 2023-03-23 Kla Corporation Semiconductor Profile Measurement Based On A Scanning Conditional Model
US20230222264A1 (en) * 2022-01-07 2023-07-13 Applied Materials, Inc. Processing chamber calibration
CN114898169B (zh) * 2022-03-10 2024-04-12 武汉大学 一种基于深度学习的光刻opc数据库建立方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统
WO2024041831A1 (en) * 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5421934A (en) 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6268226B1 (en) 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
EP1252652A1 (de) 2000-01-25 2002-10-30 Infineon Technologies AG Verfahren zur überwachung eines herstellungsprozesses
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US20030113766A1 (en) 2000-10-30 2003-06-19 Sru Biosystems, Llc Amine activated colorimetric resonant biosensor
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6650423B1 (en) 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6753115B2 (en) 2001-12-20 2004-06-22 Numerical Technologies, Inc. Facilitating minimum spacing and/or width control optical proximity correction
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US7402257B1 (en) 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US20050074907A1 (en) 2003-10-06 2005-04-07 Adrian Kriz Semi-conductor wafer fabrication
US7523076B2 (en) 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
TWI367429B (en) * 2004-09-01 2012-07-01 Lam Res Corp A plasma chamber utilizing an enhanced process and profile simulator algorithms and a method for operating the same
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7739651B2 (en) 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7253008B2 (en) 2004-12-28 2007-08-07 Sandia Corporation Reactive ion etched substrates and methods of making and using
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7588946B2 (en) 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN1940715A (zh) * 2005-09-27 2007-04-04 力晶半导体股份有限公司 光掩模图案的校正方法及其形成方法
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US20070249071A1 (en) 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7525673B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
RU2410828C2 (ru) * 2006-09-15 2011-01-27 Абб Швайц Аг Способ эксплуатации преобразовательной схемы и устройство для осуществления способа
US7949618B2 (en) 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5036450B2 (ja) 2007-08-16 2012-09-26 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
US7812966B2 (en) 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
KR101374932B1 (ko) 2007-09-28 2014-03-17 재단법인서울대학교산학협력재단 확산 제한 식각과정에 의한 수평 변환 다공성 실리콘 광학필터의 제조방법 및 그에 의한 필터구조
ATE517391T1 (de) 2007-11-30 2011-08-15 Coventor Inc System und verfahren zur dreidimensionalen schematischen erfassung und darstellung von multiphysics-systemmodellen
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US8649016B2 (en) 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
JP5876040B2 (ja) 2010-06-17 2016-03-02 ノヴァ・メジャーリング・インストゥルメンツ・リミテッド パターン化構造の光学検査を最適化するための方法およびシステム
US8494314B2 (en) 2010-07-05 2013-07-23 Infinera Corporation Fabrication tolerant polarization converter
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN104736744B (zh) 2012-10-17 2017-06-06 东京毅力科创株式会社 使用多变量分析的等离子体蚀刻终点检测
JP5974840B2 (ja) * 2012-11-07 2016-08-23 ソニー株式会社 シミュレーション方法、シミュレーションプログラム、シミュレータ、加工装置、半導体装置の製造方法
TWI512389B (zh) * 2013-02-22 2015-12-11 Globalfoundries Us Inc 定向自組裝製程/鄰近校正之方法
TWI621957B (zh) 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
TWI668725B (zh) 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US10895810B2 (en) 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
JP6177671B2 (ja) * 2013-11-25 2017-08-09 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラムおよびシミュレータ
JP6318007B2 (ja) 2013-11-29 2018-04-25 株式会社日立ハイテクノロジーズ データ処理方法、データ処理装置および処理装置
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
US9659126B2 (en) 2014-01-26 2017-05-23 Coventor, Inc. Modeling pattern dependent effects for a 3-D virtual semiconductor fabrication environment
CN105225979A (zh) 2014-06-19 2016-01-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件制程预测系统和方法
KR101939313B1 (ko) * 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
CN106537556B (zh) * 2014-08-19 2021-09-07 英特尔公司 利用电子束通用切具的交叉扫描接近度校正
CN104820787A (zh) * 2015-05-13 2015-08-05 西安电子科技大学 基于fpga芯片的电路系统功耗预测方法
KR20170047101A (ko) 2015-10-22 2017-05-04 삼성전자주식회사 Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10599789B2 (en) * 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
CN105516022B (zh) * 2016-01-27 2018-10-09 盛科网络(苏州)有限公司 在芯片中实现802.11 QoS优先级值灵活映射的方法
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10346740B2 (en) 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US20180239851A1 (en) 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US20190049937A1 (en) 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997345B2 (en) 2018-04-06 2021-05-04 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11704463B2 (en) 2018-04-06 2023-07-18 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2020160029A1 (en) * 2019-01-29 2020-08-06 Lam Research Corporation Fill process optimization using feature scale modeling
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI750648B (zh) * 2019-05-21 2021-12-21 荷蘭商Asml荷蘭公司 用於判定與期望圖案相關聯之隨機變異之方法
US11669019B2 (en) 2019-05-21 2023-06-06 Asml Netherlands B.V. Method for determining stochastic variation associated with desired pattern

Also Published As

Publication number Publication date
CN107526864A (zh) 2017-12-29
SG10201705049VA (en) 2018-01-30
KR102415463B1 (ko) 2022-06-30
CN107526864B (zh) 2021-06-08
US20170363950A1 (en) 2017-12-21
TWI738796B (zh) 2021-09-11
CN113420523A (zh) 2021-09-21
KR20170143445A (ko) 2017-12-29
US10197908B2 (en) 2019-02-05
TW201809856A (zh) 2018-03-16
US10585347B2 (en) 2020-03-10
US20190250501A1 (en) 2019-08-15

Similar Documents

Publication Publication Date Title
JP7182565B2 (ja) エッジ配置誤差予測を用いた設計レイアウトパターン近接効果補正
US10585347B2 (en) Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) Layout pattern proximity correction through fast edge placement error prediction
US10303830B2 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10386828B2 (en) Methods and apparatuses for etch profile matching by surface kinetic model optimization
US10997345B2 (en) Method of etch model calibration using optical scatterometry
US20190049937A1 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171221