KR102415463B1 - 물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정 - Google Patents

물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정 Download PDF

Info

Publication number
KR102415463B1
KR102415463B1 KR1020170077116A KR20170077116A KR102415463B1 KR 102415463 B1 KR102415463 B1 KR 102415463B1 KR 1020170077116 A KR1020170077116 A KR 1020170077116A KR 20170077116 A KR20170077116 A KR 20170077116A KR 102415463 B1 KR102415463 B1 KR 102415463B1
Authority
KR
South Korea
Prior art keywords
etch
feature
plasma
epe
parameters
Prior art date
Application number
KR1020170077116A
Other languages
English (en)
Other versions
KR20170143445A (ko
Inventor
사라바나프리얀 스리라만
리처드 와이즈
하르미트 싱
알렉스 패터슨
3세 엔드류 디. 베일리
바히드 바헤디
리차드 에이. 고트쵸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170143445A publication Critical patent/KR20170143445A/ko
Application granted granted Critical
Publication of KR102415463B1 publication Critical patent/KR102415463B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

에칭 동작에서 사용될 포토레지스트에 대한 근접-보정된 설계 레이아웃을 생성하는 방법들이 개시된다. 방법들은 최초 설계 레이아웃의 피처를 식별하는 단계, 및 에칭 동작 동안 피처 내에서 IFPF (in-feature plasma flux) 의 하나 이상의 정량적 특성을 추정하는 단계를 포함할 수도 있다. 방법들은 IFPF의 하나 이상의 정량적 특성을, IFPF의 하나 이상의 정량적 특성들의 값들과 EPE (edge placement error) 의 정량적 특성의 값들을 연관시키는 룩-업 테이블 (LUT, 그리고/또는 예를 들어, MLM (machine learning methods) 을 통해 구성된 LUT에 대해 훈련된 다변수 모델의 적용을 통해) 의 정량적 특성들과 비교함으로써 피처의 EPE의 정량적 특성을 추정하는 단계를 더 포함할 수도 있다. 그 후, 최초 설계 레이아웃은 EPE의 결정된 정량적 특성에 기초하여 수정될 수도 있다.

Description

물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정{PHOTORESIST DESIGN LAYOUT PATTERN PROXIMITY CORRECTION THROUGH FAST EDGE PLACEMENT ERROR PREDICTION VIA A PHYSICS-BASED ETCH PROFILE MODELING FRAMEWORK}
플라즈마-보조된 에칭 프로세싱의 성능은 종종 반도체 프로세싱 워크플로우의 성공에 중요하다. 그러나, 에칭 프로세싱을 최적화하는 것은 어렵고 시간 소모적일 수 있으며, 종종 프로세스 엔지니어가 목표된 타깃 피처 프로파일을 생성하도록 시도하는 임시 방편적인 (ad hoc) 방식에서 에칭 프로세스 파라미터들을 수동으로 조정하는 (tweaking) 것을 수반한다. 현재 단순히 미리 결정된 목표된 에칭 프로파일을 발생할 프로세싱 파라미터들의 값들을 결정하기 위해 프로세싱 엔지니어들이 신뢰할 수도 있는 충분한 정확도의 자동화된 절차가 없다.
일부 모델은 에칭 프로세스들 중에 반도체 기판 표면에서 발생하는 물리적 화학 프로세스들을 시뮬레이션하려고 시도한다. 예들은 M. Kushner와 동료들의 에칭 프로파일 모델뿐만 아니라 Cooperberg 및 동료의 에칭 프로파일 모델도 포함한다. 전자는 Y. Zhang, "Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation", Chapter 3, dissertation, University of Michigan (2015) 에 기술되고, 후자는 Cooperberg, Vahedi 및 Gottscho의, "Semiempirical profile simulation of aluminum etching in Cl2/BCl3 plasma", J. Vac. Sci. Technol. A 20 (5), 1536 (2002) 에 기술되어 있으며, 이들 각각은 모든 목적을 위해 그 전체가 참고 문헌으로 본 명세서에 인용된다. M. Kushner 및 동료들의 에칭 프로파일 모델에 대한 추가 기술은 J. Vac. Sci. Technol. A 15 (7), 1913 (1997), J. Vac. Sci. Technol. B 16 (7), 2102 (1998), J. Vac. Sci. Technol. A 16 (6), 3274 (1998), J. Vac. Sci. Technol. A 19 (2), 524 (2001), J. Vac. Sci. Technol. A 22 (7), 1242 (2004), J. Appl. Phys. 97, 023307 (2005) 에 기재되어 있으며, 이들 각각은 또한 모든 목적을 위해 그 전체가 본 명세서에 참조로서 인용된다. 이러한 모델들을 개발하기 위해 수행된 광범위한 작업에도 불구하고 반도체 프로세싱 산업에서 상당한 사용을 발견하기에 목표된 정확성과 신뢰성을 아직 갖고 있지 않다.
에칭 동작에서 사용될 포토레지스트에 대한 근접 보정된 설계 레이아웃을 생성하는 방법들이 개시된다. 방법들은 최초 설계 레이아웃을 수신하는 단계 및 최초 설계 레이아웃의 피처를 식별하는 단계로서, 피처의 패턴은, 반도체 기판의 표면 상의 재료 스택이 설계 레이아웃에 대응하는 포토레지스트 패턴 층으로 덮일 때, 프로세스 조건들의 세트 하에서 프로세싱 챔버 내에서 수행된, 플라즈마 기반 에칭 프로세스를 통해 반도체 기판의 표면 상의 재료 스택 내로 에칭될 피처에 대응하는, 식별하는 단계를 포함할 수도 있다. 방법들은 이러한 플라즈마 기반 에칭 프로세스 동안 시간 t에서 피처 내 IFPF (in-feature plasma flux) 의 하나 이상의 정량적 특성을 추정하는 단계, 및 IFPF의 하나 이상의 추정된 정량적 특성들을 시간 t에서 EPE (edge placement error) 의 정량적 특성의 값들을 IFPF의 하나 이상의 정량적 특성들의 값들과 연관시키는 LUT (look-up table) 의 정량적 특성들과 비교함으로써 시간 t에서 피처의 에지의 EPE의 정량적 특성을 추정하는 단계를 포함할 수도 있다. 그 후, EPE의 정량적 특성에 기초하여 최초 설계 레이아웃이 수정될 수도 있다.
일부 실시예들에서, LUT는 재료 스택 상에 덮인 포토레지스트의 캘리브레이션 패턴에 대한 적어도 시간 t에 대해 프로세스 조건들의 세트 하에서 계산된 EPM (etch profile model) 을 실행함으로써 구성될 수도 있다. 일부 실시예들에서, 패턴들이 최초 설계 레이아웃 내에 있는 하나 이상의 부가적인 피처들에 대해 다양한 전술한 동작들이 반복될 수도 있고, 그리고 최초 설계 레이아웃은 이들 하나 이상의 부가적인 피처들에 대응하는 EPE의 추정된 정량적 특성에 더 기초하여 수정될 수도 있다.
일부 실시예들에서, IFPF의 하나 이상의 정량적 특성들은 IFPIF (in-feature plasma ion flux) 의 정량적 특성 및 IFPNF (in-feature plasma neutral flux) 의 정량적 특성을 포함할 수도 있다. 일부 실시예들에서, LUT는 입력들 (entries) 의 리스트를 포함하고, 입력들 중 적어도 일부는 IFPIF의 정량적 특성, IFPNF의 정량적 특성, 및 EPE의 대응하는 정량적 특성을 위한 필드들을 포함한다. 일부 실시예들에서, LUT의 입력들 중 적어도 일부는 에칭 시간 및/또는 피처 깊이에 대한 하나 이상의 필드들을 더 포함한다. 일부 실시예들에서, LUT의 입력들 중 적어도 일부는 IFPDF (in-feature passivant deposition flux) 를 위한 필드를 더 포함한다. 일부 실시예들에서, LUT의 입력들 중 적어도 일부는 캘리브레이션 패턴에 존재하는 에지 형상에 대응하는 에지 형상 지표를 위한 필드를 포함한다.
일부 실시예들에서, EPE의 정량적 특성은, IFPF의 하나 이상의 정량적 특성과 LUT의 정량적 특성을 비교하고, 그리고 LUT의 값들 간을 인터폴레이션하는 (interpolate) 동작 동안, 트레이닝된 MLM (machine learning model) 을 사용하여 단계 (d) 에서 추정된다. 특정한 이러한 실시예에서, MLM은 계산된 EPM을 실행함으로써 생성된 데이터세트에 대해 트레이닝되고, 적어도 데이터세트의 서브세트는 LUT를 구성하도록 사용된다.
마스크 설계를 생성하는 방법들이 또한 본 명세서에 개시된다. 이들 방법들은 직전에 기술된 기법들을 사용하여 포토레지스트에 대한 근접 보정된 설계 레이아웃을 생성하는 단계 및 그 후 생성된 근접 보정된 포토레지스트 설계 레이아웃에 기초하여 마스크 설계를 생성하는 단계를 포함할 수도 있다. 반도체 기판을 에칭하는 방법들이 또한 본 명세서에 개시된다. 이들 방법들은 직전에 기술된 바와 같이 마스크 설계를 생성하는 단계 및 마스크 설계에 기초하여 마스크를 형성하는 단계를 포함할 수도 있다. 그 후, 근접 보정된 포토레지스트 설계 레이아웃에 실질적으로 순응하는 (conform) 기판에 포토레지스트 층을 전사하도록 마스크를 사용하여 포토리소그래피 동작이 수행될 수도 있고, 그 후, 기판이 플라즈마에 노출될 수도 있어서 마지막으로 기판이 에칭된다.
에칭 동작에서 사용될 포토레지스트에 대한 근접 보정된 설계 레이아웃을 생성하기 위한 컴퓨터 시스템들이 또한 본 명세서에 개시된다. 시스템들은 프로세서 및 메모리를 포함할 수도 있다. 메모리는 LUT 및 프로세서 상에서의 실행을 위한 컴퓨터 판독가능 인스트럭션들을 저장할 수도 있다. 메모리에 저장된 인스트럭션들은 최초 설계 레이아웃을 수신하는 동작을 위한 인스트럭션들, 최초 설계 레이아웃의 피처를 식별하는 동작으로서, 피처의 패턴은, 반도체 기판의 표면 상의 재료 스택이 설계 레이아웃에 대응하는 포토레지스트 패턴 층으로 덮일 때, 프로세스 조건들의 세트 하에서 프로세싱 챔버 내에서 수행된, 플라즈마 기반 에칭 프로세스를 통해 반도체 기판의 표면 상의 재료 스택 내로 에칭될 피처에 대응하는, 식별하는 동작을 위한 인스트럭션들을 포함할 수도 있다. 메모리에 저장된 인스트럭션들은 이러한 플라즈마 기반 에칭 프로세스 동안 시간 t에서 피처 내 IFPF의 하나 이상의 정량적 특성을 추정하는 동작을 위한 인스트럭션들, 직전에 기술된 바와 같이 추정된 IFPF의 하나 이상의 정량적 특성들을 시간 t에서 EPE의 정량적 특성의 값들을 IFPF의 하나 이상의 정량적 특성들의 값들과 연관시키는 LUT의 정량적 특성들과 비교함으로써 시간 t에서 피처의 에지의 EPE의 정량적 특성을 추정하는 동작을 위한 인스트럭션들, 및 EPE의 정량적 특성에 기초하여 최초 설계 레이아웃을 수정하는 동작을 위한 인스트럭션들을 더 포함할 수도 있다.
일부 실시예들에서, 최초 설계 레이아웃은 컴퓨터 판독가능 매체로부터 판독될 수도 있고, 그리고 특정한 이러한 실시예들에서, 프로세서 상에서의 실행을 위해 메모리에 저장된 컴퓨터 판독가능 인스트럭션들은, 컴퓨터 판독가능 매체에 근접 보정된 설계 레이아웃을 기록하는 동작을 위한 인스트럭션을 더 포함한다.
LUT를 갖고 직전에 기술된 바와 같은 컴퓨터 판독가능 인스트럭션들이 저장되는 하나 이상의 컴퓨터 판독가능 매체가 또한 본 명세서에 개시된다.
반도체 기판들을 에칭하기 위한 에칭 시스템들이 또한 본 명세서에 개시된다. 시스템들은 직전에 기술된 바와 같이 포토레지스트에 대한 근접 보정된 설계 레이아웃을 생성하기 위한 컴퓨터 시스템, 및 포토리소그래피 모듈을 포함할 수도 있다. 포토리소그래피 모듈은 컴퓨터 시스템으로부터 포토레지스트에 대한 근접 보정된 설계 레이아웃을 수신하고, 근접 보정된 설계 레이아웃으로부터 마스크를 형성하고, 그리고 근접 보정된 포토레지스트 설계 레이아웃을 실질적으로 순응하는 반도체 기판에 포토레지스트 층을 전사하도록 마스크를 사용하여 포토리소그래피 동작을 수행하도록 구성될 수도 있다. 상기 시스템들은 반도체 기판과 콘택트하고 포토리소그래피 모듈에 의해 전사된 포토레지스트로 커버되지 않은 기판의 표면의 부분들을 에칭하는 플라즈마를 생성하도록 구성된 플라즈마 에칭기를 더 포함할 수도 있다.
도 1은 에칭 프로세스의 표면 운동 모델 (surface kinetic model) 로부터 계산적으로 생성된 에칭 프로파일의 예를 나타낸다.
도 2는 도 1에 도시된 에칭 프로파일과 유사하지만, 이 도면에서, 하나 이상의 광학적 계측 툴들로 이루어진 실험적 측정치들로부터 계산된 에칭 프로파일의 예를 나타낸다.
도 3은 에칭 프로파일 좌표 공간에 대해, 에칭 프로파일 모델들을 최적화하기 위한 절차들을 나타내는 프로세스 플로우 차트이다.
도 4a는 에칭 프로파일 모델들, 특히 이러한 모델들에 사용된 특정한 모델 파라미터들을 최적화하기 위한 절차들을 나타내는 프로세스 플로우 차트들이다.
도 4b는 에칭 프로파일 모델들, 특히 이러한 모델들에 사용된 특정한 모델 파라미터들을 최적화하기 위한 절차들을 나타내는 프로세스 플로우 차트들이다.
도 5는 본 개시에 따라 최적화된 모델들을 사용하여 식별될 수도 있는 표준 (canonical) 에칭 프로파일들의 예시적인 세트를 도시한다.
도 6은 반사율 스펙트럼 공간에 대해 에칭 프로파일 모델들을 최적화하기 위한 절차들을 나타내는 프로세스 플로우 차트이다.
도 7a는 에칭 프로세스 동안 진전됨에 따라 에칭 프로파일의 반사율 스펙트럼 이력의 예시이다.
도 7b는 3-D 데이터 블록 (데이터 블록의 3 개의 인덱스들은 웨이퍼 수 (i), 스펙트럼 파장 (j), 및 에칭 프로세스 시간 (k) 에 대응함) 의 형태로 많은 웨이퍼들에 대해 수집된 스펙트럼 반사율 데이터의 세트, 뿐만 아니라 PLS 스펙트럼 이력 분석에 대한 독립 데이터로서 역할을 할 수도 있는 2-D 데이터 블록으로 전개된 (unfold) 3-D 데이터 블록들, 도면에 또한 표시된 에칭 프로파일 좌표들인 종속 데이터를 개략적으로 제시한다.
도 8은 PLS 모델의 최적화에 채용될 계산된 반사율 스펙트럼의 생성에 사용되는, EPM을 동시에 최적화하면서 에칭 프로세스 동안 에칭 프로파일들에 대한 PLS 모델 관련 에칭 스펙트럼 반사율 이력을 최적화하기 위한 반복적인 절차를 예시하는 프로세스 플로우 차트이다.
도 9a 내지 도 9c는 조정가능-갭 CCP (capacitively-coupled plasma) 반응기의 실시예를 예시한다.
도 10은 ICP (inductively-coupled plasma) 반응기의 실시예를 예시한다.
도 11a는 포토레지스트의 층에 의해 규정된 바와 같은, 피처가 에칭되기 전 및 에칭된 후의 반도체 기판 상의 재료의 2-층 스택의 단면도를 도시한다.
도 11b는 90 도 모퉁이를 갖는 트렌치 피처의 평면도를 도시한다.
도 12는 PPC (pattern proximity correction) 를 위한 표준 실증적 VEB 방법의 다양한 페이즈들을 도시하고, 다양한 페이즈들의 완료, 뿐만 아니라 전체 VEB-기반 마스크 구축 프로세스의 완료를 위한 (주 단위의) 타임라인을 예시한다. 도 12는 본 명세서에 개시된 바와 같이 물리-기반 에칭 프로파일 모델 방법을 대신 사용할 때 유사한 타임라인을 또한 도시한다.
도 13a는 특정한 구조들/이들로부터 선택된 피처들을 갖는 단순한 캘리브레이션 패턴의 예시를 제공한다.
도 13b는 본 명세서에 기술된 바와 같은, ROM (reduced-order model) LUT의 예시를 제공한다.
도 13c는 본 명세서에 기술된 바와 같은, 또 다른 ROM LUT의 예시를 제공한다.
도 14a 및 도 14b 양자는 ROM LUT의 필드들에 보유된 양들로 라벨링된 반도체 기판의 피처/구조체를 디스플레이한다.
도 15는 포토레지스트에 대한 패턴 근접 보정된 설계 레이아웃을 생성하기 위한 동작들의 시퀀스를 도시한다.
도 16은 최초 설계 레이아웃의 복수의 피처들의 EPE를 연산하는 단계를 수반하는 포토레지스트에 대한 패턴 근접 보정된 설계 레이아웃을 생성하기 위한 동작들의 시퀀스를 도시한다.
도 17은 예를 들어, 지향성 이온 플럭스에 대한, 피처 내 가시점을 예시하도록 도시된 시선으로 피처의 단면을 도시한다.
도 18은 EPE를 연산하는 단계의 일부로서 추정된 피처 가시성을 개선하는 것을 수반하는 포토레지스트에 대한 패턴 근접 보정된 설계 레이아웃을 생성하기 위한 동작들의 시퀀스를 도시한다.
도 19a 및 도 19b는 피처의 단면도를 도시하고, EPE에 대한 단일-시간-스텝 방법 대 멀티-시간-스텝 방법을 예시한다.
도 20은 포토레지스트에 대한 패턴 근접 보정된 설계 레이아웃을 생성하기 위한 동작들의 멀티-시시간-스텝 시퀀스를 도시한다.
도입
상기 참조된 EPM들 (etch profile models) (및 다른 유사한 모델들) 이, 반도체 프로세싱 산업계에서 신뢰하기에 충분히 우수한 근사치들인 반도체 피처 에칭 프로파일들의 충분히 정밀한 표현들을 생성하는데 사용될 수도 있도록 상기 모델들의 실용적인 유용성을 개선하기 위한 절차들이 본 명세서에 개시된다. 일반적으로, 본 발명의 절차들은 이들 모델들의 예측력을 개선한다.
일반적으로, EPM들 및 유사한 모델들은 시간에 따라 기판 피처의 에칭 프로파일 진화 (evolution) -즉, 피처의 표면 상의 다양한 공간적 위치들에서 피처의 형상의 시간 의존적 변화- 를 이들 공간적 위치들 각각에서 에칭 프로세스와 연관된 반응 레이트들을 계산함으로써, 시뮬레이션하려고 시도하고, 반응 레이트들은 반응 챔버에서 설정된 플라즈마 조건들의 특징적인 에천트 및 증착 종의 입사 플럭스로부터 발생하고, 시뮬레이션된 에칭 프로세스 내내 그렇게 한다. 출력은 프로파일의 형상을 공간적으로 맵핑하는 데이터 포인트들의 개별 세트 -즉, 프로파일 좌표들- 로 나타내는 시뮬레이션된 에칭 프로파일이다. 이러한 시뮬레이션된 에칭 프로파일의 예가 도 1에 도시되고; 시뮬레이션된 프로파일은 도 2에 도시된 바와 같이 실제 측정된 에칭 프로파일에 대응할 수도 있다. 시뮬레이션된 에칭 프로파일의 시간에 따른 진화는 이론적으로 모델링되고, 공간적으로 분해된 국부적인 에칭 반응 레이트들에 의존하고, 물론 에칭 프로세스의 기본적인 화학 및 물리학에 의존한다. 이와 같이, 에칭 프로파일 시뮬레이션은 에칭 프로세스들의 기본적인 화학 반응 메커니즘들 및 또한 일반적으로 프로세스 엔지니어의 통제 하에 있는 챔버 환경 -온도, 압력, 플라즈마 전력, 반응물 플로우 레이트, 등- 을 특징으로 할 수도 있는 모든 물리적 및 화학적 파라미터와 관련된 다양한 물리적 및 화학적 파라미터에 의존한다.
전술한 바와 관련하여, 따라서 에칭 프로파일 모델은, 일반적으로 독립적으로 제어할 수 없는 그리고/또는 심지어 프로세스 엔지니어가 직접적으로 알 수 있지만 그럼에도 불구하고 시뮬레이션에 대한 입력으로 명시되어야 하는 "근본적인" 화학적 및 물리적 입력 파라미터 세트 -반응 확률, 부착 계수, 이온 및 중성 플럭스 등과 같은 예들- 를 필요로 한다. 따라서 "근본적인" 또는 "기계론적" 입력 파라미터들의 이러한 세트들은 일반적으로 문헌에서 취해진 특정 값을 갖는 것으로 가정되며, 이들의 사용은 모델링될 에칭 프로세스의 기본적인 물리적 메커니즘 및 화학적 메커니즘의 특정한 단순화 (그리고 근사치) 를 암시적으로 언급한다.
본 개시는 기판 에칭 프로세스들의 이들 EPM들의 실제 산업적 적용성을 향상시키기 위해 실험 기법들 및 데이터 마이닝 (mining)/분석 방법들을 결합하는 절차를 제시한다. "기판 에칭 프로세스"라는 문구는 마스크 층을 에칭하는 프로세스들, 또는 보다 일반적으로, 기판 표면 상에 증착된 그리고/또는 기판 표면 상에 머물러 있는 (reside) 임의의 재료 층을 에칭하는 프로세스들을 포함한다는 것을 주의한다. 이 기법들은 이 모델에 채용되는 "근본적인" 화학적 및 물리적 입력 파라미터들에 중점을 두고, 이러한 "근본적인" 파라미터들에 대해 결정된 최적 값들이 문헌 (또는 다른 실험들) 이 이들 파라미터들에 대한 "실제" 물리적/화학적 값들로 결정할 수도 있는 것과 상이하더라도 이들 파라미터들에 대해 보다 효과적인 -에칭 모델의 정확도를 개선하는 관점에서 효과적인- 값들의 세트로 간주될 수도 있는 것을 결정하는 절차를 사용하여 이 모델을 개선한다.
도 3 및 도 4는, 이하에 보다 완전히 논의된, 개선된 에칭 프로파일 모델들을 생성하기 위한 예시적인 프로세스들을 예시하는 플로우 차트들이다. 예를 들어, 도 3에서, 도시된 프로세스 흐름은, 일 브랜치는 실험적 측정치들로부터이고 다른 브랜치는 아직 최적화되지 않은 버전인 모델의 현재 버전으로부터의 2 개의 입력 브랜치들을 갖는다. 실험 브랜치와 예측 모델 브랜치 양자는 에칭 프로파일 결과들을 생성한다. 이러한 결과들은 비교되고 비교는 결과들 간의 편차가 감소되도록 모델을 개선하도록 사용된다.
EPM에 의한 출력으로서 2 차원 또는 3 차원의 에칭 프로파일 데이터를 상세히 특징화하는 것은 모델을 최적화하기 위한 특정 도전 과제를 제시한다. 본 명세서에 개시된 다양한 실시예들에서, 프로파일 데이터는 각각이 두께를 갖는 일련의 상승부 슬라이스로 나타낸다. 다른 실시예들에서, 프로파일은 공통 원점으로부터의 일련의 벡터들로서 또는 사다리꼴과 같은 일련의 기하학적 형태들로서 나타낸다. 프로파일의 이들 상승부 슬라이스 또는 다른 컴포넌트들을 많이 사용하는 경우, 실험적 및 EPM 프로파일 간의 에러를 최소화하는 최적화 문제는 계산적으로 요구될 수 있다. 필요한 계산을 저감하기 위해, PCA (principal component analysis) 와 같은 차원 감소 기술은 다양한 프로파일 컴포넌트들로부터 최적화에 사용된 전체 물리적 프로파일까지 상관된 기여도들을 식별하기 위해 사용된다. 에칭 프로파일을 감소된 차원 공간의 몇몇 주 컴포넌트들 또는 다른 벡터들에 제공함으로써 에칭 프로파일 모델들의 예측 능력을 개선하는 프로세스를 크게 단순화할 수 있다. 부가적으로, 이러한 주 컴포넌트들은 서로 직교하여 독립적인 프로파일 기여도가 개별적으로 최적화될 수 있다는 것을 보장한다.
다음 용어들이 본 명세서에서 사용된다.
독립 변수 - 일반적으로 이해되는, 독립 변수는 응답을 유발하는 모든 변수이다. 에칭 프로파일 모델은 반응기 프로세스 조건들 (예를 들어, 온도, 압력, 가스 조성, 플로우 레이트들, 플라즈마 전력, 등), 국부적인 플라즈마 조건들 및 국부적인 반응 조건들과 같은 다양한 타입들의 독립 변수들을 포함할 수도 있다.
결과 변수 - 일반적으로 이해되는, 결과 변수는 독립 변수들로부터 발생하는 변수이다. 종종 결과 변수는 모델에 의해 출력된다. 일부 맥락들에서, 결과 변수는 용어 종속 변수와 동의어이다. 본 개시에서 에칭 프로파일은 일 타입의 결과 변수이다.
입력 변수 - 입력 변수는 독립 변수와 유사하지만, 일부 독립 변수들은 많은 실행들에 대해 고정될 수도 있고 따라서 이러한 실행들에 대한 변수들을 기술적으로 "입력"하지 않을 수도 있어서 보다 구체적일 수도 있다. 입력 변수는 고찰 중인 실행에 대한 입력으로서 제공된다.
기계론적 파라미터 - 기계론적 파라미터는 반응기 내 또는 에칭을 겪는 기판에서 하나 이상의 특정한 위치들에서 물리적 및/또는 화학적 조건을 나타내는 일 타입의 독립 변수이다.
플라즈마 파라미터 - 플라즈마 파라미터는 국부적인 플라즈마 조건들 (예를 들어, 기판 상의 특정한 위치들에서 플라즈마 밀도 및 플라즈마 온도) 을 기술하는 일 타입의 기계론적 파라미터이다.
반응 파라미터 - 반응 파라미터는 국부적인 화학적 또는 물리-화학적 조건을 기술하는 일 타입의 기계론적 파라미터이다.
프로세스 파라미터 - 프로세스 파라미터는 프로세스 엔지니어가 제어하는 반응기 파라미터 (예를 들어, 챔버 압력, RF 전력, 바이어스 전압, 가스 플로우 레이트들 및 페데스탈 온도) 이다. 기판 특성들과 함께 프로세스 파라미터들은 에칭 반응기 내에서 기계론적 파라미터들의 값들을 제어할 수도 있다.
모델 파라미터 - 모델 파라미터는 최적화된 일 타입의 독립 파라미터이다. 이는 통상적으로 기계론적 파라미터 예컨대 화학적 반응 파라미터이다. 모델 파라미터들의 초기 값들은 통상적으로 최적화되지 않고: 전문 지식에 기초하여 선택되거나 문헌 데이터로부터 선택된 추정치들일 수도 있다.
에칭 프로파일들
에칭 프로파일 모델들의 상세들과 이들의 개선 절차들을 살펴보기 전에, 피처의 에칭 프로파일 개념을 기술하는 것이 유용하다. 일반적으로, 에칭 프로파일 (EP) 은 반도체 기판 상의 에칭된 피처의 형상을 특징화하도록 사용될 수도 있는 하나 이상의 기하학적 좌표들의 세트에 대한 임의의 값들의 세트를 지칭한다. 간단한 경우에, 에칭 프로파일은 피처를 통해 2 차원 수직 단면 슬라이스를 통해 볼 때 피처의 베이스까지의 중간 (halfway) (기판의 표면 상의 피처의 베이스 (또는 하단부) 와 피처의 상단 개구부 사이의 중간 지점) 에서 결정된 피처의 폭으로 근사화될 수 있다. 보다 복잡한 예에서, 에칭 프로파일은 동일한 2 차원 수직 단면 슬라이스를 통해 보았을 때 피처의 베이스 위의 다양한 높이에서 결정된 일련의 피처 폭일 수도 있다. 도 2는 이의 예시를 제공한다. 실시예에 따라, 폭은 리세스 피처의 일 측벽과 다른 측벽 사이의 거리 -즉, 에칭된 영역의 폭- 일 수도 있고 또는 폭은 어느 측면에서 에칭된 컬럼의 폭을 나타낼 수도 있다는 것을 주의한다. 후자는 도 2에 개략적으로 예시된다. 일부 경우들에서, 이러한 폭은 "임계 치수" (도 2에서 "CD"로 라벨링됨) 로 지칭되고, 피처의 베이스로부터의 상승부는 높이 또는 소위 임계 치수의 z-좌표 (도 2에서 백분율로 라벨링됨) 로 지칭될 수도 있다는 것을 주의한다. 언급된 바와 같이, 에칭 프로파일은 사다리꼴 또는 삼각형과 같은 형상의 스택 또는 공통 원점으로부터의 벡터들의 그룹과 같은 다른 기하학적 참조로 또는 보우 (bow), 직선 또는 테이퍼된 측벽, 라운딩된 (rounded) 하단부, 패싯 (facet), 등과 같은 통상적인 에칭 프로파일을 규정하는 특징 형상 파라미터들의 그룹으로 나타낼 수도 있다.
이런 방식으로, 피처의 프로파일의 이산화된 묘사와 일련의 기하학적 좌표들 (예를 들어, 상이한 상승부들에서 피처 폭) 이 맵핑된다. 상이한 상승부들에서 피처 폭을 나타내는 일련의 좌표들을 표현하기 위한 많은 방식들이 있다는 것을 주의한다. 예를 들어, 좌표 각각은 일부 피처 폭 (평균 피처 폭 또는 수직으로 평균된 피처 폭과 같은) 으로부터의 부분적인 편차 (fractional deviation) 를 나타내는 값을 가질 수도 있으며, 또는 좌표 각각은 수직으로 인접한 좌표, 등으로부터의 변화를 나타낼 수도 있다. 여하튼, "폭" 및 일반적으로 에칭 프로파일을 나타내도록 사용된 프로파일 좌표들의 세트에 사용되는 스킴으로 지칭되는 것은 문맥 및 사용법에서 분명해 질 것이다. 좌표들의 세트가 피처의 에칭된 프로파일의 형상을 나타내도록 사용된다는 것이 발상이다. 일련의 기하학적 좌표들은 또한 기판 표면 상의 에칭된 실린더 또는 트렌치의 형상과 같은 피처의 에칭된 프로파일의 완전한 3 차원 형상 또는 다른 기하학적 특성을 기술하는데 사용될 수 있다는 것을 또한 주의해야 한다. 따라서, 일부 실시예들에서, 에칭 프로파일 모델은 모델링되는 피처의 완전한 3-D 에칭 형상을 제공할 수도 있다.
에칭 프로파일 모델들
EPM들 (etch profile models) 은 기본적인 물리적 및 화학적 에칭 프로세스들 및 반응 메커니즘들을 특징으로 하는 입력 에칭 반응 파라미터들 (독립 변수들) 의 세트로부터 이론적으로 결정된 에칭 프로파일을 계산한다. 이들 프로세스들은 에칭되는 피처와 그 주변을 나타내는 그리드에서 시간과 위치의 함수로 모델링된다. 입력 파라미터들의 예들은 이온 플럭스와 같은 플라즈마 파라미터들 및 특정 화학 반응이 발생할 확률과 같은 화학적 반응 파라미터들을 포함한다. 이들 파라미터들 (특히, 일부 실시예들에서, 플라즈마 파라미터들) 은, 여기에는 일반적인 반응기 구성들 및 압력, 기판 온도, 플라즈마 소스 파라미터들 (예를 들어, 플라즈마 소스에 제공된 전력, 주파수들, 듀티 사이클들), 반응물질들 및 이들의 플로우 레이트들과 같은 프로세스 조건들로부터 파라미터들을 계산하는 다른 모델들을 포함하여, 다양한 소스들로부터 얻을 수도 있다. 이러한 모델은 EPM의 일부이다.
설명된 바와 같이, EPM들은 반응 파라미터를 독립 변수로서 취하고 응답 변수로서 에칭 프로파일들을 기능적으로 생성한다. 다시 말해, 독립 변수들의 세트는 모델에 대한 입력들로서 사용된 물리적/화학적 프로세스 파라미터들이고, 응답 변수들은 모델에 의해 계산된 에칭 프로파일 특징들이다. EPM들은 반응 파라미터들과 에칭 프로파일 간의 하나 이상의 관계들을 채용한다. 이 관계들은, 에칭 프로파일들과 관련된, 응답 변수들을 생성하도록 규정된 방식으로 독립 변수들에 적용되는 예를 들어, 계수들, 가중치들, 및/또는 다른 모델 파라미터들 (뿐만 아니라, 반응 파라미터들 및/또는 다른 모델 파라미터들의 2 차 이상의 고차 다항 함수의 선형 함수, 등) 을 포함할 수도 있다. 이러한 가중치들, 계수들, 등은 하나 이상의 상기 기술된 반응 파라미터들을 나타낼 수도 있다. 이들 모델 파라미터들은 본 명세서에 기술된 최적화 기법들 동안 튜닝되거나 조정된다. 일부 실시예들에서, 반응 파라미터들 중 일부는 최적화될 모델 파라미터이지만, 다른 파라미터들은 독립적인 입력 변수들로 사용된다. 예를 들어, 화학 반응 파라미터들은 최적화 가능한 모델 파라미터들일 수 있지만, 플라즈마 파라미터들은 독립 변수들일 수도 있다.
일반적으로 "응답 변수"는 출력 및/또는 효과를 나타내고 그리고/또는 그 효과인지 여부가 테스트된다. "독립 변수"는 입력 및/또는 원인 (cause) 을 나타내며, 원인인지 여부가 테스트된다. 따라서, 응답 변수는 독립 변수가 가변함에 따라 가변하는지 그리고 얼마나 가변하는지를 알기 위해 연구될 수도 있다. 독립 변수는 또한 "예측 변수", "회귀 변수 (regressor)", "제어 변수", "조작 변수", "설명 변수" 또는 "입력 변수"로 공지될 수도 있다.
설명된 바와 같이, 일부 EPM은 근본적인 반응 기계론적 파라미터들로 특징화될 수도 있고 기본적인 화학 및 물리에 대해 근본적인 것으로 간주될 수도 있는 (독립 변수의 일 타입) 입력 변수를 채용하고 따라서 일반적으로 실험적 프로세스 엔지니어는 이 양들을 제어하지 않는다. 에칭 프로파일 모델에서, 이들 변수들은 규정된 시간 단계들로 분리된 복수의 시간들에서 그리고 그리드의 위치 각각에 적용된다. 일부 구현예들에서, 그리드 해상도는 대략 수 Å 내지 약 1 ㎛ 사이에서 가변할 수도 있다. 일부 구현예들에서, 시간 단계들은 약 1e-15 내지 1e-10 초 사이에서 가변할 수도 있다. 특정한 실시예들에서, 최적화는 (1) 국소 플라즈마 파라미터들 및 (2) 국소 화학 반응 파라미터들의 두 가지 타입의 기계론적 독립 변수들을 채용한다. 이러한 파라미터들은 위치의 함수, 일부 경우들에서 그리드의 해상도까지 가변할 수도 있다는 점에서 "국부적"이다. 플라즈마 파라미터들의 예들은 플럭스 및 이온, 라디칼, 광자, 전자, 여기된 종, 증착 종과 같은 입자의 에너지 및 이들의 에너지 및 각도 분포 등과 같은 국부적인 플라즈마 특성들을 포함한다. 화학적 및 물리 화학적 반응 파라미터들의 예들은 레이트 상수들 (예를 들어, 특정한 화학적 반응이 특정한 시간에 발생할 확률들), 부착 계수, 에칭 에너지 임계 값, 기준 에너지, 스퍼터 수율, 각도 수율 함수 및 그 파라미터들을 규정하기 위한 에너지의 지수 등을 포함한다. 또한, 파라미터화된 화학 반응들은 반응물질들이 에칭될 물질 및 에천트를 포함하는 반응들을 포함한다. 화학 반응 파라미터들은 기판을 직접 에칭하는 반응에 부가하여 다양한 타입의 반응들을 포함할 수도 있다는 것이 이해되어야 한다. 이러한 반응들의 예들은 기생 반응, 증착 반응, 부산물의 반응 등을 포함하는 부 반응들을 포함한다. 이들 모두는 전체 에칭 레이트에 영향을 줄 수도 있다. 이 모델은 상술한 플라즈마 및 화학 반응 입력 파라미터에 부가하여 다른 입력 파라미터들을 요구할 수도 있다는 것이 또한 이해되어야 한다. 이러한 다른 파라미터들의 예들은 반응 사이트들의 온도, 부분 압력 또는 반응물질 등을 포함한다. 일부 경우들에, 이들 및/또는 다른 비 기계식 파라미터들은 기계적 파라미터들 중 일부를 출력하는 모듈에 입력될 수도 있다.
일부 실시예들에서, EPM 모델 변수들, 뿐만 아니라 최적화 동안 고정되는 독립 변수들 (예를 들어, 일부 실시예들에서 플라즈마 파라미터들) 에 대한 최초 (최적화되지 않은) 값들은 문헌, 다른 계산적 모듈들 또는 모델들, 등에 의한 연산들과 같은 다양한 소스들로부터 획득될 수도 있다. 일부 실시예들에서, 독립 입력 변수들 -예컨대 플라즈마 파라미터들- 은 플라즈마 파라미터들의 경우에 대해, 에칭 챔버 플라즈마 모델로부터와 같이, 모델을 사용함으로써 결정될 수도 있다. 이러한 모델들은 프로세스 엔지니어가 제어하는 (예를 들어, 놉 (knob) 을 돌림으로써) 다양한 프로세스 파라미터들로부터 적용가능한 입력 EPM 파라미터들 -예를 들어, 압력, 플로우 레이트, 플라즈마 전력, 웨이퍼 온도, ICP 코일 전류들, 바이어스 전압들/전력, 펄싱 주파수, 펄스 듀티 사이클, 등과 같은 챔버 분위기 파라미터들- 을 계산할 수도 있다.
EPM을 실행할 때, 일부 독립 변수들은 실험들을 수행하는데 사용된 공지되거나 예상된 파라미터 값들로 설정된다. 예를 들어, 플라즈마 파라미터들은 모델링된 도메인 내의 위치들에서 공지되거나 예상된 값들로 고정될 수도 있다. -모델 또는 모델 파라미터들의 파라미터들과 같은 본 명세서에 기술된- 다른 독립 변수들은 이하에 기술된 최적화 절차에 의해 튜닝되도록 선택된 파라미터들이다. 예를 들어, 화학적 반응 파라미터들은 튜닝된 모델 파라미터들일 수도 있다. 따라서, 미리 결정된 측정된 실험적 에칭 프로파일에 대응하는 일련의 실행들에서, 모델 파라미터들은 모델을 최상으로 최적화하는 이들 파라미터들의 값들을 선택하는 방법을 설명하기 위해 가변된다.
EPM들은 많은 상이한 형태들 중 어느 형태를 취할 수도 있다. 궁극적으로, 이들은 독립 변수와 응답 변수 간의 관계를 제공한다. 관계는 선형 또는 비선형일 수도 있다. 일반적으로, EPM은 Monte Carlo 표면 운동 모델로 당업계에서 지칭된다. 다양한 형태들의 이들 모델들은 반도체 웨이퍼 제조의 관점에서 시간에 따른 웨이퍼 피처의 지형적 (topographical) 진화를 시뮬레이팅하도록 동작한다. 모델들은 지형적 진화의 셀-기반 표현을 활용할 수도 있지만, 또한 레벨-설정 타입 모델 또는 전술한 바들의 조합을 사용할 수도 있다. 더욱이, 묶인 (lumped) 운동 모델들은 또한 묶인 Langmuir-Hinshelwood 운동 모델들 또는 다른 타입들의 반-분석 하이브리드 모델들과 같이 채용될 수도 있다. 이 모델들은 웨이퍼 상의 임의의 방사상 위치들에 대한 플라즈마 모델 또는 실험적 진단들에 의해 생성된 에너지 및 각도 분포들을 갖는 의사-입자들 (pseudo-particles) 을 런칭한다 (launch). 의사-입자들은 표면으로의 라디칼들 및 이온들의 플럭스들을 나타내도록 통계적으로 가중된다. 이 모델들은 프로파일 진화를 에측하도록 에칭, 스퍼터링, 혼합, 및 표면 상의 증착을 발생시키는 다양한 표면 반응 메커니즘들을 어드레싱한다. Monte Carlo 적분 동안, 다양한 이온 및 중성 의사-입자들의 궤적들은 이들이 계산 도메인과 반응하거나 떠날 때까지 웨이퍼 피처 내에서 추적된다. EPM은 다양한 재료들에 대해 에칭, 스트립핑, ALE (atomic layer etching), 이온화된 금속 PVD (physical vapor deposition), 및 PECVD (plasma enhanced chemical vapor deposition) 를 예측하기 위한 발전된 능력들을 갖는다. 일부 실시예들에서, EPM은 2 차원 또는 3 차원에서 직선 (rectilinear) 메쉬를 활용하고, 이 메쉬는 웨이퍼 피처의 치수들을 적절히 어드레싱/모델링하기 충분한 정밀 해상도를 갖는다 (비록, 원리 상, (2D이든 3D이든) 메쉬는 또한 비-직선 좌표들을 활용할 수 있지만). 이 메쉬는 2차원 또는 3차원의 그리드-지점들의 어레이로 보여질 수도 있다. 이는 또한 그리드-지점 각각 (에 중심을 둔) 과 연관된 2D에서 국부적인 면적, 또는 3D에서 체적을 나타내는 셀들의 어레이로 보여질 수도 있다. 메쉬 내 셀 각각은 상이한 고체 재료 또는 재료들의 혼합물을 나타낼 수도 있다. 모델링을 위한 기준으로서 2D 또는 3D 메쉬가 선택되는지는 모델링될 웨이퍼 피처의 부류 (class) 타입에 의존할 수도 있다. 예를 들어, (예를 들어, 폴리실리콘 기판에서) 긴 트렌치 피처를 모델링하기 위해 2D 메쉬가 사용될 수도 있고, 2D 메쉬는 트렌치의 단부들의 기하학적 구조가 트렌치의 단부들로부터 트렌치의 길이의 대부분으로 아래로 발생하는 반응 프로세스들과 많은 관련이 없다는 가정 (즉, 이 단면 2D 모델의 목적을 위해, 트렌치는 무한한 것으로 가정되고, 다시 단부들로부터 트렌치 피처에 대한 합리적인 가정) 하에서 트렌치의 단면 형상을 설명한다. 다른 한편으로, 이는 (피처의 x, y 수평 치수들이 서로 동등하기 때문에) 3D 메쉬를 사용하는 원형 비아 피처 (TSV (through-silicon via)) 를 모델링하기 적절할 수도 있다.
메쉬 간격 (mesh spacing) 은 나노미터 이하 (sub-nanometer) (예를 들어, 1 Å으로부터) 최대 수 ㎛ (예를 들어, 10 ㎛) 의 범위일 수도 있다. 일반적으로, 메쉬 셀 각각은 (예를 들어, 피처에 의해 점유되지 않은 공간적 영역 내에서) 프로파일 진화 동안 변화할 수도 있는 재료 아이덴티티 (identity), 예를 들어, 포토레지스트, 폴리실리콘, 플라즈마를 할당받는다. 고체 상 종은 계산 셀의 아이덴티티로 나타내고, 가스 상 종은 계산 의사-입자들로 나타낸다. 이러한 방식으로, 메쉬는 웨이퍼 피처의 기하학적/토폴로지가 반응성 에칭 프로세스에서 시간에 따라 진화함에 따라, 웨이퍼 피처 및 주변 가스 분위기 (예를 들어, 플라즈마) 의 (예를 들어, 계산 목적을 위해) 상당히 상세한 표현을 제공한다.
에칭 실험들 및 프로파일 측정치들
이전 섹션에 제공된 EPM들을 트레이닝하고 최적화하기 위해, 다양한 실험들이 에칭 프로세스 파라미터들의 다양한 세트들에 의해 명시된 바와 같은 다양한 프로세스 조건들 하에서 수행된 실제 에칭 프로세스들로부터 발생된 실제 에칭 프로파일들을 -실험들로 하여금 정확하게- 결정하도록 수행될 수도 있다. 따라서, 예를 들어, 일 실험은 에칭 프로세스 파라미터들 -예컨대 에천트 플로우 레이트, 플라즈마 전력, 온도, 압력, 등- 의 세트에 대한 값들의 제 1 세트를 명시하고, 이에 따라 에칭 챔버 장치를 셋업하고, 에천트를 챔버 내로 흘리고, 플라즈마를 스트라이킹하는 등하고, 제 1 에칭 프로파일을 생성하도록 제 1 반도체 기판의 에칭으로 진행한다. 이어서, 일 실험은 동일한 세트의 에칭 프로세스 파라미터들에 대한 값들의 제 2 세트를 명시하고, 제 2 프로파일을 생성하도록 제 2 기판을 에칭하고, 그렇게 계속한다.
다양한 조합들의 프로세스 파라미터들이 EPM을 트레이닝하기 위해 적절하게 넓거나 포커싱된 프로세스 공간을 나타내도록 사용될 수도 있다. 이어서 동일한 조합들의 프로세스 파라미터들이 실험적 결과들에 대해 비교될 수 있는 에칭 프로파일 출력들 (응답 변수들) 을 제공하도록 EPM에 대한 (독립적인) 입력 파라미터들, 예컨대 기계론적 파라미터들을 계산하도록 사용된다. 실험은 비용이 많이 들고 시간 소모적이기 때문에, EPM을 최적화하기 위한 견고한 트레이닝 세트를 제공하기 위해 수행되어야 하는 실험들의 수를 감소시키는 방식으로 실험들을 설계하기 위한 기법들이 채용될 수 있다. DOE (design of experiments) 와 같은 기법들이 이 목적을 위해 채용될 수도 있다. 일반적으로, 이러한 기법들은 다양한 실험들에 사용할 프로세스 파라미터들의 세트들을 결정한다. 프로세스 파라미터들, 랜덤화 (randomization), 등 간의 통계적 상호작용들을 고려함으로써 프로세스 파라미터들의 조합들을 선택한다. 예로서, DOE는 종료된 (finalized) 프로세스의 중심 지점 주변의 제한된 범위의 파라미터들을 커버하는 작은 수의 실험들을 식별할 수도 있다.
통상적으로, 연구자는 모델 최적화 프로세스 초반에 모든 실험들을 수행할 것이고 수렴할 때까지 최적 루틴 반복들의 실험들만을 사용할 것이다. 대안적으로, 실험 설계자는 최적화의 초반 반복들을 위한 일부 실험들 및 최적화가 진행됨에 따른 나중의 부가적인 실험들을 수행할 수도 있다. 최적화 프로세스는 평가될, 따라서 나중의 반복들 동안 실행될 특정한 실험들의 특정한 파라미터들을 실험 설계자에게 통보할 수도 있다.
하나 이상의 인시츄 또는 오프라인 계측 툴들이 실험적 에칭 프로세스 동작들로부터 발생하는 실험적 에칭 프로파일들을 측정하도록 사용될 수도 있다. 측정들은 에칭 프로세스들 동안 에칭 프로세스들의 종료시 또는 에칭 프로세스들 동안 1 회 이상 이루어질 것이다. 측정들이 에칭 프로세스의 종료시 이루어질 때, 측정 방법론은 파괴적일 수도 있고, 에칭 프로세스 동안 인터벌들로 이루어질 때, 측정 방법론은 일반적으로 비-파괴적일 것이다 (따라서 에칭을 방해하지 않을 것이다). 적절한 계측 기법들의 예들은, 이로 제한되지 않지만, 인시츄 (in situ) 및 엑스시츄 (ex situ) OCD (optical critical dimension), 산란계 (scatterometry) 및 단면 SEM을 포함한다. 계측 툴은 예컨대 (실험이 기본적으로 피처의 에칭 프로파일을 이미징하는) SEM의 경우 피처의 프로파일을 직접적으로 측정할 수도 있고, 또는 예컨대 (실제 측정된 데이터로부터 피처의 에칭 프로파일을 철회하도록 일부 포스트-프로세싱이 이루어지는) OCD 측정의 경우에서 피처의 에칭 프로파일을 간접적으로 결정할 수도 있다는 것을 주의한다. 또한, 일부 실시예들에서, EPM 최적화는 스펙트럼 공간에서 이루어질 수도 있고, 따라서 OCD 측정치들로부터 에칭 프로파일을 철회 (back out) 할 필요가 없고, 대신 OCD 산란을 시뮬레이팅하기 위해 EPM을 통해 계산된 에칭 프로파일을 사용할 것이다.
어떠한 경우든, 에칭 실험들 및 계측 절차들의 결과는 각각 피처의 프로파일의 형상을 나타내는 그리드 값들의 세트 또는 일련의 좌표들에 대한 일련의 값들을 포함하는 측정된 에칭 프로파일들의 세트이다. 일 예가 도 2에 도시된다. 이어서 에칭 프로파일들은 이하에 기술된 바와 같은 컴퓨터화된 에칭 프로파일 모델들을 트레이닝, 최적화하고 그리고 개선하기 위한 입력들로서 사용될 수도 있다.
모델 파라미터 튜닝/최적화
측정된 실험적 에칭 프로파일 각각은 컴퓨터화된 에칭 프로파일 모델을 튜닝하기 위한 벤치마크를 제공한다. 따라서, 에칭 프로파일들의 예측의 현실성으로부터 모델이 얼마나 벗어나는지를 보여주도록 실험적 에칭 프로파일들을 적용함으로써 일련의 연산들이 에칭 프로파일 모델을 사용하여 수행된다. 이 정보를 사용하여, 모델이 개선될 수도 있다.
도 3은 상기 기술된 바와 같이, 에칭 프로파일 모델을 튜닝하고 그리고/또는 최적화하기 위한 동작들 (300) 의 세트를 예시하는 플로우차트를 제공한다. 일부 실시예들에서, 이러한 튜닝된 그리고/또는 최적화된 모델은 에칭 실험들을 수행한 결과로서 측정된 에칭 프로파일들과 모델로부터 생성된 대응하는 계산된 에칭 프로파일들 간의 결합된 차들과 관련된 (나타내는, 정량화하는, 등) 메트릭을 감소시킨다 -그리고 일부 경우들에서 실질적으로 최소화한다-. 즉, 개선된 모델은 (-EPM에 대한 독립적인 입력 파라미터들을 계산하도록 사용되는- 선택된 프로세스 파라미터들의 상이한 세트들의 명시된 값들로 지정된) 상이한 실험적 프로세스 조건들에 대해 결합된 에러를 감소시킬 수도 있다.
도 3에 도시된 바와 같이, 최적화 절차 (300) 는 최적화될 모델 파라미터들의 세트의 선택 동작 310으로 시작된다. 다시, 이들 모델 파라미터들은 프로세스 엔지니어가 제어하지 않는 기본적인 화학적 물리적 프로세스들을 특징으로 하는 파라미터들로 선택될 수도 있다. 일부 또는 모든 파라미터들은 모델을 개선하도록 실험적 데이터에 기초하여 조정될 것이다. 일부 실시예들에서, 이들 모델 파라미터들은 반응 파라미터들일 수도 있고, 반응 확률들 그리고/또는 (열적) 레이트 상수들, 반응물질 부착 계수들, 물리적 또는 화학적 스퍼터링을 위한 에칭 문턱값 에너지들, 에너지에 대한 지수 의존도, 에칭 각도 수율 의존도들 및 각도 수율 곡선과 연관된 파라미터들, 등을 포함한다. 일반적으로, (에칭 프로세스가 진행됨에 따라 에칭 챔버의 화학적 조성이 변화될 것이라는 것이 이해되어야 하지만) 에칭 챔버 내로 흐른 화학적 종의 특정한 미리 결정된/명시된 혼합물에 대한 최적화가 이루어진다는 것을 주의한다. 일부 실시예들에서, 반응 파라미터들은 다른 입력 파라미터들 (예컨대 플라즈마 파라미터들) 로부터 분리된 입력 파일의 EPM 내로 피딩된다 (fed).
일부 실시예들에서, 모델 파라미터들은 에칭 프로세스에 의해 모딜링될 특정한 화학적 반응들의 명세를 포함할 수도 있다. 당업자는 미리 결정된 에칭 프로세스에 대해, 언제나 에칭 챔버 내에서 발생하는 많은 반응들이 진행 중일 수도 있다는 것을 이해할 것이다. 이들은 주 에칭 반응 자체를 포함하지만, 주 에칭 프로세스의 부 반응들 및 주 에칭 반응의 부산물들을 수반하는 반응들, 부산물들 간의 반응들, 부산물들의 부산물들을 수반하는 반응들, 등을 또한 포함할 수도 있다. 따라서, 일부 실시예들에서, 모델 파라미터들의 선택은 어느 반응들을 모델 내에 포함할지를 수반한다. 아마도, 포함되는 반응들이 보다 많을수록, 보다 정확한 모델 및 보다 정확한 대응하는 계산된 에칭 프로파일이 포함된다. 그러나, 보다 많은 반응들을 포함함으로써 모델의 복잡도를 증가시키는 것은 시뮬레이션의 계산 비용을 상승시킨다. 이는 또한 보다 많은 반응 파라미터들이 최적화되게 한다. 이는 부가된 특정한 반응이 전체 에칭 운동들에 중요하다면 좋을 수도 있다. 그러나, 부가적인 반응이 중요하지 않다면, 또 다른 세트의 반응 파라미터들의 부가는 최적화 절차를 수렴하기 보다 어렵게 할 수도 있다. 다시, 포함할 반응들 및 이들 반응들과 연관된 반응 상수들 또는 반응 확률들의 선택들은 (예를 들어, 플라즈마 파라미터들과 분리된) 고유의 입력 파일 내 EPM 내로 피딩될 수도 있다. 특정한 실시예들에서, 미리 결정된 반응물질 종의 세트에 대해, 종 각각에 대한 다양한 대안적/경쟁 반응 경로들의 확률들은 하나로 합산되어야 한다. 그리고, 다시, (예를 들어, 입력 파일 내) 반응 확률들, 등 포함할 반응들의 명세들은 에칭 프로세스/반응을 수행하도록 에칭 챔버 내로 흐르는 화학 종의 미리 결정된/명시된 혼합물에 대해 이루어질 것 (그리고 일부 실시예들에서, 일 화학적 혼합물에 대해 학습되는 것으로 알 수 있는 최적화는 유사한/관련된 화학적 혼합물들에 적용될 수도 있지만, 최적화는 일반적으로 미리 결정된 혼합물에 대해 이루어질 것) 이라는 것이 이해되어야 한다.
어떠한 경우든, 도 3의 플로우차트에 도시된 최적화 프로세스를 시작하기 위해, 초기 값들은 일반적으로 최적화될 다양한 모델 파라미터들 (예컨대 반응 확률들, 부착 계수들, 등) 에 대해 선택되어야 한다. 이는 동작 310에서 이루어진다. 초기 값들은 문헌에서 발견될 수도 있거나, 다른 시뮬레이션들에 기초하여 연산될 수도 있거나, 실험으로부터 결정될 수도 있거나, 이전 최적화 절차들로부터 공지되거나 할 수도 있다.
동작 310에서 선택되고 초기화된 모델 파라미터들은 동작 320에서 복수의 값들의 세트들이 주어진 독립적인 입력 파라미터들의 세트에 대해 최적화된다. 이러한 독립적인 입력 파라미터들은 반응 챔버 내에서 플라즈마를 특징화하는 파라미터들을 포함할 수도 있다. 일부 실시예들에서, 이들 플라즈마 파라미터들은 (방금 기술된) 반응 파라미터들에 대해 사용된 입력 파일로부터 분리된 입력 파일을 통해 EPM 내로 피딩된다. 따라서 독립적인 입력 파라미터들 (예를 들어, 플라즈마 파라미터들) 에 대한 복수의 값들의 세트들은 선택된 독립적인 입력 파라미터들의 공간 내의 상이한 지점들을 명시한다. 예를 들어, 최적화되도록 선택된 입력 파라미터들이 온도, 에천트 플럭스, 및 플라즈마 밀도이고, 이들 선택된 입력 파라미터들에 대해 5 세트의 값들이 선택된다면, 그러면 온도, 에천트 플럭스, 및 플라즈마 밀도의 선택된 3차원 입력 파라미터 공간에서 5 개의 고유의 지점들 -이 공간에서 5 개의 지점들 각각은 온도, 에천트 플럭스, 및 플라즈마 밀도의 상이한 조합에 대응- 이 식별된다. 언급된 바와 같이, DOE와 같은 실험적 설계 절차는 입력 파라미터들의 세트들을 선택하도록 채용될 수도 있다.
입력 파라미터들의 조합 각각에 대해, 일단 선택되면, 동작 330에서 에칭 실험이 실험적 에칭 프로파일을 측정하도록 수행된다. (일부 실시예들에서, 예를 들어 복수의 에칭 실험들이 입력 파라미터들에 대한 동일한 값들의 조합에 대해 수행되고 발생되는 에칭 프로파일 측정치들은 함께 (아마도 가외값들을 폐기한 후, 등) 평균된다.) 다음과 같이 모델을 튜닝하고 최적화하기 위해 이 벤치마크들의 세트가 사용된다: 동작 335에서 에칭 프로파일은 입력 파라미터들의 값들의 조합 각각에 대해 계산되고, 그리고 동작 340에서 입력 파라미터들에 대한 값들의 상이한 세트들 모두에 대해 실험적 에칭 프로파일과 계산된 에칭 프로파일 간의 차를 나타내는 (관련된, 정량화화는, 등) 에러 메트릭이 계산된다.
(에러 메트릭이 계산되는) 계산된 에칭 프로파일들의 세트는 동작 310에서 명시된 바와 같이 이전에 선택된 모델 파라미터들의 세트에 대응하는 것을 주의한다. 최적화 절차의 목표는 이들 모델 파라미터들에 대해 보다 효과적인 선택들을 결정하는 것이다. 따라서, 동작 350에서 현재 명시된 모델 파라미터들이 동작 340에서 계산된 에러 메트릭이 (모델 파라미터들의 공간의 관점에서) 국부적으로 최소화되도록 하는지 여부가 결정되고, 그렇지 않다면, 모델 파라미터들의 세트의 하나 이상의 값들이 동작 360에서 수정되고, 이어서 새로운 세트의 에칭 프로파일들을 생성하도록 사용되고 -도 3의 플로우차트에서 개략적으로 나타낸 바와 같이 동작 335를 반복- 그후 동작 340의 반복시 새로운 에러 메트릭이 연산된다. 이어서 프로세스는 모델 파라미터들의 이 새로운 조합이 에러 메트릭에 의해 평가된 모든 입력 파라미터들의 세트들에 대해 국부적 최소값을 나타내는지 여부가 결정되는 동작 350으로 다시 진행한다. 그렇다면, 도면에 나타낸 바와 같이 최적화 절차는 종결된다. 그렇지 않다면, 모델 파라미터들은 동작 360에서 다시 수정되고 사이클은 반복된다.
도 4a는 에칭 프로파일 모델에서 모델 파라미터들을 개량하기 (refining) 위한 방법 (470) 의 플로우차트를 제공한다. 도시된 바와 같이, 방법 (470) 은 제어된 일련의 에칭 챔버 파라미터 세트들에 대해 생성된 실험적 에칭 프로파일들을 수집함으로써 시작한다. 다음 스테이지에서, 방법은 이들 실험적으로 생성된 에칭 프로파일들을 에칭 프로파일 모델을 사용하여 생성된 이론적으로 생성된 에칭 프로파일과 비교한다. 실험적으로 생성된 에칭 프로파일들을 에칭 프로파일과 이론적으로 생성된 에칭 프로파일을 생성함으로써, 에칭 프로파일 모델에 의해 사용된 모델 파라미터들의 세트는 에칭 프로파일들을 예측하는 모델의 능력을 개선하도록 개량될 수 있다.
도시된 방법에서, 프로세스는 프로세스 파라미터들의 세트들이 계산 스테이지와 실험 스테이지 양자에서 사용하도록 선택되는 동작 472에서 시작된다. 이들 프로세스 파라미터들은 비교가 수행되는 조건들의 범위를 규정한다. 프로세스 파라미터들의 세트 각각은 에칭 챔버를 동작시키기 위한 설정들의 컬렉션을 나타낸다. 언급된 바와 같이, 프로세스 파라미터들의 예들은 챔버 압력, 페데스탈 온도, 및 에칭 챔버 내에서 선택되고 그리고/또는 측정될 수 있는 다른 파라미터들을 포함한다. 대안적으로, 또는 이에 더하여, 프로세스 파라미터들의 세트 각각은 에칭될 워크 피스의 조건 (예를 들어, 에칭을 통해 형성된 라인 폭 및 라인 피치) 을 나타낸다.
실험적 실행들을 위한 프로세스 파라미터들의 세트들을 선택한 후 (EPM 최적화를 위한 독립적인 입력 파라미터들의 세트는 프로세스 파라미터들의 세트 각각에 대응할 (그리고/또는 이로부터 계산될) 것이라는 것을 주의한다), 실험들이 시작된다. 이는 복수의 파라미터 세트들에 대해 루프로 도시되고 동작들 474, 476, 478, 및 480을 포함한다. 동작 474은 단순히 새로운 실험을 실행하기 위해 다음 프로세스 파라미터 세트 (Parameter Set(i)) 로 증분을 나타낸다. 일단 파라미터 세트가 업데이트되면, 방법은 현재 파라미터 세트의 파라미터들을 사용하여 새로운 에칭 실험을 실행한다 (블록 476). 다음에, 방법은 현재 파라미터 세트를 사용하여 에칭 실험이 실행된 후 워크 피스 상에서 측정된 실험적 에칭 프로파일을 생성하고 저장한다 (블록 478). "에칭 프로파일 생성 및 저장" 동작은 상기 설명된 바와 같은, 감소된 차원 공간에서의 에칭 프로파일, 예컨대 에칭 프로파일의 기본 컴포넌트들 표면을 제공한다.
실험에서 새로운 프로세스 파라미터 세트가 사용될 때마다, 방법은 판단 블록 480에 예시된 바와 같이 임의의 추가 파라미터 세트들이 있는지 여부를 결정한다. 부가적인 파라미터 세트들이 있다면, 다음 파라미터 세트는 블록 474에 예시된 바와 같이 초기화된다. 궁극적으로, 처음으로 규정된 프로세스 파라미터 세트들 전부가 고려된 후, 판단 블록 480은 고려할 추가 파라미터 세트들이 없다고 결정한다. 이 지점에서, 프로세스는 프로세스 흐름의 모델 최적화 부분으로 핸드오프 (handed off) 된다.
처음에 프로세스 흐름의 모델 최적화 부분에서, 모델 파라미터들의 세트 (Model Parameters(j)) 는 블록 482에 예시된 바와 같이 초기화된다. 설명된 바와 같이, 이들 모델 파라미터들은 모델이 에칭 프로파일들을 예측하도록 사용되는 파라미터들이다. 이 프로세스 흐름의 맥락에서, 이들 모델 파라미터들은 EPM의 예측 능력을 개선하도록 수정된다. 일부 실시예들에서, 모델 파라미터들은 에칭 챔버 내에서 발생할 하나 이상의 반응들을 나타내는 반응 파라미터들이다. 일 예에서, 모델 파라미터들은 특정한 반응들이 일어날 확률들 또는 반응 레이트 상수들이다. 또한, 본 명세서의 다른 곳에 설명된 바와 같이, 에칭 프로파일 모델은 최적화 루틴 동안 고정된 채로 남는 다른 파라미터들을 채용할 수도 있다. 이러한 파라미터들의 예등른 물리적 파라미터들, 예컨대 플라즈마 조건들을 포함한다.
동작 482에서 모델 파라미터들이 초기화된 후, 방법은 실험적 루프에서 실험적 에칭 프로파일들을 생성하도록 사용된 프로세스 파라미터 세트들 각각에 대응하는 이론적 에칭 프로파일들을 생성하는 최적화 루프로 들어간다. 즉, 방법은 (즉, 모든 상이한 Parameter Set(i)에 대한) 프로세스 파라미터 세트들 각각에 대응하는 에칭 프로파일들을 예측하기 위해 EPM을 사용한다. 그러나, (EPM을 실행하기 위해) EPM 내로 실제로 입력되는, 이들 프로세스 파라미터 세트들 각각에 대해, 미리 결정된 프로세스 파라미터들에 대응하는 독립적인 입력 파라미터들의 세트라는 것을 주의한다. 일부 파라미터들에 대해, 독립적인 입력 파라미터는 프로세스 파라미터와 동일할 수도 있지만, 일부 파라미터들에 대해, (EPM 내로 실제로 피딩된 (fed)) 독립적인 입력 파라미터는 물리적 프로세스 파라미터로부터 도출/연산될 수도 있고, 따라서 파라미터들은 서로 대응하지만 동일하지 않을 수도 있다. 따라서 도 4a의 이 최적화 루프의 맥락에서 (동작들 482 내지 496), EPM이 "Parameter Set(i)"에 대응하는 독립적인 입력 파라미터들의 세트에 대해 ―EPM에 대해 매우 정밀하게― 실행되고, 실험적 루프에서 (동작들 472 내지 480), 실험들은 "Parameter Set(i)"에 대응하는 프로세스 파라미터들을 사용하여 실행된다는 것을 주의해야 한다.
어떠한 경우든, 처음에 이 루프에서, 방법은 동작 472에서 설정된 파라미터 세트들 중 다음 파라미터 세트로 증분된다. 블록 484를 참조하라. 이 선택된 파라미터 세트를 사용하여, 방법은 현재 모델 파라미터들의 세트를 사용하여 에칭 프로파일 모델을 실행한다. 블록 486을 참조하라. 그 후, 방법은 현재 파라미터 세트 및 모델 파라미터들 (Parameter Set(i) 및 Model Parameter(j)) 의 조합에 대한 이론적 에칭 프로파일을 생성하고 저장한다. 블록 488을 참조하라. "에칭 프로파일 생성 및 저장" 동작은 에칭 프로파일의 기본 컴포넌트들 표현과 같은 감소된 치수의 공간에 에칭 프로파일을 제공한다.
궁극적으로 모든 파라미터 세트들은 이 루프에서 고려된다. 이 지점 전에, 판단 블록 490은 남아 있는 부가적인 파라미터 세트들을 결정하고 제어는 파라미터 세트가 다음 파라미터 세트로 증분되는 블록 484로 돌아간다. 모델을 실행하는 프로세스 및 이론적 에칭 프로파일들을 생성하고 저장하는 프로세스가 파라미터 세트들 (Parameter Set(i)) 각각에 대해 반복된다.
현재 고려중인 모델 파라미터들 (Model Parameters(j)) 에 대해 고려할 남아 있는 파라미터 세트들이 없다면, 프로세스는 이 루프를 나가고 이론적 에칭 프로파일과 실험적 에칭 프로파일 간 에러를 계산한다. 블록 492를 참조하라. 특정한 실시예들에서, 에러는, 프로세스 파라미터들 중 하나만이 아니라, 프로세스 파라미터들에 대한 모든 Parameter Sets(i)에 대해 결정된다.
방법은 모델 파라미터들에 대한 최적화 루틴이 수렴되는지 여부를 결정하기 위해 블록 492에서 결정된 에러를 사용한다. 블록 494를 참조하라. 이하에 기술된 바와 같이, 다양한 수렴 표준이 사용될 수 있다. 최적화 루틴이 수렴되지 않았다고 가정하면, 프로세스 제어는 방법이 모델의 예측 능력을 개선할 수 있는 새로운 모델 파라미터들의 세트 (Model Paramete(j)) 를 생성하는 블록 496으로 지향된다. 새로운 모델 파라미터들의 세트를 사용하여, 프로세스 제어는 블록들 484, 486, 488, 및 490으로 규정된 루프로 돌아간다. 이 루프에서, Parameter Sets(i)가 반복적으로 증분되고 매번 새로운 이론적 에칭 프로파일을 생성하기 위한 모델이 실행된다. 모든 파라미터 세트들이 고려된 후, 이론적 에칭 프로파일과 실험적 에칭 프로파일 간 에러가 블록 492에서 다시 결정되고 수렴 표준이 다시 블록 494에서 적용된다. 수렴 표준이 아직 만족되지 않는다고 가정하면, 방법은 방금 기술된 방식으로 테스트하기 위해 또 다른 모델 파라미터들의 세트를 생성한다. 궁극적으로, 수렴 표준이 만족되는 모델 파라미터들의 세트가 선택된다. 그러면 프로세스가 완료된다. 즉, 도 4에 도시된 방법은 에칭 프로파일 모델의 예측 능력을 개선하는 모델 파라미터들의 세트를 생성한다.
관련된 절차는 도 4b에 도시된다. 도 4b에 도시된 바와 같이, 이론적 에칭 프로파일 및 실험적 에칭 프로파일은 상이한 프로세스 조건들 대신 상이한 기판 피처 구조체들에 대해 생성된다. 그 외 기본 프로세스 흐름은 동일하다. 일부 구현예들에서, 피처 구조체들 및 프로세스 조건들 양자는 실험적 동작 및 이론적 동작에 대해 가변한다.
상이한 피처들은 상이한 "라인" 및 "피치" 기하구조를 포함할 수도 있다. 도 4ba를 참조하라. 피치는 수 회 반복될 에칭될 피처를 커버하는 가장 작은 단위 셀 폭을 지칭한다. 라인은 대칭을 가정하여, 2 개의 인접한 측벽들 간의 총 두께를 지칭한다. 예로서, 방법은 L50P100, L100P200, L100P300, L75P150 등의 반복하는 기하구조들을 실행할 수도 있고, 숫자들은 라인 폭 및 피치를 나노미터 단위로 나타낸다.
도시된 실시예에서, 프로세스 471는 에칭 프로파일 모델의 고정된 파라미터들을 선택하고 파라미터들 (모델 파라미터들) 가변시킴으로써 시작한다. 이들은 일부 실시예들에서 물리적 및 화학적 반응 파라미터들일 수도 있다. 부가적으로, 기판 피처들이 선택된다. 동작 473을 참조하라.
피처 기하구조 각각 (동작들 475 및 481에 예시된 바와 같은 증분된 Feature Set(k)) 에 대해, 방법은 현재 피처 기하구조를 사용하기 위한 에칭 프로세스를 실행하고, 실험적 에칭 프로파일 (Experimental Etch Profile(k)) 을 생성하고, 에칭 프로파일을 저장한다. 동작들 477 및 479를 참조하라. 이전과 같이, 실험적 에칭 프로파일 각각은 감소된 치수의 표면으로 저장된다.
그 후, 방법은 튜닝을 위해 모델 파라미터들 (Model Parameters(j)) 을 초기화한다. 동작 483을 참조하라. 피처 기하구조 각각 (동작들 485 및 491에서 증분된 Feature Set(k)) 에 대해, 방법은 에칭 프로파일 모델을 실행하고, 이론적 에칭 프로파일 (Theoretical Etch Profile(k)) 을 생성하고, 에칭 프로파일을 저장한다. 동작들 487 및 489를 참조하라. 이전과 같이, 이론적 에칭 프로파일 각각은 감소된 차원 표면으로 저장된다.
동작들 487 및 489를 포함하는 루프에서 고려된 Model Parameters(j)의 세트 각각에 대해, 방법은 모든 기판 피처들 세트들에 대한 에칭 프로파일들 간 에러를 결정하도록 이론적 에칭 프로파일과 실험적 에칭 프로파일을 비교한다. 동작 493을 참조하라. 동작 495에서 결정된 바와 같이 프로세스가 수렴하면, 프로세스는 완료되고 현재 모델 파라미터들이 선택된다. 프로세스가 수렴되지 않으면, 방법은 새로운 Model Parameters(j) 의 세트를 생성하고 다시 485, 487, 489, 및 491로 규정된 루프로 돌아간다.
일부 실시예들에서, 피처 세트 각각에 대해 분리된 모델 파라미터 세트가 선택된다. 이러한 경우들에서, 방법은 라인/피치 비간의 관계 (또는 피처들의 또 다른 특성들) 및 최종 수렴된 모델 파라미터들을 플롯팅하거나 그렇지 않으면 결정할 수도 있다. 수렴된 모델 파라미터 값들이, 아마도 일부 잡음과 함께 상당히 일정하면, 방법은 개선된 에지 프로파일 모델에 대해 평균 모델 파라미터 값들을 사용한다. 수렴된 모델 파라미터 값들이 경향을 나타내면, 방법은 피처 세트 각각 (예를 들어, 라인 및 피치 기하구조) 에 대해 모델 파라미터 값들을 선택하도록 사용될 수도 있는 함수를 전개하는 다항식 피팅 (fit) 을 사용할 수도 있다.
자명한 바와 같이, 피처 세트들, 프로세스 파라미터 세트들, 또는 다른 변수들이 복수의 실험들을 수행하도록 사용되고 따라서 복수의 실험적으로 결정된 에칭 프로파일들을 생성한다. 일부 구현예들에서, 이들 에칭 프로파일들 (및 연관된 파라미터 세트들) 의 1/2 또는 일부 다른 분율 (fraction) 이 상기 플로우차트들에 예시된 바와 같이 트레이닝을 위해 사용되고, 남아 있는 에칭 프로파일들은 검증 (validation) 을 위해 사용된다. 트레이닝 에칭 프로파일들은 에칭 프로파일 모델에서 사용되고 검증 세트들에 대한 에칭 프로파일들을 예측하기 위해 튜닝된 모델을 적용함으로써 검증된 튜닝된 모델 파라미터들을 생성한다. 검증 세트에 대한 이론적 에칭 프로파일과 실험적 에칭 프로파일 간의 에러가 트레이닝 세트를 사용하여 수렴시 발견된 에러보다 통계적으로 보다 높다면, 이전과 같이 상이한 트레이닝 세트가 모델을 튜닝하도록 사용된다.
반복적 비-선형 최적화 절차에 관한 상세들
도 3의 맥락에서 방금 기술된 모델 파라미터 최적화 절차는 일반적으로 반복적 비-선형 최적화 절차 -예를 들어, 이는 일반적으로 입력 파라미터들의 비-선형 함수인 에러 메트릭을 최적화한다- 이고, 이와 같이, 비-선형 최적화를 위해 당업계에 공지된 다양한 기법들이 채용될 수도 있다. 예를 들어: Biggs, M.C., "Constrained Minimization Using Recursive Quadratic Programming", Towards Global Optimization (L.C.W. Dixon and G.P. Szergo, eds.), North-Holland, pp 341-349, (1975); Conn, N.R., N.I.M. Gould, and Ph.L. Toint, "Trust-Region Methods", MPS/SIAM Series on Optimization, SIAM and MPS (2000); Mor
Figure 112017079128133-pat00001
, J.J. and D.C. Sorensen, "Computing Trust Region Step", SIAM Journal on Scientific and Statistical Computing, Vol. 3, pp 553-572, (1983); Byrd, R.H., R.B. Schnabel, and G.A. Shultz, "Approximate Solution of Trust Region Problem by Minimization over Two-Dimensional Subspaces", Mathematical Programming, Vol. 40, pp 247-263 (1988); Dennis, J.E., Jr., "Nonlinear least-squares", State of Art in Numerical Analysis ed. D. Jacobs, Academic Press, pp 269-312 (1977); Mor
Figure 112017079128133-pat00002
, J.J., "The Levenberg-Marquardt Algorithm: Implementation and Theory", Numerical Analysis, ed. G. A. Watson, Lecture Notes in Mathematics 630, Springer Verlag, pp 105-116 (1977); Powell, M.J.D., "A Fast Algorithm for Nonlinearly Constrained Optimization Calculations", Numerical Analysis, G.A.Watson ed., Lecture Notes in Mathematics, Springer Verlag, Vol. 630 (1978) 을 참조하라; 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되었다. 일부 실시예들에서, 이들 기법들은 입력 파라미터들 및/또는 에러 메트릭에 주어질 수도 있는 특정한 제약들을 겪은 객체 함수 (본 명세서에서 에러 함수/메트릭) 를 최적화한다. 특정한 이러한 실시예들에서, 제약 함수들 자체가 비-선형일 수도 있다. 예를 들어, 계산된 에칭 프로파일을 EPM에 의해 출력된 스택된 사다리꼴들의 세트로 나타내는 실시예들에서, 에러 메트릭은 이들 스택된 사다리꼴들의 경계들로 나타낸 면적과 측정된 실험적 에칭 프로파일의 면적 간의 차로 규정될 수도 있다. 이 경우, 에러 메트릭은 PM에 의해 출력된 응답 변수들의 비-선형 함수이고, 따라서 제약된 최적화 기법은 비-선형 제약들을 허용하는 방금 기술된 기법들로부터 (그리고/또는 인용된 참조들로부터) 선택된다. 도 3에 제공된 플로우차트의 맥락에서, 이들 다양한 절차들은 하나 이상의 모델 파라미터들이 동작 360에서 수정되는 방법에 대응하고, 또한 동작 350에서 하나 이상의 잠재적 국부적인 최소 에러가 검출되고 처리되는 방법에 대응한다는 것을 주의한다.
도 3에 도시된 바와 같이 개선된/튜닝된 모델 파라미터들을 결정하기 위해 사용되는 반복적인 비-선형 최적화 절차는 복수의 페이즈들로 분할될 수도 있고, 이러한 특정한 실시예들에서, 상이한 최적화 페이즈들이 에칭될 반도체 기판의 표면 상의 상이한 재료 층들에 대응할 수도 있다. 이러한 접근 방식은 또한 가변될 입력 파라미터들의 수를 감소시킴으로써 계산적 부담을 감소시킬 수도 있고 연산될 에러 메트릭을 단순화한다. 예를 들어, 에칭될 기판이 상이한 순차적으로 증착된 재료들의 멀티-층 스택을 포함한다면, 상이한 층들은 일반적으로 상이한 재료 조성들을 갖기 때문에, 일반적으로 상이한 화학물질들이 층 각각에서 발생하는 국부적인 에칭 프로세스를 특징으로 한다 -예를 들어, 상이한 에칭 반응 (또는 반응들), 상이한 부 반응들, 부산물들 간의 상이한 반응들, 또는 동일한 (또는 유사한) 화학적 반응들이 발생하더라도, 반응들은 상이한 레이트들, 상이한 화학량론 비들, 등으로 발생할 수도 있다-. 따라서, 전체 멀티-층 스택에 대응하는 에칭 프로파일 모델 (EPM) 을 셋업하기 위해, 모델 내로 피딩된 입력 파라미터들은 일반적으로 상이한 스택된 층들에 대응하는 상이한 파라미터들의 세트들을 포함한다. 상기 기술된 바와 같이, 이들 세트들은 어떤 화학적 반응들이 에칭 프로세스들의 모델링에 포함되는지를 나타내는 파라미터들, 뿐만 아니라 반응 자체들을 특징으로 하는 파라미터들 -반응 확률들, 부착 계수들, 등- 을 포함할 수도 있다.
그러나, 최적화 프로토콜은 모든 파라미터를 동시에 최적화할 필요는 없고, 예를 들어 일부 파라미터는 도 3의 동작 360에서 고정된 채로 남는 한편, 다른 파라미터들은 "플로팅 (float)"하게 되고 도면에 개략적으로 예시된 바와 같이 1 회 이상의 특정한 최적화 사이클들/라운드들에서 수정될 수도 있다는 것이 인식된다. 따라서, 층 각각에서 발생하는 화학적 프로세스들이 이 층에 대해 국부적인 특정한 정도라는 관찰에 기초하여, 일부 실시예들에서, 최적화는 일 층과 연관된 모델 파라미터들을 개별적으로 튜닝함으로써 가속화될 수도 있는 한편, 고정된 다른 층들과 연관된 파라미터들을 홀딩하고, 그 후 또 다른 층을 선택하고, 다른 층들에 대해 고정되게 홀딩하는 동안 층의 파라미터들을 "플로팅"하게 하고, 모든 층들의 파라미터들이 개별적으로 튜닝될 때까지 계속된다. 그러면 층별 (layer-by-layer) 튜닝 프로세스가 복수 회 반복될 수도 있고, 특정한 정도의 최적화가 달성되고, 이 지점에서, 개별적으로 최적화된 층 각각과 연관된 파라미터들을 사용하여 보다 효과적으로 (그리고 아마도 에러 메트릭에서 보다 우수한 국부적인 최소값으로) 수렴될 것이라는 인식에 기초하여 모든 층들에 대한 완전한 최적화 -즉, 모든 층들에 대한 모델 파라미터들이 가변되고/"플로팅"되도록- 가 수행될 수도 있을 때까지 매번 모든 층들을 통해 순환된다. 한 단계 더 나아가, 전체 층별 절차는 결과들을 더 개선하도록 반복될 수도 있다 -즉, 층들을 통해 순환함으로써 층-특정 최적화를 1 회 이상 수행하고, 이어서 모든 층들의 모델 파라미터들로 하여금 플로팅하게 하는 전역 최적화를 수행-. 도 3의 맥락에서, 특정한 모델 파라미터들의 선택 및 이들로 하여금 "플로팅"하게 하는 것 (따라서 특정한 층에 대해 개별적으로 최적화되게 함) 은 도 3의 파라미터 수정 동작 360 (이들 및 유사한 부류들의 실시예들에서) 의 일부로서 수행될 것이라는 것을 주의한다.
전술한 개별 층별 최적화 절차를 예시하는 구체적인 예로서, 에칭 마스크 밑의 층의 에칭을 모델링하는 경우를 고려하면, 에칭 마스크 층 및 마스크 밑 층 양자가 어느 정도 에칭된다. 따라서, 이는 2-층 에칭 모델을 구성하고, 이는 2 층들 각각에 대한 파라미터들은 양 층들에 대응하는 모델 파라미터들의 완전히 동시적인 최적화 전에 개별적으로 최적화될 수도 있다.
따라서, 모든 모델 파라미터들에 대한 값들을 명시하고, -상이한 실험적 에칭 조건들을 나타내는- 입력 파라미터들의 값들의 세트들 모두에 대해 계산된 에칭 프로파일들을 생성하도록 모델을 실행하고, 그리고 독립적인 입력 파라미터들에 대한 복수의 값들의 세트들에 대응하는 모든 프로파일들에 대해 실험적 에칭 프로파일과 계산된 에칭 프로파일 간의 차를 나타내는 에러 메트릭을 계산함으로써 시작된다. 이어서 개별 층-특정 최적화를 위한 에칭 마스크 밑 층 -소위 유전체 층- 을 선택하고, 이 최적화를 위한 (유전체) 층과 연관된 하나 이상의 모델 파라미터들을 수정하고, 독립적인 입력 파라미터들의 모든 값들의 세트들에 대해 모델을 재실행하고, 새로운 에러 메트릭을 연산하고, 유전체 층과 연관된 하나 이상의 모델 파라미터들을 다시 수정하고, 모델을 재실행하고, 에러를 재연산하고, 그리고 유전체 층에 대해 에러의 국부적 최소값이 획득될 때까지 계속함으로써 진행될 수도 있다.
이어서 유전체 층에 대한 모델 파라미터들은 이들 값들로 고정된 채로 홀딩되고, 에칭 마스크 층의 모델 파라미터들은 개별 최적화를 위해 선택되고, (에칭 마스크 층의 모델 파라미터들의) 이들 값들 중 하나 이상이 수정되고, 모델이 재실행되고, 에러가 재연산되고, 에칭 마스크 층에 대해 에러 내 국부적 최소값이 달성될 때까지 계속된다. 이 지점에서, 양 층들의 모델 파라미터들의 완전한 최적화가 수행될 수도 있고, 또는 일부 실시예들에서, 이렇게 하기 전에, 개별 유전체 층 및 마스크 층 최적화의 하나 이상의 부가적인 사이클들은 완전한 최적화가 보다 효과적이도록 (예를 들어, 보다 고속으로 수렴하거나 총 에러 메트릭에 국부적 최소값을 보다 잘 발생시키도록 수렴) 수행될 수도 있다.
일부 경우들에서, 전술한 층별 최적화 절차는 한번에 단일 개별 층만의 튜닝으로 제한될 필요는 없다는 것이 또한 이해되어야 한다. 예를 들어, 6-층 스택의 에칭을 모델링한다면, 전술한 층별 최적화 절차의 변동은 동시 튜닝 -즉, 인접한 층들의 쌍들에 대응하는 모델 파라미터들이 동시에 플로팅하는- 을 위한 층들의 쌍들을 선택할 것이고, 이어서 모든 층들에 대한 모델 파라미터들에 대한 완전한 동시 최적화를 수행하기 전에 3 쌍에 대해 순차적으로, 아마도 3-단계 사이클을 복수 회 반복하고; 이전과 같이, 선택가능하게, 전체 스택에 대해 에러의 국부적 최소값이 식별될 때까지 전체 층별 절차 (또는 이 경우, 쌍 단위 (pairwise) 층별 절차) 를 반복한다.
수적 최적화 절차 (완전한 최적화 전에 층별 기준으로 수행되든 또는 모든 층들에 대한 완전한 최적화와 같이 직접적으로 수행되든) 는 최적화의 시작 지점, 뿐만 아니라 다른 인자들에 따라 (즉, 모델 파라미터들에 대해 선택된 초기 값들에 따라) 에칭 프로파일 메트릭의 복수의 국부적 최소값들을 발생시킬 수도 있고, 따라서 최적화 절차가 개선된 것 (그리고/또는 최적 모델) 을 나타내는 것으로 잠재적으로 식별할 수 있는 많은 국부적 최소값이 있을 수도 있다는 것이 또한 가능하다. 에러의 많은 국부적 최소값들의 경우, 많은 잠재적인 모델 파라미터들의 세트들이 이들 모델 파라미터들에 대한 물리적으로 실현가능한 하부 경계 및 상부 경계를 규정함으로써 고려사항으로부터 삭제될 수도 있다. 일부 실시예들에서, 전술한 수적 최적화들은, 복수의 국부적인 최소값들 및 따라서 가장 바람직한 (아마도, 일부 실시예들에서, 전술한 언급된 물리적으로 실현가능한 상부 경계와 하부 경계를 만족하는 모든 후보들 중 가장 낮은 계산된 에러 메트릭을 갖기 때문에) 모델 파라미터가 선택될 수도 있는, 모델 파라미터들의 복수의 후보 세트들을 잠재적으로 식별하기 위해 시작 지점들 (모델 파라미터들에 대한 초기 값들) 에 대한 복수의 선택들에 대해 수행될 수도 있다.
치수 감소 및 기본 컴포넌트 분석
일부 실시예들에서, 에칭 프로파일 모델은 연산된 에칭 프로파일 진화 동안 시간 단계 각각에서 큰 수의 그리드/메쉬 지점들 (셀들) 의 값들을 출력한다. 셀 또는 그리드 지점 각각에 대응하는 이들 값들은 연산된 에칭 프로파일과 맵핑한다. 이러한 계산된 에칭 프로파일을 나타내는 그리드/메쉬의 지점들의 예가 도 1에 예시되고, 여기서 그리드/메쉬 지점 각각은 공간의 영역이 에칭 프로세스 동안 이 시점에 피처에 의해 점유되는지 여부를 나타내는 값을 갖는다. 일부 실시예들에서, 에칭 프로파일을 나타내는 메쉬의 수직 치수는 적어도 약 5, 또는 적어도 약 10, 또는 적어도 약 20이다. 실시예에 따라, 수직으로 인접한 메쉬 지점들 간 수직 거리에 대한 최소 값은 1 Å으로 선택될 수도 있고, 수 Å, 예컨대 5 Å, 또는 10 Å, 또는 심지어 20 Å만큼 클 수 있다.
실제로, 피처의 형상의 상당히 정확한 표현이 (프로파일의 복잡도에 따를 것 같이) 시간에 따라 진화하기 때문에 이를 제공하기에 충분히 작도록, 그러나 (보다 맣은 그리드 지점들은 보다 많은 계산 시간을 수반하기 때문에) 이 합리적인 표현을 달성하는데 필요한 것보다 훨씬 (또는 전혀) 보다 작지 않게 인접한 메쉬/그리드 지점들 간의 거리를 선택할 것이다. (웨이퍼의 평면에서) 인접한 메쉬/그리드 지점들 간 수평적 분리는 동일한 고려사항들에 기초하여 선택될 것이지만, 일반적으로 수평적 및 수직적 분리는 동일하게 (즉, 균일한 그리드) 또는 거의 필적하게 선택될 것이다. 이는 수직 및 수평 그리드 치수들이 반드시 동일해야 한다는 것을 의미하지 않지만, 모델링되는 피처의 폭은 모델링되는 피처의 높이와 같을 필요는 없다. 따라서, 수평 치수 (미리 결정된 방향에 걸치는 (span) 수평 지점들의 수, 2D에서 x-치수 및 3D에서 x-치수 및 y-치수) 는 단지 피처의 측벽이 모델링되는지 여부, 전체 피처 (일 프로파일 에지로부터 또 다른 프로파일 에지에 걸치는) 가 모델링되는지 여부, 복수의 인접한 피처들이 모델링되는지 여부, 등에 따를 수도 있다.
언급된 바와 같이, 에칭 프로파일 모델에 의해 출력된 값들의 메쉬는, 물리적 공간에서, 피처 프로파일의 에지가 상이한 수직 상승부들에 위치된다는 추정을 제공한다. 이 정보로부터 (메쉬 지점들에서 이들 지점들로부터) 상이한 상승부들에서 피처 폭, 또는 또 다른 관점에서, 상승부 각각에 대한 (일부 기준에 대한) 에치의 수평 좌표를 계산할 수 있다. 이는 도 2에 예시된다. 그 후 이 좌표들의 세트는 특정한 피처 프로파일을 나타내는 다차원 공간의 지점으로 보여질 수도 있다. 이 벡터 공간은 직교 공간일 수도 있고, 또는 비-직교 공간일 수도 있지만, 선형 변환이 직교 공간에 대한 이 표현으로 이루어질 수도 있다. 그렇다면, 변환된 지점의 좌표들은 이 공간에서 직교 축들의 세트와 관련된 거리들이다. 어떤 경우든, "프로파일 좌표들"이 이 문서에서 참조될 때, 이는 일반적으로 프로파일 형상의 임의의 적절한 (근사적인) 수학적 표현을 지칭한다.
어떠한 경우든, 에칭 프로파일 모델은 많은 수의 "프로파일 좌표들" (이하 방금 기술된 그리드/메쉬의 지점들을 포함) 을 출력할 수도 있고 목적이 측정된 실험적 에칭 프로파일들을 정확하게 매칭하는 것이기 때문에, 에칭 프로파일 모델의 에러를 감소시키는 -상기 도 3에 대해 기술된 바와 같이 상이한 실험적 프로세스 조건들에 대해 결합된 에러를 반복적으로 감소시키는- 것이 계산적으로 요구되는 태스크일 수도 있다. 예를 들어, m 개의 측정된 실험적 에칭 프로파일들의 세트가 n 개의 프로파일 좌표들로 구성된 연산된 에칭 프로파일들에 지점별로 (point-by-point) 매칭되면, 이는 데이터 세트를 m x n 데이터 지점들에 피팅하도록 모델을 최적화하는 것에 이른다.
그러나, (측정되거나 연산된) 에칭 프로파일들에 잠재적인 통계적 상관관계들이 있고, 훨씬 보다 수적으로 다루기 쉬운 (tractable) 형태의 최적화 문제를 재구성하도록 (recast) 이들 상관관계들을 이용할 수도 있다는 것이 드러났다. 예를 들어, 프로파일 좌표들의 정밀한 그리드가 통계적 관점으로부터 많은 데이터 지점들로 구성될 수도 있지만, 이들 좌표들의 특정한 조합들의 값들은 서로 상관된다. 사소하지만 예시적인 예를 제공하기 위해, 수직으로 인접한 좌표들은 서로 상관되는 경향이 있다 -단순히, 그리드 지점이 프로파일 위 아래로 이동함에 따라, 에칭된 피처의 폭이 인접한 그리드 지점들과 연관된 짧은 길이 스케일에 대해 매우 급격하게 변화하지 않기 때문에-. 프로파일 좌표들 간의 상관관계들의 보다 복잡한 예들은 일반적으로 프로세스 좌표들의 특정한 조합들을 가변함으로써 달성될 수도 있는 타입들의 프로파일 형상들과 관련된다. 몇몇 예들이 도 5에 도시된다. 예를 들어, 특정한 프로세스 파라미터들은, 단독으로 또는 서로 조합하여, 에칭된 프로파일로 하여금 도 5에 도시된 바와 같이 내측으로 또는 외측으로 보우 (bow) 하게 하도록 조정될 수도 있고, 따라서 프로파일의 이 보잉 (bowing) 과 맵핑하는 프로파일 좌표들 (또는 그리드 지점들) 은 서로 통계적으로 상관된다. 유사하게, 또한 도 5에 도시된 바와 같이, 다양한 프로세스 파라미터들의 조정을 통해 획득된 에칭 프로파일들은, 개별적으로 또는 조합하여, 하측 테이퍼 또는 상측 테이퍼를 나타낼 수도 있고, 따라서 프로파일 좌표들은 하나 이상의 프로세스 파라미터들을 가변하는 것이 이 테이퍼링 효과를 유발하는 정도와 상관될 수도 있다. 기본적인 프로파일 상관 구조들의 2 개의 다른 예들은 또한 도 5에 예시된 바와 같이 상단 테이퍼 및 하단 테이퍼이다. 다시, 이들 기본적인 프로파일 구조는 프로세스 파라미터들의 변동들이 다른 스팟들에는 영향을 주지 않고 프로파일 상의 특정한 스팟들에서 국부적인 영향을 갖기 보다는 프로파일의 전체 형상에서 변화들을 유발하는 경향이 있다는 사실의 징후들이다. 이는 물론, 에칭 프로세스와 연관된 기본적인 물리 및 화학의 결과이다.
언급된 바와 같이, 이들 기본적인 통계적 상관관계들 때문에, (도 3의 플로우차트에 대해 기술된) 상기 제시된 최적화 문제는 반복적인 최적화 기법들을 보다 잘 받아들이는 (amenable) 형태로 재구성될 수 있다. 이렇게 하는 일 방식은 몇몇 타입들의 기준 프로파일 형상들을 식별하고, 이들 기준 형상들의 면에서 측정된 그리고/또는 계산된 에칭 프로파일을 표현하는 -예컨대 (프로파일 좌표 각각에서) 기준 프로파일 형상들의 세트의 가중된 평균으로서 (프로파일 좌표 각각에서) 총 프로파일을 작성함으로써- 것이다. 즉, 벡터들의 세트는 기준 프로파일 형상들을 나타내고, 총 프로파일은 이들 벡터들의 선형 조합으로 근사적으로 표현될 수도 있다. 이 방식으로, 기본적인 통계적 상관관계들 및 모든 개별 프로파일 좌표들의 모델 변화들 대신, 프로파일을 나타내는 선형 조합의 계수들/가중치들의 모델 변화들을 이용할 수 있다. 예를 들어, 기준 (canonical) 형상들로서 보우 및 테이퍼 (도 5 참조) 를 선택하면, 그러면 모델링의 문제는 m = 100 프로파일 좌표들이 선형 조합의 보우 및 테이퍼에 대한 2 개의 계수들의 변화들을 모델링하는 -즉, 100으로부터 2로 치수 감소를 구성하는- 것으로 감소된다고 한다. 어떤 기준 형상들이 유용한지는 프로세스/층 타입에 따를 수도 있다. 도시된 방법들은 실험적 데이터로부터 또는 EPM들을 사용하여 시뮬레이션들을 수행하는 것으로부터 이들 형상들을 추출하는 수치적인 방식을 제공한다.
이 전략이 유효화되도록, 기준 형상들은, 분석에 수반된 상이한 프로파일 형상들의 정확하지 않지만, 우수한 표현을 제공해야 한다. 보다 독립적인 기준 형상들이 이 표현에 포함되고, (기준 형상들의 벡터 공간에서) 보다 정확한 표현일 것이다. 따라서, 어떤 기준 형상들이 사용되는지, 그리고 얼마나 많이 포함할지의 문제는, 보다 많은 기준 형상들을 포함하는 것은 분석이 보다 정확하게 하지만 또한 보다 계산적으로 비용이 많이 들게 한다는 것을 인식하고, 반복적인 최적화의 맥락에서, 수렴하거나 바람직하게 국부적인 최소값으로 수렴하는 최적화 능력에 영향을 줄 수도 있다.
이렇게 하는 일 방식은 프로세스 엔지니어들로 하여금 이들의 과거 경험에 기초하여, 관찰한 몇몇 타입들의 기준 프로파일 형상들을 이들의 에칭 실험들에서 빈번하게 발생하는 것으로 식별하게 하는 것이다. 이 방식의 장점은 단순하다는 것이다. 잠재적인 단점은 이 방식이 (단순히 프로세스 엔지니어의 경험 및 직관에 기초하는) 임시 방편적이고, 충분한 수의 프로파일 형상들이 분석에 포함된 때를 결정하는 어떠한 방식도 제공하지 않는다는 것이다. 실제로, 프로세스 엔지니어가 식별한 모든 기준 프로파일 형상이 포함될 것이지만, 이는 물론 정확한 표현을 제공하기에 불충분할 수도 있다. 보다 중요한 것은, 이 타입의 방법론은, 이전의 작업에서 형상이 공표 (pronounce) 되지 않기 때문에 또는 발생한 기본적인 물리적 및 화학적 프로세스들과 상이한 새로운 에칭 프로세스의 결과이기 때문에, 이전에 식별되지 않은 프로파일 데이터의 새로운 상관관계들을 식별하지 않을 것이다.
또 다른 접근 방식은 중요한 기준 프로파일 형상들을 자동으로 식별할 수 있는 통계적 방법론에 대한 치수 감소 절차에 기초할 뿐만 아니라 충분히 정확한 표면을 제공하기 위해 얼마나 많은 형상들이 포함되어야 하는지의 추정을 제공하는 것이다. 이를 달성하기 위한 일 데이터 분석 기법은 기본 컴포넌트 분석 (PCA) 이고, 이는 SVD (singular value decomposition), 수적 선형 대수로부터 매트릭스 분해 기법을 사용한다. PCA 기법 및 다양한 적용예들의 기술은 (예를 들어) : Jackson, J. E., "A User's Guide to Principal Components", John Wiley and Sons, p. 592. [2] (1991); Jolliffe, I. T., "Principal Component Analysis", 2nd edition, Springer (2002); Krzanowski, W. J., "Principles of Multivariate Analysis: A User's Perspective", New York: Oxford University Press (1988) 에서 발견될 수도 있고; 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
전술한 참조문헌들에 기술된 바와 같이, PCA는 입력으로서 벡터들의 세트 -이 경우 벡터 각각은 단일 프로파일을 나타내는 일련의 n 에칭 프로파일 좌표들이 되는- 를 취하고, 기본 컴포넌트들 (PC) 1-i (여기서 in) 가 입력 프로파일 벡터들을 나타내기 위한 "최상의" i차원 부분공간을 구성하도록 소팅 (sort) 될 수도 있는 PC들로 공지된 새로운 n개의 직교 벡터들의 세트를 반환 (return) 하고; "최상의"는 최소 제곱의 관점에서 통계적으로 최적을 의미한다 -즉, PCA로부터 결정된 PC들의 i차원 부분공간은 입력 벡터 각각과 선택된 PC들의 부분공간 내 선형 표현 간의 결합된 RMS 에러를 최소화한다-. 물론, 보다 많은 PC들이 포함되면, 부분공간은 보다 큰 차원이고 입력 프로파일 데이터의 표현이 보다 우수하지만, PCA를 통해 구성된 서브 공간이 최적이기 때문에, 많지 않은 PC들이 요구된다고 예상된다 -그리고 부가적인 PC를 부가함으로써 캡처된 기본적인 데이터의 통계적 변동량은 기본적인 SVD의 단일 값들을 통해 평가될 수도 있다-. 따라서, 에칭 프로파일들의 데이터세트를 기초로 하는 기준 프로파일 형상들을 식별하도록 PCA를 사용함으로써, 에칭 프로파일들을 나타내기 위해 감소된-치수 선형 모델을 구성할 수 있고, (프로세스 엔지니어의 전문성에 의존하지 않는) 자동화되고 프로파일 데이터의 새로운 상관관계들을 식별하는 능력을 갖는 방식으로 그리고 우수한 표현을 제공하기 위해 얼마나 많은 형상들/치수들의 통계적 추정을 제공하는 방식으로 한다.
전술한 방법론의 결과는 상당한 통계적 에러를 절충하지 않고 상당한 치수 감소가 달성될 수도 있고, 상기 기술된 수적 최적화 절차에 피팅하기 위해 필요한 데이터 지점들의 수가 실질적으로 감소될 수도 있다는 것이다. 도 3에 제시된 최적화 절차에서 치수 감소 PCA 절차를 구현하기 위한 상이한 실현가능 전략들이 있다는 것을 또한 주의한다. 예를 들어, 에러 메트릭이 도 3의 동작 340에서 연산되는 방식의 맥락에서, 치수 감소 절차를 채용하는 일 방식은 계산된 에칭 프로파일 및 대응하는 실험적 에칭 프로파일을 개별적으로 (PCA를 통해 구성될 수도 있는) 감소된-치수의 부분공간으로 사영하고, 이어서 부분공간 상으로 사영된 프로파일들 간의 차를 연산하는 것이다. 또 다른 방식은 계산된 에칭 프로파일과 실험적 에칭 프로파일 간 차들을 취하고, 이 차들을 실험적 에칭 프로파일과 연산된 에칭 프로파일 간 잠재적인 차들을 나타내는 감소된 치수의 부분공간 상으로 사영하고, 차-부분공간에서 이들 벡터들의 결합된 길이들로서 총 에러 메트릭을 보는 것이다.
PCA는 또한 방금 기술된 것과 유사한 이점을 제공하는 독립적인 입력 파라미터들의 공간에서 독립 파라미터들의 수를 치수적으로 감소시키도록 사용될 수도 있다는 것을 부가적으로 주의한다. 일부 실시예들에서, 치수 감소 절차는 프로파일 좌표 공간 및 입력 파라미터 공간 양자에 동시에 예를 들어, 입력 파라미터들 및 대응하는 측정된 에칭 프로파일들의 연쇄된 벡터들에 대해 PCA를 수행함으로써와 같이, 적용될 수도 있다.
최적화된 컴퓨터화된 에칭 모델의 적용예들
본 명세서에 개시된 최적화된 컴퓨터화된 에칭 모델들은 에칭 프로세스의 상세한 평가 및 특징화가 바람직한 반도체 프로세싱 워크플로우들에서 유용할 수도 있다. 예를 들어, 새로운 에칭 프로세스가 전개된다면, 모델은 실험실로 가고 실험 각각을 개별적으로 수행할 필요 없이 프로세스 파라미터들의 많은 조합들에 대한 에칭 프로파일 특징들을 결정하도록 사용될 수도 있다. 이러한 방식으로, 최적화된 에칭 프로파일 모델들은 보다 신속한 프로세스 전개 사이클들을 인에이블할 수도 있고, 일부 실시예들에서 타깃 프로파일을 정밀 튜닝하기 위해 요구되는 작업량을 상당히 감소시킬 수도 있다.
리소그래피 동작들 및 마스크 현상은, 에지 배치 에러 (EPE) 를 추정하는 것이 통상적으로 리소그래피 작업에서 꽤 중요하고, 프로파일 형상의 정확한 연산은 이 정보를 제공하기 때문에 정확한 에칭 프로파일 모델링으로부터 또한 상당히 유리할 수도 있다. 일부 실시예들에서, 철저한 물리-기반 EPE 추정을 통해, 최적화된 EPM은 통상적으로 반경험적 시도 및 에러 프로세스를 수반하는 현재 광범위하게 사용되는 PPC (pattern proximity-corrected) 보다 훨씬 짧은 시간 프레임의 포토레지스트를 위한 PPC 설계 레이아웃을 설계하도록 사용될 수도 있다. 상세들은 이하에 제공된다.
본 명세서에 개시된 최적화된 모델들은 또한: 구체적인 타깃 에칭 프로파일을 목표로 하고 이를 달성하기 위해 프로세스 파라미터들 (또는 EPM 입력 파라미터들) 의 하나 이상의 특정한 조합들을 발견하기 원하는 상호 간의 문제를 해결하기 위해 유용할 수도 있다. 다시, 이는 실험적 시도 및 에러에 의해 이루어질 수 있지만, 미리 결정된 프로세스 파라미터들 (또는 EPM 입력 파라미터들) 의 세트 및 조건들로부터 발생되는 에칭 프로파일의 정확한 모델링은 실험에 대한 필요성을 대체할 수 있고, 또는 전체 실험적 연구에 대해 우수한 후보자들이 식별될 수도 있을 때까지, 적어도 프로세스/입력 파라미터 공간을 탐구하는 초기 페이즈들에서 그렇게 한다. 일부 실시예들에서, 완전히 자동화된 방식으로 사실상 모델을 수적으로 인버팅 (invert) 하는 것이 가능할 수도 있다 -즉, 미리 결정된 에칭 프로파일을 생성하는 파라미터들의 세트를 반복적으로 위치시킨다-. 다시 한번, (PCA를 통한) 에칭 프로파일 좌표 공간의 치수 감소, 및 이 공간 상으로 목표된 에칭 프로파일의 사영은 이 수적 인버팅을 보다 실현가능하게 할 수도 있다.
특정한 실시예들에서, 최적화된 EPM은 에칭기 장치와 통합되거나 하나 이상의 에칭기 장치들을 배치하는 반도체 제조 설비의 인프라스트럭처 내로 통합될 수도 있다. 최적화된 EPM은 목표된 에칭 프로파일을 제공하도록 또는 에칭 프로파일에 대한 프로세스 파라미터들의 변화의 효과를 이해하도록 프로세스 파라미터들에 대한 적절한 조정들을 결정하도록 사용될 수도 있다. 따라서, 예를 들어, 제조 설비 내에서 반도체 기판들을 프로세싱하기 위한 시스템은 반도체 기판들을 에칭하기 위한 에칭기 장치를 포함할 수도 있고, 에칭기 장치 동작은 최적화된 EPM을 구현하는 제어기에 의해 제어되는 독립적인 입력 파라미터들의 세트에 의해 조정된다. 이하에 기술된 바와 같이, 에칭기 장치의 동작을 제어하기 위해 적합한 제어기는 통상적으로 프로세서 및 메모리를 포함하고, 메모리는 최적화된 EPM을 저장하고, 프로세서는 입력 프로세스 파라미터들의 세트의 미리 결정된 값들의 세트에 대한 에칭된 피처 프로파일들을 계산하기 위해 저장된 EPM을 사용한다. 프로파일을 계산한 후, 일부 실시예들에서, 제어기는 (계산된 프로파일의 형상에 응답하여) 독립적인 입력 파라미터들의 세트의 하나 이상의 값들을 가변함으로써 에칭기 장치의 동작을 조정할 수도 있다.
일반적으로, 개시된 최적화된 EPM들에 사용될 수도 있는 에칭기 장치는 반도체 기판들의 표면으로부터 재료를 제거함으로써 반도체 기판들을 에칭하기 적합한 임의의 종류의 반도체 프로세싱 장치일 수도 있다. 일부 실시예들에서, 에칭기 장치는 유도 결합 플라즈마 (ICP) 반응기를 구성할 수도 있고; 일부 실시예들에서, 에칭기 장치는 용량 결합 플라즈마 (CCP) 반응기를 구성할 수도 있다. 따라서, 이들 개시된 최적화된 EPM들에 사용하기 위한 에칭기 장치는 프로세싱 챔버, 프로세싱 챔버 내에 기판을 홀딩하기 위한 기판 홀더, 및 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기를 가질 수도 있다. 장치는 하나 이상의 프로세스 가스들을 프로세싱 챔버 내로 흘리기 위한 하나 이상의 밸브로 제어된 프로세스 가스 유입부들, 프로세싱 챔버로부터 가스들을 배기하기 위해 하나 이상의 진공 펌프들에 유체적으로 연결된 하나 이상의 가스 유출부들, 등을 더 포함할 수도 있다. 에칭기 장치들 (또한 일반적으로 에칭 반응기들 또는 플라즈마 에칭 반응기들, 등으로 지칭됨) 에 관한 추가 상세들은 이하에 제공된다.
반사율 스펙트럼 매칭 기법에 의한 에칭 프로파일 모델들의 최적화
본 명세서에 개시된 에칭 프로파일 (EP) 모델 (EPM) 최적화 기법들은 또한 반사율 스펙트럼 공간 또는 스펙트럼 반사율들의 공간으로부터 도출된 감소된 치수의 부분공간 (RDS) 에서 수행될 수도 있다. 즉, EPM 최적화는 (EPM을 사용하여 생성된) 연산된 반사율 스펙트럼을 실험으로 측정된 반사율 스펙트럼을 매칭함으로써 이루어지고, 스펙트럼 각각은 일련의 파장들에서 기판 표면 상의 에칭된 피처로부터 반사된 전자기 복사의 강도를 나타낸다. (EPM을 통해 생성된 스펙트럼 및 실험으로 측정된 스펙트럼 양자를) 최적화하기 위해 사용된 반사율 스펙트럼의 세트는 또한 에칭 시간 단계들의 시퀀스 (즉, 에칭 프로세스 또는 프로세스들의 상이한 시간 스냅샷들을 나타냄) 에 대응할 수도 있다. 상기 상세히 논의된 바와 같이, EPM들은 대체로 에칭 프로세스 동안 시간 맞춰 진전됨에 따라 이론 에칭 프로파일을 계산하고, 따라서 최적화의 상이한 에칭 시간 단계로부터의 반사율 스펙트럼을 포함함으로써, 최적화된 모델은 최적화에 사용된 에칭 시간들의 시퀀스 동안 통계적으로 유효하다.
스펙트럼 매칭 (SM) 최적화 절차는, 예를 들어 도 3을 참조하여, 상기 기술된 일반적인 EPM 최적화 프레임워크를 따르고, 에칭 프로파일 좌표들 대신 스펙트럼 반사율들의 관점에서 SM 최적화가 동작하는 것이 차이이다. -EPM의 통상적인 출력은 일련의 에칭 프로파일 좌표들로 나타낸 계산된 에칭 프로파일이기 때문에- 이렇게 하기 위해, 상기 계산된 에칭 프로파일로부터 전자기 복사 (EM) 의 반사를 시뮬레이팅함으로써 계산된 반사율 스펙트럼을 생성한다. "RCWA (rigorous coupled wave analysis)"가 이 목적을 위해 사용될 수도 있는 일 계산적인 프로세스를 구성하는 것으로 당업계에 공지되었지만, 고려 중인 기판 피처와 EM 복사의 상호작용을 시뮬레이팅하기 위해 모든 적합한 절차가 채용될 수도 있다.
어떠한 경우든, EPM으로부터 반사율 스펙트럼을 생성하는 능력을 사용하여, 일반적인 절차는 스펙트럼 반사율들의 관점에서 상기 EPM을 최적화하기 위해 구현될 수도 있다. 이는 에칭 프로파일 모델을 최적화 및/또는 튜닝하기 위한 동작들 (601) 의 세트를 예시하는 플로우 차트를 제공하는 도 6에 대해 이제 기술된다.
상기와 같이, 그리고 일부 실시예들에서, 이러한 튜닝 및/또는 최적화된 모델은 에칭 실험들을 수행한 결과로서 측정된 에칭 프로파일들과 모델로부터 생성될 때 대응하는 계산된 에칭 프로파일들 간의 결합된 차들에 관련되는 (나타내는, 인정하는, 등) 메트릭을 감소 -그리고 일부 경우들에서 실질적으로 최소화- 시킨다. 즉, 개선된 모델은 (-EPM에 대한 독립 입력 파라미터들을 계산하도록 사용된- 선택된 프로세스 파라미터들의 상이한 명시된 값들의 세트들에 의해 지정된 바와 같은) 상이한 실험 프로세스 조건들에 대해 결합된 에러를 감소시킬 수도 있다.
도 6에 도시된 바와 같이, 반사율 스펙트럼-기반 최적화 절차 (601) 는 최적화될 모델 파라미터들의 세트의 선택 및 모델 파라미터들의 초기 값들의 명세를 사용하여 동작 (610) 에서 시작된다 -다시, 이들 모델 파라미터들은 기본적인 화학적 프로세스 및 물리적 프로세스를 특징으로 하는 파라미터들 (반응 확률들, 부착 계수들, 등) 이도록 선택될 수도 있고, 이들 파라미터들 중 일부 또는 전부는 모델을 개선하도록 실험 데이터에 기초하여 조정될 것이다-. 초기 값들은 문헌에서 발견될 수도 있거나, 다른 시뮬레이션들에 기초하여 연산될 수도 있거나, 실험으로부터 결정될 수도 있거나, 이전의 최적화 절차들로부터 공지되는 등 할 수도 있다.
동작 (610) 에서 선택되고 초기화된 모델 파라미터들은 이어서, 동작 (620) 에서 선택되고 미리 결정된 복수의 값들의 세트들인 독립 입력 파라미터들의 세트에 대해 최적화된다. 이러한 독립 입력 파라미터들은 예를 들어, 반응 챔버 내 플라즈마를 특징으로 하는 파라미터들: 온도, 에천트 플럭스, 플라즈마 밀도, 등을 포함할 수도 있다. 동작 (630) 에서 독립 입력 파라미터들의 값들의 조합 각각에 대해, 실험 에칭 반사율 스펙트럼을 측정하기 위해 에칭 실험이 수행된다. (일부 실시예들에서, 복수의 에칭 실험들은 입력 파라미터들에 대한 값들의 동일한 조합으로 수행되고, 발생되는 반사율 스펙트럼 측정치들은 예를 들어, 함께 (가능하면 이상점들 (outliers), 잡음 스펙트럼, 등을 폐기한 후) 평균된다.) 이어서 이 벤치마크들의 세트는 다음과 같이 모델을 튜닝 및 최적화하기 위해 사용된다: 동작 (635 및 636) 에서, 에칭 프로파일을 산출하도록 EP 모델을 실행함으로써 계산된 반사율 스펙트럼의 세트가 생성되고 -동작 (630) 으로부터 측정된 스펙트럼에 대응하고 따라서 입력 파라미터들의 값들의 조합 각각에 대해 생성됨-, 그리고 이어서 상기 기술된 바와 같이 (예를 들어, RCWA를 사용함으로써) 스펙트럼 반사율들로 계산된 에칭 프로파일들을 변환한다. 이 때, 대응하는 실험 반사율 스펙트럼 및 독립 입력 파라미터들에 대해 선택된 값들의 세트 각각에 대해 생성된 계산된 반사율 스펙트럼이 있어서, 비교에 적합하다. 입력 파라미터들에 대한 상이한 값들의 세트들 모두에 대한 실험 반사율 스펙트럼과 계산된 반사율 스펙트럼 간 차를 나타내는 (관련되는, 인정하는, 등) 에러 메트릭이 연산되는, 640에서 비교가 이루어진다.
도 6에 대해 상기 기술된 바와 유사하게, 이 (에러 메트릭이 연산되는) 계산된 반사율 스펙트럼들의 세트는 동작 (610) 에서 명시된 바와 같이 이전에 선택된 모델 파라미터들의 세트에 대응한다. 최적화 절차의 목적은 이들 모델 파라미터들에 대해 보다 효과적인 선택들을 결정하는 것이다. 따라서, 동작 (650) 에서, 현재 명시된 모델 파라미터들 (모델 파라미터들의 공간의 관점에서) 동작 (640) 에서 연산된 에러 메트릭이 국부적으로 최소화되는 모델 파라미터들인지 여부가 결정되고, 그렇지 않다면, 모델 파라미터들의 세트의 하나 이상의 값들은 동작 (660) 에서 수정되고, 이어서 새로운 반사율 스펙트럼의 세트를 생성하도록 사용-도 6의 플로우차트에 개략적으로 나타낸 바와 같이 동작 (635 및 636) 을 반복-되고, 그 후 새로운 에러 메트릭이 동작 (640) 의 반복시 연산된다. 이어서 프로세스는 새로운 모델 파라미터들의 조합이 에러 메트릭에 의해 평가될 때 입력 파라미터들의 모든 세트들에 대해 국부적인 최소값을 나타내는지 여부가 결정되는 동작 (650) 으로 다시 진행된다. 그렇다면, 최적화 절차는, 도면에 나타낸 바와 같이, 종료된다. 그렇지 않다면, 모델 파라미터들은 동작 (660) 에서 다시 수정되고 사이클이 반복된다.
(전술한 방식으로) 상이한 시간 지속기간들의 에칭 프로세스들에 대해 또는 에칭 프로세스 동안 시간들의 시퀀스들로 반사율 스펙트럼을 계산하기 위해 EPM이 최적화되는 것이 목표된다면, EPM을 최적화하도록 사용된 실험 반사율 스펙트럼이 에칭 프로세스 동안 광학적 측정치들로부터 정확하게 결정될 수도 있는 정도가 고려된다. 관련된 이슈들은 이들 측정들이 에칭 프로세스 동안 수행될 수도 있는 레이트이다.
일반적으로, 스펙트럼 반사율의 측정들은 인시츄로 또는 엑스시츄로 (ex situ) 수행될 수도 있다. 엑스시츄 측정들이 외부의 전용 계측 툴 (에칭 챔버 외부) 의 채용으로 인해 일반적으로 보다 정확하지만, 이러한 측정들은 에칭 챔버로부터 웨이퍼가 제거되고 따라서 툴을 활용하기 위해 에칭 프로세스가 정지될 것을 요구한다. 에칭 프로세스를 정지하고 재시작하는 것이 연속적인 지속기간의 에칭 프로세스에 대한 모든 종류들의 시스템적인 에러들을 야기하기 때문에, 상이한 에칭 시간들의 시퀀스 동안 엑스시츄로 반사율 스펙트럼을 축적하는 것은 일반적으로 상이한 목표된 지속기간에 대해 상이한 웨이퍼들의 시퀀스 각각을 에칭하고 이어서 각각으로부터 반사율을 개별적으로 측정하는 것을 수반한다. 한편, 인시츄 스펙트럼 반사율 측정들은 진행중인 에칭 프로세스를 중단하지 않고 연속적으로 (또는 실질적으로 연속적으로, 또는 적어도 매우 신속하게) 이루어질 수도 있고, 따라서 단일 웨이퍼는 에칭 시간들의 시퀀스에 대응하는 반사율 스펙트럼을 생성하도록 사용될 수 있다 (이는 또한 반사율 스펙트럼의 에칭 시간-의존성을 나타내는 것으로 해석될 웨이퍼-대-웨이퍼 변동 가능성을 제거한다 (또는 적어도 감소시킨다). 그러나, 웨이퍼-대-웨이퍼 변동 외에, 다양한 이유들로, 인시츄 스펙트럼 반사율 측정치들은 전용 외부 계측 툴이 사용될 때보다 덜 정확한 경향이 있다.
스펙트럼 공간 EPM 최적화는 엑스시츄 또는 인시츄 측정된 스펙트럼 데이터에 대해 이루어질 수도 있지만, 예를 들어, 대안적인 실시예들로서, 엑스시츄인시츄 스펙트럼 반사율 측정들 각각의 단점들을 갖지 않는 엑스시츄인시츄 스펙트럼 반사율 측정들 양자의 장점들을 (적어도 일정한 정도로) 획득하는 기법들이 본 명세서에 또한 개시된다. 특히, 전용 계측 툴을 사용하여 취해진 엑스시츄 측정치들을 사용하여 캘리브레이팅되는 (EPM을 최적화하도록 목표된 에칭 시간들의 시퀀스에서) 진행중인 에칭 프로세스들 동안 취해진 고속 인시츄 스펙트럼 반사율 (광학적) 측정치들로부터 생성된 EPM을 최적화하기 위해 실험 반사율 스펙트럼을 사용하는 것이 전략이다.
이는 다음과 같이 행해질 수도 있다. 목표된 에칭 시간들의 시퀀스를 커버하는 지속기간 동안 하나 이상의 웨이퍼들이 에칭되고, 진행중인 에칭 프로세스들 내내 스펙트럼 반사율 광학적 측정들이 인시츄로 취해진다. 측정 레이트는, 예를 들어 1 ㎐, 2 ㎐, 5 ㎐, 10, ㎐, 15 ㎐, 20 ㎐, 50 ㎐, 또는 심지어 100 ㎐의 주파수로 매우 신속할 수도 있다. 일부 실시예들에서, 에칭 시간들의 시퀀스의 적어도 일부에 대해 연속하는 에칭 시간들에 취해진 광학적 측정치들은 0.01 내지 1 초로 분리되고 (즉, 100 ㎐ 내지 1 ㎐의 주파수), 또는 0.05 내지 0.5 초로 분리된다 (즉, 20 ㎐ 내지 2 ㎐의 주파수). 개별적으로, 웨이퍼들의 세트는 상이한 명시된 에칭 지속기간들 동안 에칭되고, 에칭 프로세스 각각이 종료된 후, 웨이퍼들은 에칭되었던 프로세싱 챔버들로부터 제거되고, 반사율 스펙트럼은 전용 외부 계측 툴을 사용하여 엑스시츄 광학적으로 측정된다. 이어서 상이한 에칭 시간들에서 인시츄 측정들이 대응하는 지속기간의 엑스시츄 측정치들과 비교함으로써 캘리브레이팅되고, 이에 따라 인시츄 반사율 스펙트럼 강도들을 조정한다. 엑스시츄 광학적 측정치들을 사용하여 캘리브레이팅된 인시츄 광학적 측정치들로부터 생성된 이들 반사율 스펙트럼은 도 3에 대해 기술된 EPM 최적화에서 사용될 수도 있다.
최적화 절차는 또한, 연산 최적화시 (보통 국부적으로, 또는 근사적으로) 최소화되는 에러 메트릭을 연산하기 위해 RDS를 사용하는 것을 수반하는, 감소된-치수의 부분 공간 (RDS) 에 대해 수행될 수도 있다 -에칭 프로파일 공간에 대해 수행된 것과 유사하지만, 이 경우, 스펙트럼 공간의 치수 감소-. RDS를 수행하는 일 방식은 PCA에 의한 것이지만, 상기 기술된 바와 같이 에칭 프로파일 좌표들의 공간에서 PCA를 수행하는 대신, PCA는 스펙트럼 반사율들의 전체 공간 상에서 수행될 수도 있다. 이렇게 할 때, 수치적 최적화의 통계적 에러를 상당히 절충 (compromising) 하지 않고 스펙트럼 공간의 상당한 치수 감소가 달성될 수도 있다. 여기서, PCA는 중요한 원형 (canonical) 스펙트럼 형상들을 식별할 수도 있고, 또한 (상기 기술된 바와 같이) 목표된 통계적 정확도의 어떤 레벨을 달성하도록 얼마나 많은 형상들이 포함되어야 하는지의 추정을 제공한다. 이러한 방식으로, 에칭 프로파일 좌표 공간에서 수행될 때, 수치적인 최적화 절차에 피팅 (fitting) 하기 위해 요구되는 데이터 지점들의 수는 상당히 감소될 수도 있고, 수치적인 최적화의 수렴이 보다 신속하게 달성된다.
유사하게, 그리고 에칭 프로파일 좌표 공간에서의 최적화의 경우와 유사하게, 예를 들어, RDS가 PCA를 통해 수행되든 또는 (이하에 기술된 바와 같이) PLS를 통해 수행되든 또는 달리 수행되든, 도 6에 제시된 최적화 절차 내에서 RDS의 사용을 구현하기 위한 상이한 실현가능한 전략들이 있다는 것을 또한 주의한다. 따라서, 예를 들어, 도 6의 동작 (640) 에서 에러 메트릭이 연산되는 방식의 맥락에서, 치수 감소 절차를 채용하기 위한 일 방식은 계산된 스펙트럼 반사율 및 대응하는 실험 스펙트럼 반사율을 RDS 상으로 개별적으로 사영하고, 이어서 부분 공간 상에 사영될 때 반사율 스펙트럼 간 차를 연산하는 것이다. 또 다른 방식은 계산된 스펙트럼 반사율과 대응하는 실험 반사율 스펙트럼 간의 차들을 취하고, 이어서 이 차들을 실험 반사율 스펙트럼과 계산된 반사율 스펙트럼 간의 전위 차들을 나타내는 감소된 치수의 부분 공간 상으로 사영하는 것이고; 총 에러 메트릭은 나중에 (반사율 스펙트럼의) 상이한 부분 공간에서 이들 벡터들의 결합된 길이들로 보여진다.
PCA를 수행하는 대신, RDS를 수행하기 위한 또 다른 방식은 단순히 스펙트럼 파장들의 특정한 세트를 선택하고, RDS에 대한 기준 세트로서 이들 (선택된 파장들) 을 간주하는 것이다. 이렇게 하여, 2 개의 반사율 스펙트럼을 RDS 상으로 사영하고 특정한 파장들에서 반사율 스펙트럼의 강도의 차에 이르는 (RDS에서의) 차를 계산하고, 예를 들어, (이들 파장들에 대한) RMS (root mean square) 에러에 비례하는 수로서 에러 메트릭을 형성할 것이다. 이를 일반화하면, 에러 메트릭은 특정한 선택된 파장들에서 대응하는 실험 반사율 스펙트럼과 연산된 반사율 스펙트럼 간의 차들의 크기에 단조적으로 관련된 수량들의 가중된 합으로서 미리 결정될 수도 있다.
더욱이, 최적화 절차에서 비교될 실험 반사율 스펙트럼 및 계산된 반사율 스펙트럼은 상이한 에칭 시간들의 시퀀스에 대응하고, 이어서 RDS를 규정하는 부가적인 기준은 이들 특정한 에칭 시간들의 선택일 수도 있다. 따라서, 이러한 실시예들에서, RDS는 파장들이 고려되는 특정한 에칭 시간들의 식별 및 특정한 스펙트럼 파장들의 선택에 기초하여 결정된다. 더욱이, 특정한 이러한 실시예들에서, 상이한 파장들 및 에칭 시간들은 에러 메트릭의 계산시 상이하게 가중될 수도 있다. 따라서, 예를 들어, 특정한 에칭 시간들에서의 스펙트럼 데이터가 다른 에칭 시간들에서의 데이터보다 입증적이면, 그러면 전술한 바 (일부) 는 보다 무겁게 가중될 수도 있다 (즉, 특정한 에칭 시간들에서 특정한 파장들이 다른 에칭 시간들에서 동일한 파장들에 대응하는 (일부) 가중치들보다 크게 설정될 수도 있다). 부가적으로 (또는 대안적으로), 상이한 파장들의 반사율 스펙트럼은 동일한 에칭 시간들에서 조차 분석시 상이하게 가중될 수도 있다.
RDS를 구성하기 위한 또 다른 대안은 PLS (partial least squares) 분석을 수행하는 것이다. PLS 분석은, 에칭 프로파일의 (반사율) 스펙트럼 이력이 에칭 프로세스 동안 진전됨에 따라, 에칭 프로세스에서 나중되는 그리고/또는 에칭 프로세스의 종결시 에칭 프로파일의 예측이라는 원리의 장점을 취한다. 피처가 하향으로 에칭됨에 따라 (도면에서 우측에 도시된) 피처와 관련된 에칭 프로세스 동안 4 개의 순차적인 시간들 (t0, t1, t2, 및 tEP ('EP'는 피처의 최종 etch profile을 나타냄)) 에 대응하는 4 개의 반사율 스펙트럼을 도시하는 도 7a에 예시가 제공된다. 도면으로부터, 에칭 동안 피처의 프로파일이 변화함에 따라 반사율 스펙트럼이 변화하고, 따라서 에칭 프로세스에서 앞선 특정한 시간들에서 특정한 파장들의 다양한 반사율 값들을 사용하는 에칭 프로세스의 종결시 피처 에칭 프로파일의 기하학적 좌표들과 관련되는 PLS 분석을 통해 통계적 모델이 생성될 수도 있다는 것이 자명하다. PLS 분석은 최종 에칭 프로파일의 가장 예측적인 스펙트럼 파장들 및 에칭 프로세스들에서 앞선 시간들을 식별할 수도 있고, 이 모델은 또한 이들 파장들 및/또는 시간들에 대한 최종 에칭 프로파일의 민감도를 평가할 수도 있다. 특정한 시간들에서 이들 스펙트럼 파장들은 나중에 EPM이 최적화되는 RDS에 대한 기준 세트로서 지정될 수 있다. 더욱이, 특정한 시간들에서 이들 지정된 파장들의 상대적인 통계적 중요성의 PLS 분석의 결정은, 예를 들어, 에러 메트릭의 통계적 가중치들을 규정함으로써, EPM의 수치적 최적화시 보다 크게 가중시키기 위한 기준을 제공한다.
또 다른 방식으로 말하면, 기하학적 에칭 프로파일 좌표들 대 에칭 프로세스에서 앞선 반사율 스펙트럼의 PLS 분석은, 효과적인 RDS가 구성될 수도 있는 에칭 프로세스 동안 센서티브 스펙트럼 영역들을 식별하도록 사용될 수도 있고, 에칭 프로세스 시간들 전에 식별된 이들 식별된 파장들에 주어진 상대적인 통계적 가중치들은 EPM 파라미터 최적화가 수행되는 에러 메트릭의 연산에 사용될 수도 있다. EPM 최적화를 위한 이러한 RDS의 사용은 (에칭 시간의 함수로서) RDS가 스펙트럼 공간의 통계적으로 상당한 영역들로 타깃팅되기 때문에 아마도 효율적일 것이라는 것을 주의한다.
전술한 PLS 분석 및 (차동적으로 가중된 특정한 스펙트럼 파장들, 에칭 시간들, 등에 대한 전략을 제공하는) 발생되는 PLS 모델은, ((RDS를 사용하여) EPM의 모델 파라미터들이 최적화되는 범위의 프로세스 조건들에 대략적으로 대응할 수도 있는) 다양한 에칭 프로세스 조건들을 겪는 많은 상이한 웨이퍼들에 대해 수집된 에칭 프로세스 데이터 (상이한 에칭 시간들에 대한 반사율 스펙트럼 및 대응하는 에칭 프로파일 좌표들의 세트들) 로부터 구성된다면 보다 통계적으로 강력할 것이다. 도 7b는 데이터 블록의 3 개의 인덱스들이 웨이퍼 수 (i), 스펙트럼 파장 (j), 및 에칭 프로세스 시간 (k) 에 대응하는 3-D 데이터 블록의 형태로 많은 웨이퍼들에 대해 수집된 이러한 반사율 스펙트럼 데이터의 세트를 개략적으로 제시한다. 이 3-D 데이터 블록은 도면에 나타낸 바와 같이 K * J의 사이즈의 2-D "X" 데이터 블록으로 전개 (unfold) 될 수도 있고, K는 시점들의 수이고 J는 파장들의 수이다. (연쇄된 데이터 벡터의 폭 (stride) 은 파장들의 수 J이다.) 이들은 PLS 분석이 진행되는 독립 변수들이다. PLS 분석을 위한 종속 변수들은, 도면에 나타낸 바와 같이, l 개의 웨이퍼들 각각에 대한 최종 N 개의 기하학적 에칭 프로파일 좌표들을 포함하는 도면에 도시된 바와 같이, 2-D "Y" 데이터 블록이다. 이 트레이닝 데이터의 완료된 세트로부터, PLS 분석은 에칭 프로세스 동안 중간 시간들에서 반사율 스펙트럼 데이터에 대한 최종 에칭 프로파일 좌표들의 의존도를 예측하기 위한 회귀 (regression) 모델을 구축한다.
(PLS 모델에 대한 트레이닝 세트로서 사용될) 이러한 에칭 프로파일 및 스펙트럼 반사율 데이터는 일련의 상이한 웨이퍼들에 대해 에칭 프로세스들을 수행 (그리고 반사율을 측정) 함으로써 실험으로 측정될 수도 있지만, 이러한 실험은 비용이 많이 들고 시간소모적일 수도 있다는 것을 주의한다. 그러나, 이미 -예컨대 상기 기술된 절차에 의해 최적화된- 충분한 정확도의 EPM을 가지면, 보다 효율적인 절차는 상기 EPM을 사용하여 에칭 데이터 세트들을 생성하고 그리고 PLS 모델을 구성/트레이닝하기 위해 이 에칭 데이터 세트들을 사용하는 것일 수도 있다. 기본적으로, 실험 에칭 프로파일 및 스펙트럼 반사율 데이터와 컴퓨터 생성된 에칭 프로파일 및 스펙트럼 반사율 데이터 양자의 조합은 또한 사용될 수 있다.
어떠한 경우든, PLS 모델을 구축하기 위한 컴퓨터 생성된 반사율 스펙트럼의 사용은 반복적인 절차를 암시하고, PLS 분석을 위해 반사율 스펙트럼의 트레이닝 세트를 생성하도록 (잠재적으로) 최적화되지 않은 EPM을 사용하고, 이어서 발생되는 PLS 모델은 초기 EPM으로 돌아가고 EPM을 최적화하기 위해 (통계적 가중치들을 갖는) RDS를 식별하도록 사용될 수도 있다. 이어서 새로운 최적화된 EPM은 결국, EPM을 더 최적화하는데 사용하기 위해 새로운 RDS를 식별하는 새로운 (그리고 보다 우수한) PLS 모델을 구성하도록 새로운 에칭 데이터의 세트들을 생성하도록 사용될 수도 있고, 이렇게 계속된다. 절차는 일부 미리 결정된 수의 반복들 동안 또는 PLS 모델 및/또는 EPM에서의 상당한 개선이 후속 반복들에서 더 이상 발견되지 않을 때까지 이러한 방식 (EPM 최적화와 PLS 최적화 사이에서 앞 뒤로) 계속될 수도 있다. 임의의 상기 기술된 최적화 기법들에 의해 (예를 들어, PLS 절차를 수반하지 않고) 최적화된 EPM을 사용하여 변동이 시작되고 거기서부터 진행된다. 또 다른 변동은 EPM 독립된 초기 PLS 모델을 구성하도록 실험으로 측정된 에칭 프로세스 데이터 세트들을 사용하고, 이어서 초기 EPM을 최적화하기 위해 RDS를 식별하도록 진행하는 것이다. 이들 일반적인 주제들에 대한 다른 변동들 및 이들의 조합들은 전술한 논의의 관점에서 당업자에게 자명할 것이다.
이 전술한 반복적인 접근 방법은 도 8에 개략적으로 예시된다. 도 8에 도시된 바와 같이, 최적화된 PLS 모델을 생성하는 프로세스 (801) 는 반사율 스펙트럼의 초기 세트 및 대응하는 에칭 프로파일들의 세트를 수신하는 동작 (810) 으로 시작되고, 반사율 스펙트럼의 초기 세트 및 대응하는 에칭 프로파일들의 세트 양자는 에칭 프로세스 시간 지속기간들의 시퀀스들에 대응한다. 에칭 시간들의 시퀀스는 에칭 프로세스 동안 상이한 시간들을 나타낼 수 있거나, 에칭 시간들의 시퀀스는 상이한 총 에칭 시간 지속기간들의 에칭 프로세스들 (즉, 완료를 위해 수행된 에칭 프로세스들이 아니라 상이한 기판들에 대한 상이한 총 에칭 시간들에 대한) 을 나타낼 수 있다. 어떠한 경우든, (에칭 시간들의 시퀀스에 대응하는) 이 반사율 스펙트럼의 초기 트레이닝 세트는 실험으로 측정될 수 있거나, 최적화되지 않은 EPM을 사용하여 생성될 수 있거나, 상기 기술된 바와 같은 또 다른 절차 (예를 들어, PLS를 수반하지 않는 일 절차) 에 의해 최적화된 EPM을 사용하여 생성될 수 있다. 트레이닝 세트를 수신한 후, PLS 분석은 초기 PLS 모델을 생성하기 위한 동작 (820) 에서 수행된다. PLS 모델은 (동작 (810) 에서 수신된) 에칭 프로파일들의 좌표들을 (또한 동작 (810) 에서 수신된) 반사율 스펙트럼에 관련시킨다. 특정한 실시예들에서, PLS 분석은, 나중의 에칭 시간들에서 또는 심지어 상기 기술된 바와 같이, 에칭 프로세스에서 앞선 특정한 시간들에서 반사율 스펙트럼의 특정한 파장들에서 에칭 프로세스의 종료시 에칭 프로파일 좌표들의 종속성뿐만 아니라 이 종속성의 통계적 민감도를 표현하는 회귀 모델을 생성한다.
이 초기 PLS 모델은 어떤 목적들에 대해 충분히 정확할 수도 있고, 동작 (830) 에서 정확한 것으로 결정된다면, 최적화 프로세스가 종료된다. 그러나, 동작 (830) 에서 PLS 모델이 충분한 정확도를 갖지 않는 것으로 생각되면, 프로세스 (801) 는 (동작 (820) 에서 구성된 바와 같은) 현재 PLS 모델이 (상기된 바와 같은) 효과적인 에러 메트릭을 규정하기 위해 통계적 가중치들을 사용하여 (통계적으로 상당한) RDS를 결정하도록 사용되는 동작 (840) 으로 계속된다. 이어서 (예를 들어) 도 6에 대해 기술된 EPM 최적화 절차에 따라 EPM 모델을 최적화하도록 새로운 통계적으로 가중된 스펙트럼 에러 메트릭이 동작 (850) 에서 사용된다. PLS 절차에 의해 통계적으로 상당한 것으로 생각된 (전체 스펙트럼 공간의) 스펙트럼 부분 공간에서, EPM 계산된 반사율 스펙트럼과 대응하는 측정된 반사율 스펙트럼 간의 차의 효과적인 게이지로서 작용하도록 이러한 통계적으로 가중된 에러 메트릭이 (예를 들어, 도 6의 최적화에서) 사용될 수도 있다.
이 EPM 최적화 절차는 동작 (820) 에서 사용된 바와 같이 동일한 스펙트럼 데이터를 사용할 수도 있거나, 상이한 스펙트럼 데이터를 사용할 수도 있다 (그러나, 다시, 동작 (840) 에서 규정된 새로운 스펙트럼 에러 메트릭을 활용하여 최적화된다). 어떠한 경우든, (동작 (850) 에서) 일단 EPM이 최적화되고, 계산된 반사율 스펙트럼의 새로운 (그리고 아마도 매우 광범위한) 세트를 생성하도록 사용될 수도 있다. 이는 동작 (860) 에서 계산된 에칭 프로파일들의 세트를 생성하고 이어서 동작 (865) 에서 계산된 반사율 스펙트럼의 세트를 생성하도록 이들 계산된 에칭 프로파일들을 사용함으로써 (예를 들어, 상기 기술되고 도면에 나타낸 바와 같이 RCWA를 사용함으로써) 이루어진다. 이어서 이들 스펙트럼들은 -스펙트럼 트레이닝 세트로서- 이 새로운 (아마도 매우 광범위한) 트레이닝 세트에 기초하여 새로운 PLS 모델이 생성되는 동작 (820) 으로 다시 피딩 (fed) 될 수도 있다. 새로운 PLS 모델의 통계적 정확도가 동작 (830) 에서 평가되고; 그리고 동작들 (840, 850, 860, 865, 820, 및 830) 의 사이클은 동작 (830) 의 반복들 중 일 동작에서, PLS 모델이 충분한 통계적 정확도의 모델로 생각될 때까지 반복하여 계속될 수도 있다.
이러한 종류의 PLS 모델이 ("우수한" RDS의 식별을 통해) EPM 모델을 최적화하는데 유용하지만, 이는 또한 (전체가 모든 목적들을 위해 참조로서 인용된) 대리인 관리 번호 LAMRP230인, 공동-계류중인 미국 특허 출원에 기술된 바와 같이, 에칭 엔드포인트 검출 절차들에 대해 독립적으로 유용하다는 것을 주의한다. 예를 들어, 상기 기술된 바와 같이, PLS 모델은 에칭 프로세스 동안 스펙트럼 영역들이 에칭 프로세스로부터 발생되는 보다/가장 예측적인 최종 에칭 프로파일이라는 통계적 결정으로서 보여질 수도 있다. 이와 같이, PLS 모델의 구성은 피처 프로파일이 충분히 에칭될 때를 결정하기 위해 (즉, 엔드포인트 검출을 위해) 에칭 프로세스 동안 모니터링될 수도 있는 스펙트럼 영역들을 식별하는 효과적인 민감도 분석이라는 것이다. 따라서, 잠재적으로 보다 효율적인 EPM 최적화를 야기하는 것에 더하여, PLS 모델에서 중요한, 스펙트럼 영역들에 유리한 최적화의 통계적 가중치 (에칭 시간의 함수로서) 를 통한 EPM 모델의 최적화는, 이에 따라 PLS 분석에 의해 중요한 것으로 생각되는 (에칭 프로세스 동안) 스펙트럼 공간의 동일한 영역들에 유리한, EPM 모델의 최적화가 통계적으로 가중되는 EPM 모델에 의해서 생성된 에칭 프로파일 데이터 세트들로부터 PLS 모델이 구성되기 때문에 PLS 민감도 분석의 통계적 정확도를 향상시키는 이점을 갖는다는 것을 또한 주의한다.
에칭 동작들에 사용하기 위한 용량 결합 플라즈마 (CCP) 반응기들
용량 결합 플라즈마 (CCP) 반응기들은 명칭이 "ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL"이고 2009년 2월 9일 미국 특허 출원 번호 제 12/367,754 호로 출원된 미국 특허 제 8,552,334 호, 및 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"이고 2014년 11월 12일 출원된 미국 특허 출원 번호 제 14/539,121 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
예를 들어, 도 9a 내지 도 9c는 조정가능한 용량 결합된 한정된 RF 플라즈마 반응기 (900) 의 실시예를 예시한다. 도시된 바와 같이, 진공 프로세싱 챔버 (902) 는 하부 전극 (906) 을 하우징하는 내부 공간을 둘러싸는 챔버 하우징 (904) 을 포함한다. 챔버 (902) 의 상부 부분에서, 상부 전극 (908) 은 하부 전극 (906) 으로부터 수직으로 이격된다. (플라즈마 생성을 위해 사용되도록 구성된) 상부 및 하부 전극들 (908, 906) 의 평면 표면들은 실질적으로 평행하고 전극들 사이의 수직 방향에 직교한다. 바람직하게 상부 및 하부 전극들 (908, 906) 은 원형이고 수직 축에 대해 동축이다. 상부 전극 (908) 의 하부 표면은 하부 전극 (906) 의 상부 표면과 마주본다. 이격되어 마주보는 전극 표면들은 전극 표면들 사이의 조정 가능한 갭 (910) 을 규정한다. 플라즈마 생성 동안, 하부 전극 (906) 에는 RF 전력 공급부 (매칭) (920) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (922), RF 스트랩 (924) 및 RF 전력 부재 (926) 를 통해 하부 전극 (906) 에 공급된다. 접지 차폐부 (936) 는 하부 전극 (906) 에 보다 균일한 RF 장을 제공하도록 RF 전력 부재 (926) 를 둘러쌀 수도 있다. 전체 내용이 참조로서 본 명세서에 인용되는, (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된) 미국 특허 공보 제 2008/0171444 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (982) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (906) 상의 갭 (910) 내에 지지되고, 프로세스 가스가 갭 (910) 에 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (908) 은 전력 공급되거나 (power) 접지될 수 있다.
도 9a 내지 도 9c에 도시된 실시예에서, 하부 전극 (906) 은 하부 전극 지지 플레이트 (916) 상에 지지된다. 하부 전극 (906) 과 하부 전극 지지 플레이트 (916) 사이에 개재된 절연체 링 (914) 은 지지 플레이트 (916) 로부터 하부 전극 (906) 을 절연한다. RF 바이어스 하우징 (930) 은 RF 바이어스 하우징 보울 (bowl) (932) 상에 하부 전극 (906) 을 지지한다. 보울 (932) 은 RF 바이어스 하우징 (930) 의 암 (934) 에 의해 도관 지지 플레이트 (938) 에 챔버 벽 플레이트 (918) 내의 개구를 통해 연결된다. 바람직한 실시예에서, RF 바이어스 하우징 보울 (932) 과 RF 바이어스 하우징 암 (934) 은 일 컴포넌트로서 일체형으로 형성되지만, 암 (934) 과 보울 (932) 은 함께 볼트 결합되거나 연결된 2 개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (934) 은 RF 전력을 지나가게 하기 위한 하나 이상의 중공형 통로들 및 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 진공 챔버 (902) 의 외부로부터 진공 챔버 (902) 의 내부로 하부 전극 (906) 의 후면 상의 공간에서의 전기적 모니터링 및 작동 신호들과 같은 설비를 포함한다. RF 공급 도관 (922) 은 RF 바이어스 하우징 암 (934) 으로부터 절연되고, RF 바이어스 하우징 암 (934) 은 RF 전력 공급부 (920) 로의 RF 전력에 대한 복귀 경로를 제공한다. 설비 도관 (940) 은 설비 컴포넌트들에 통로를 제공한다. 설비 컴포넌트들의 추가의 상세사항들은 미국 특허 제 5,948,704 호 및 미국 특허 공보 제 2008/0171444 호 (양자는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용됨) 에 기술되고 기술의 간략함을 위해 여기에 도시되지 않는다. 갭 (910) 은 제한 링 어셈블리 (미도시) 에 의해 바람직하게 둘러싸이고, 그 상세사항들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2007/0284045 호에서 발견될 수 있다.
도관 지지 플레이트 (938) 는 구동 (actuation) 메커니즘 (942) 에 부착된다. 구동 메커니즘의 상세사항들은 (모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는) 미국 특허 공보 제 2008/0171444 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은, 구동 메커니즘 (942) 은 예를 들어, 볼 나사를 회전시키기 위한 모터 및 볼 나사와 같은 나사 기어 (946) 에 의해, 수직의 선형 베어링 (944) 에 부착된다. 갭 (910) 의 크기를 조정하기 위한 동작 동안, 구동 메커니즘 (942) 은 수직의 선형 베어링 (944) 을 따라 이동한다. 도 9a는 구동 메커니즘 (942) 이 작은 갭 (910a) 을 발생시키는 선형 베어링 (944) 상의 고 위치에 있을 때의 배열을 예시한다. 도 9b는 구동 메커니즘 (942) 이 선형 베어링 (944) 상의 중간 위치에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (906), RF 바이어스 하우징 (930), 도관 지지 플레이트 (938), RF 전력 공급부 (920) 는 모두 챔버 하우징 (904) 및 상부 전극 (908) 에 대해 하부로 이동하고, 중간 크기 갭 (910b) 을 발생시킨다.
도 9c는 구동 메커니즘 (942) 이 선형 베어링 상의 저 위치에 있을 때 큰 갭 (910c) 을 예시한다. 바람직하게, 상부 및 하부 전극들 (908, 906) 은 갭 조정 동안 동축으로 유지되고 갭에 걸친 상부 전극 및 하부 전극의 대면하는 표면들은 평행하게 유지된다.
이 실시예는 예를 들어, 300 mm 웨이퍼들과 같은 큰 직경 기판 또는 평판 디스플레이들에 걸쳐 균일한 에칭을 유지하도록, 멀티-단계 에칭 프로세스들 동안 CCP 챔버 (902) 내의 하부 및 상부 전극들 (906, 908) 사이의 갭 (910) 이 조정되게 한다. 특히, 이 실시예는 하부 및 상부 전극들 (906, 908) 사이에 조정 가능한 갭을 제공하는데 필수적인 선형 운동을 용이하게 하기 위한 기계적 배열에 관한 것이다.
도 9a는 근위 단부에서 도관 지지 플레이트 (938) 에 시일되고 원위 단부에서 챔버 벽 플레이트 (918) 의 계단형 플랜지 (928) 에 시일되는 측면으로 편향된 벨로즈 (bellows) (950) 를 예시한다. 계단형 플랜지의 내부 직경은 RF 바이어스 하우징 암 (934) 이 통과하는 챔버 벽 플레이트 (918) 내에 개구 (912) 를 규정한다. 측면으로 편향된 벨로즈 (950) 는 RF 바이어스 하우징 (930), 도관 지지 플레이트 (938) 및 구동 메커니즘 (942) 의 수직 이동을 허용하면서 진공 시일을 제공한다. RF 바이어스 하우징 (930), 도관 지지 플레이트 (938) 및 구동 메커니즘 (942) 은 캔틸레버 어셈블리로서 지칭될 수 있다. 바람직하게, RF 전력 공급부 (920) 는 캔틸레버 어셈블리와 함께 이동하고 도관 지지 플레이트 (938) 에 부착될 수 있다. 도 9b는 캔틸레버 어셈블리가 중간 위치에 있을 때 중립 위치에 있는 벨로즈 (950) 를 도시한다. 도 9c는 캔틸레버 어셈블리가 저 위치에 있을 때 측면으로 편향된 벨로즈 (950) 를 도시한다.
레버린스 (labyrinth) 시일 (948) 은 벨로즈 (950) 와 플라즈마 프로세싱 챔버 하우징 (904) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (956) 는 이동식 차폐 플레이트 (958) 가 캔틸레버 어셈블리의 수직 이동을 수용하도록 수직으로 이동하는 레버린스 홈 (960) (슬롯) 을 제공하도록 챔버 벽 플레이트 (918) 에서 챔버 하우징 (904) 의 내부 내측 벽에 부동적으로 부착된다. 이동식 차폐 플레이트 (958) 의 외측 부분은 하부 전극 (906) 의 모든 수직 위치들에서 슬롯 내에 유지된다.
도시된 실시예에서, 레버린스 시일 (948) 은 레버린스 홈 (960) 을 규정하는 챔버 벽 플레이트 (918) 내의 개구 (912) 의 주변에서 챔버 벽 플레이트 (918) 의 내측 표면에 부착된 고정된 차폐부 (956) 를 포함한다. 이동식 차폐 플레이트 (958) 는 부착되고 RF 바이어스 하우징 암 (934) 으로부터 방사상으로 연장하고, 암 (934) 은 챔버 벽 플레이트 (918) 내의 개구 (912) 를 통과한다. 이동식 차폐 플레이트 (958) 는 제 1 갭만큼 고정된 차폐부 (956) 로부터 이격되고 캔틸레버 어셈블리로 하여금 수직으로 이동하게 하는 제 2 갭만큼 챔버 벽 플레이트 (918) 의 내부 표면으로부터 이격되는 동안 레버린스 홈 (960) 내로 연장한다. 레버린스 시일 (948) 은 벨로즈 (950) 로부터 스폴링된 (spalled) 입자들의 이송으로 하여금 진공 챔버 내부로 들어가는 것을 차단하고 프로세스 가스 플라즈마로부터의 라디칼들로 하여금 라디칼들이 나중에 스폴링되는 퇴적물들을 형성하는 벨로즈 (950) 로 이송되는 것을 차단한다.
도 9a는 캔틸레버 어셈블리가 높은 위치 (작은 갭 (910a)) 에 있을 때 RF 바이어스 하우징 암 (934) 위의 레버린스 홈 (960) 내의 보다 높은 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 도 9c는 캔틸레버 어셈블리가 낮은 위치 (큰 갭 (910c)) 에 있을 때 RF 바이어스 하우징 암 (934) 위의 레버린스 홈 (960) 내의 보다 낮은 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 도 9b는 캔틸레버 어셈블리가 중간 위치 (중간 갭 (910b)) 에 있을 때 레버린스 홈 (960) 내의 중립 또는 중간 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 레버린스 시일 (948) 은 RF 바이어스 하우징 암 (934) 에 대해 대칭적인 것으로 도시되지만, 다른 실시예들에서, 레버린스 시일 (948) 은 RF 바이어스 암 (934) 에 대해 비대칭적일 수도 있다.
에칭 동작들에 사용하기 위한 유도 결합 플라즈마 반응기들
유도 결합 플라즈마 (ICP) 반응기들은 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 2013년 12월 10일 출원된 미국 특허 공개 공보 제 2014/0170853 호, 및 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"인 2014년 11월 12일 출원된 미국 특허 출원 번호 제 14/539,121 호에 기술되고, 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
예를 들어, 도 10은 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 결합 플라즈마 에칭기 장치 (1000) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo TM 반응기이다. 유도 결합 플라즈마 에칭기 장치 (1000) 는 챔버 벽들 (1001) 및 윈도우 (1011) 에 의해서 구조적으로 구획된 전체 에칭 챔버를 포함한다. 챔버 벽들 (1001) 은 스테인레스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (1011) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (1051) 는 상부 서브-챔버 (1002) 및 하부 서브-챔버 (1003) 로 전체 에칭 챔버를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (1051) 는 제거될 수도 있고, 따라서, 서브-챔버들 (1002 및 1003) 로 이루어진 챔버 공간을 활용한다. 척 (1017) 은 하단 내측 표면 근방에서 하부 프로세싱 챔버 (1003) 내에 위치된다. 척 (1017) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (1019) 를 수용 및 홀딩하도록 구성된다. 척 (1017) 은 웨이퍼 (1019) 가 존재하는 경우에 웨이퍼 (1019) 를 지지하는 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (1017) 을 둘러싸며 척 (1017) 위에 존재할 경우에 웨이퍼 (1019) 의 상단 표면과 대략 동일 평면에 있는 상부 표면을 갖는다. 척 (1017) 은 또한 웨이퍼 (1019) 의 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부가 이 목적을 위해서 제공될 수도 있다. 척 (1017) 으로부터 웨이퍼 (1019) 를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (1017) 은 RF 전력 공급부 (1023) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (1023) 는 연결부 (1027) 를 통해 매칭 회로 (1021) 에 연결된다. 매칭 회로 (1021) 는 연결부 (1025) 을 통해 척 (1017) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (1023) 는 척 (1017) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (1011) 위에 위치된 코일 (1033) 을 포함한다. 코일 (1033) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 10에 도시된 코일 (1033) 의 예는 3 회의 턴들을 포함한다. 코일 (1033) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (1033) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (1041) 를 포함한다. 일반적으로, RF 전력 공급부 (1041) 는 연결부 (1045) 를 통해 매칭 회로 (1039) 에 연결된다. 매칭 회로 (1039) 는 연결부 (1043) 를 통해 코일 (1033) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (1041) 는 코일 (1033) 에 연결된다. 선택가능한 Faraday 차폐부 (1049) 는 코일 (1033) 과 윈도우 (1011) 사이에 위치된다. Faraday 차폐부 (1049) 는 코일 (1033) 에 대해 이격된 관계로 유지될 수도 있다. Faraday 차폐부 (1049) 는 윈도우 (1011) 바로 위에 배치된다. 코일 (1033), Faraday 차폐부 (1049), 및 윈도우 (1011) 는 각각 서로 실질적으로 평행하도록 구성된다. Faraday 차폐부는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 헬륨, 네온, 에천트, 등) 이 상부 챔버 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (1060) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (1070) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 프로세스 가스들을 도 9a 내지 도 9c에 도시된 용량 결합 플라즈마 프로세싱 챔버로 공급하도록 유사한 가스 플로우 유입부들이 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (1040) 가 프로세스 챔버 (1000) 로부터 프로세스 가스들을 유출하도록 그리고 프로세스 챔버 (1000) 내에서 압력을 유지하도록 사용될 수도 있다. 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세스 챔버로 진공 펌프를 유체적으로 연결하도록 밸브-제어된 도관이 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 도 9a 내지 도 9c에 도시된 용량 결합 플라즈마 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (1060 및/또는 1070) 를 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스들은 주 가스 플로우 유입부 (1060) 를 통해서만, 또는 측면 가스 플로우 유입부 (1070) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 보다 복잡한 가스 플로우 유입부들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (1049) 및/또는 선택가능한 그리드 (1051) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (1049) 및 선택가능한 그리드 (1051) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다.
RF 전류로 하여금 코일 (1033) 을 통해 흐르게 하도록 RF 전력 공급부 (1041) 로부터 코일 (1033) 로 RF 전력이 공급된다. 코일 (1033) 을 통한 RF 전류는 코일 (1033) 을 중심으로 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (1002) 내에 유도 전류를 생성한다. 웨이퍼 (1019) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (1002) 및 하부 서브-챔버 (1003) 양자가 있도록 플라즈마 그리드가 사용되면, 상부 서브-챔버 (1002) 내에서 전자-이온 플라즈마를 생성하도록 유도 전류는 상부 서브-챔버 (1002) 내에 존재하는 가스에 작용한다. 선택가능한 내부 플라즈마 그리드 (1051) 는 하부 서브-챔버 (1003) 내 핫 (hot) 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (1003) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자가 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (1022) 를 통해 하부 서브-챔버 (1003) 로부터 제거될 수도 있다.
본 명세서에 개시된 척 (1017) 은 약 10 ℃ 내지 약 250 ℃의 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 에칭 프로세싱 동작 및 구체적인 레시피에 따를 것이다. 일부 실시예들에서, 챔버 (1001) 는 또한 약 1 mTorr 내지 약 95 mTorr의 범위의 압력들에서 동작할 수도 있다. 특정한 실시예들에서, 압력은 상기 개시된 것보다 높을 수도 있다.
챔버 (1001) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (1001) 에 커플링된다. 부가적으로, 챔버 (1001) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 반도체 웨이퍼들을 챔버 (1001) 내외로 이송하게 하는 이송 챔버에 커플링될 수도 있다.
또한, 도 10에 시스템 제어기 (1051) 가 도시된다. 이하에 더 기술된 바와 같이, 이러한 시스템 제어기 (1051) 는 본 명세서에 기술된 최적화된 EMP를 사용하여 계산된 에칭 프로파일의 생성에 응답하여 에칭기의 동작의 조정을 포함하여, 에칭기 장치의 동작들 일부 또는 전부를 제어할 수도 있다.
포토레지스트 마스크 설계 레이아웃들의 예측 패턴 근접 보정
20 ㎚ 노드 이상으로 포토리소그래피의 연장은 포토리소그래피에 대한 훨씬 보다 타이트한 오차 요건들을 계속해서 부과하고 에칭하는 발전된 해상도 향상 기법들뿐만 아니라 마스크 설계 및 제작을 구동한다. 포토마스크들의 잔여 에러들의 존재 및 프로세스 모델들에서 이들을 캡처하는 것에 수반된 제한들은 마스크 제조 효과들의 보정을 구동하는 것을 보조한다. 그러나, 플라즈마 기반 에칭 프로세스 자체에서, -포토리소그래피를 통한 패터닝된 포토레지스트의 전사 후에- 긴 범위의 불균일도들, 예컨대 플라즈마 플럭스의 패턴 로딩, 및 짧은 범위 결함들 예컨대 포토레지스트에 의해 규정된 패턴의 에칭시 "근접도 결함들"은 전체 패터닝된 에칭 프로세스에서 관찰된 결함 시그니처들의 원인이 된다. 단순한 예가 (2-층 스택의 상단) 포토레지스트 (1101) 의 층에 의해 규정된 바와 같은, 피처가 에칭되기 전 및 에칭된 후의 반도체 기판 상의 재료의 2-층 스택의 단면도를 도시하는 도 11a에 예시된다. 이 도면은 상대적으로 이상화된 에칭 프로세스에서 조차, (마스크 (미도시) 에 의해 사영된) 포토레지스트 (1101) 의 전사된 패턴의 베이스의 "풋 (foot)" (1111) 이 에칭된 피처의 폭에 영향을 줄 수도 있고, 심지어 이 뿐 아니라, 이 도면은 에칭된 후에 피처의 측벽들이 완전히 수직이 되는 대신, 약간의 테이퍼를 가질 수도 있다는 것을 도시한다. 도 11b는 모범 (prototypical) 피처 -90 도 모퉁이를 갖는 트렌치- 의 평면도를 도시하고, 이러한 피처의 의도된 설계 (도 11b-1) 가 붕괴된 레이아웃 (도 11b-2) 으로 도시된 바와 같이 이러한 근접도 효과들로 인해 변경될 수도 있다는 것을 예시한다. 이러한 패터닝된 에칭 프로세스에서 짧은-범위 근접도 결함들은 다이 내 CD (critical dimension) 가변성을 상승시키도록 작용할 수도 있고 IC (integrated circuit) 성능 및 수율의 열화에 원인이 될 수도 있다.
패터닝된 에칭 프로세스 동안 포토마스크를 생성하기 위한 최신 기술의 방법에서, 패턴 근접도 결함들의 교정 (즉, "패턴 근접도 보정") 은 실증적 규칙 기반 보정 전략 또는 실증적 모델 기반 보정 전략에 의해 해결된다. 규칙 기반 절차는 통상적으로 -테스트 기판 상에 기준 포토레지스트 패턴 레이아웃을 형성하기 위해 포토리소그래피를 통해 전사되고 이어서 에칭될 때- 기준 마스크를 채용하고, 기준 레이아웃의 라인/공간 피처들의 표준 세트와 연관된 미리 결정된 피치/CD에 대한 오프셋들/보정들의 표준 세트를 제공한다. 이러한 규칙 기반 방법은 일반화된 포토레지스트 레이아웃들을 핸들링하려고 할 때 제한된 정확도를 갖는다.
모델 기반 방법은 일반적으로 VEB (variable etch bias model) 로 지칭되는 방법을 활용한다. 다른 유사한 경험적 (heuristic) 모델들은 (에층 측 상의) 컴팩트 리소-에칭 바이어스 모델들 및 (리소그래피 측 상의) 컴팩트 레지스트 모델들로 공지된 모델들을 포함한다. 이 방법 자체는 "모델 기반"으로 명명되지만, 이는 (이제 간략히 기술될 바와 같이) 통계적-기반 최소 제곱 피팅 "모델"만을 지칭하고, 에칭 프로세스의 물리 기반 (즉, 화학적 표면 동역학 기반) 연산 모델 (예컨대 에칭 프로세스 동안 시간에 걸쳐 피처의 에칭 프로파일의 대략적인 진전을 연산하는 상기 기술된 최적화된 EPM) 을 지칭하지 않는다.
표준 실증적 VEB 방법에서, 실험적 CD 정보는 미리 결정된 프로세스 조건들의 세트에 대한 사전-프린팅된 마스크 테스트 캘리브레이션 패턴들의 호스트로부터 수집된다. 특히, 이를 위해, 이들 캘리브레이션 마스크들은 구축되어야 하고, 실제 웨이퍼 기판들에 (구축된 마스크를 사용하는 포토리소그래피 프로세스를 통해) 전사된 포토레지스트의 연관된 캘리브레이션 패턴들 및 이어서 이들 (테스트) 패터닝된 웨이퍼들은 미리 결정된 프로세스 조건들 하에서 실제로 에칭되어야 한다.
이는, 다른 단계들과 함께, 전체 VEB 모델 기반 방법이 매우 시간 소모적이게 한다. 도 12의 상단 부분은 표준 실증적 VEB 방법의 다양한 페이즈들을 도시하고, 다양한 페이즈들의 완료, 뿐만 아니라 전체 VEB-기반 마스크 구축 프로세스의 완료를 위한 (주 단위의) 타임라인을 예시한다. 도면에 예시된 바와 같이, 이 프로세스의 캘리브레이션 마스크 구축 단계-즉, 직전에 참조된 단계들 중 제 1 단계-는 통상적으로 표준 포토리소그래피 ("Prolith") 시뮬레이션 (Prolith는 산업 표준 소프트웨어 패키지) 이 선행되고, 반복적인 OPC (optical proximity correction) 단계에 이어진다. 본질적으로, 이들 처음 2 단계들은 (마스크 설계를 통해 사영된 광학적 복사선에 대한 노출로부터 생성될) 미리 결정된 포토레지스트 패턴 레이아웃에 대응하는 마스크를 결정하기 위한 절차를 구성한다. 이 스테이지에서, 포토레지스트의 목표된 패턴은 캘리브레이션 패턴이고, 따라서 "캘리브레이션 마스크"는 이 절차를 통해 결정되고, 이어서 "구축"이 수행된다 (도면에 나타낸 바와 같이, 제 3 단계로서).
(도면에 나타낸 바와 같이) "캘리브레이션 마스크 구축"에 이어서, 마스크에 따라 포토레지스트 패턴이 테스트 기판으로 전사되고 기판이 에칭된다. 이어서 CD 정보가 테스트 구조체들로부터 추출되고, (도면에 나타낸 바와 같이) CD 바이어스를 테스트 구조체들의 에지 운동들과 상관시키는 데이터의 최소 제곱 피팅이 수행된다. 이 최소 제곱 피팅 모델로부터, 에지 보정들이 테스트 마스크 구축물을 재캘리브레이팅하도록 테스트 마스크 구조에 적용되고, 마스크 구축 절차가 반복된다. 이 마스크-구축/에칭/최소 제곱 피팅 사이클은 최종 세트의 마스크 설계들을 프린팅하는 것으로 수렴하기 전에 적어도 몇 회 반복된다. (이러한 맥락에서 도 12는 하나 또는 몇몇의 이러한 구축/에칭/피팅 사이클들만을 도시하기 때문에 VEB 모델에 대해 최적이다.) 전체적으로, 도면에 도시된 바와 같이, 이 최신 기술의 소위 "모델 기반" VEB 방법은 완료하는데 적어도 12 주가 걸리는 것으로 추정되지만, 복수의 구축-에칭-피팅 사이클들이 요구된다면, (예를 들어) 완료하기 위해 16 주 이상 걸릴 수 있다. 더욱이, 이 절차는 실제 웨이퍼 기판들의 실험적 에칭 및 이들 기판들, 그리고 더욱이 잠재적으로 많은 사이클들에 걸쳐 사전-에칭 및/또는 사후-에칭 계측 양자를 수반하기 때문에, 전체 프로세스는 (통상적으로) 재료-비용 및 리소스-비용 관점에서 꽤 비싸다. 마지막으로, 이 프로토콜의 통계적 정확도에 대한 제한들이 또한 주의되어야 한다: 테스트 웨이퍼의 표면 상의 유한하고 제한된 수의 패터닝된 사이트들이 측정되고 최소 제곱 피팅 절차에 사용된다. 이 회귀 윈도우 외측의 엑스트라폴레이션 (extrapolation) 은 제한된 통계적 유효성이 있어야 하고, 물론 실제/생산 레이아웃은 재현되지 않고 또는 테스트 캘리브레이션 패턴들에서 발견되는 피처들과 유사하지 않은 피처들을 가질 것이다.
이들 거의 전체 실증적 방법들과 반대로, 본 명세서에 기술된 계측법은 실제 물리학 및 표면 동역학-기반 EPM-즉, 기본적인 물리적 프로세스들 및 기판 표면 상에서 일어나고 기판의 플라즈마 에칭을 설명하는 화학 반응 메커니즘들의 모델-을 채용하는 모델 기반 방법을 사용하고, 상기 기술된 바와 같이, EPM 모델은 플라즈마 기반 에칭 프로세스 동안 진전됨에 따라 반도체 기판 상의 에칭 프로파일의 진전을 추적한다. 패터닝된 에칭 프로세스의 경우, 피처 프로파일의 시간 진전은 반도체 기판의 표면 상의 미리 결정된 재료 스택 상에 덮인 (미리 결정된 마스크 레이아웃으로부터 생성된) 일부 포토레지스트 층의 패터닝에 기초하여 진행할 것이다.
간략히 말하면, 본 명세서에 개시된 물리/화학 모델 기반 방법에서, 미리 결정된 마스크-개방 프로세스에 대해, (선형 2D 라인/피치 격자들을 포함할 것이고, 또한 단순한 3D 패턴들을 포함할 수도 있는) 타깃 캘리브레이션 패턴/레이아웃이 (상기 기술된 바와 같은) 엄격한 물리 기반 EPM을 최적화하도록 사용된다. 계측은 (미리 결정된 재료 스택으로 포토리소그래피를 통해 전사되고 에칭된 후) 타깃팅된 캘리브레이션 패턴을 갖는 실험적 웨이퍼들에 대해 수행되고, EPM은 상기 기술된 임의의 다양한 최적화 절차들을 사용하여 이 실험적 계측으로 캘리브레이팅된다. 일부 실시예들에서, 최적화는 클라우드 기반 또는 클러스터 기반 구현예를 사용하여 수행될 수도 있고, 계산은 중심 지점을 중심으로 많은 수의 샘플들을 생성하는 것, 이어서 실험 데이터에 대한 EPM의 개선된 캘리브레이션/최적화를 위한 파라미터 공간의 검색을 수반할 수도 있다.
최적화된 EPM이 확립된다면 (특히, 미리 결정된 에칭 프로세스 및 기판 재료 스택에 대해), 일부 실시예들에서, 추가 물리적 실험을 필요로 하지 않는, 계산적 PPC (predictive pattern-proximity correction) 의 구현예에서 나중에 사용될 수도 있다. 따라서, 도 12의 하부 부분에 도시된 바와 같이, 이러한 물리-기반 모델 방법을 사용할 때, 계측은 적어도 3 내지 4 주만큼 총 마스크 구축 시간을 감소시킬 수도 있고, 단 하나의 최종 물리적 마스크 구축 단계를 수반할 것이다.
PPC 방안 (prescription) 의 기본 개요는 일반적으로 -전체 설계 레이아웃의 작은 부분일-격리된 피처에 대해 도 11b에 개략적으로 예시된다. 도 11b-1에 도시된 바와 같이, 의도된 에칭된 설계에 대응하는 (적절히 설계된 광학 마스크를 통해 사영함으로써 포토리소그래피를 통해 생성된 포토레지스트 층에 구현될) 최초 (시도) 에칭 설계 레이아웃이 수신된다. 이 경우, 단순한 L-형상 트렌치이다 (90 도 벤딩을 가짐). 수신된 설계 레이아웃의 에지들 (다시, 이는 의도된 설계 패턴임) 은 나중에 이산화되고 (discretize)-지점들의 세트는 에칭 설계 레이아웃의 수평면에서 선택된다-도 11b-2의 "붕괴된 레이아웃"을 참조-, 최적화된/캘리브레이팅된 EPM 모델 (예컨대 직전에 기술된, 캘리브레이션 패턴에 따른 최적화된) 은 이산화된 에지 지점들의 선택된 세트에 대해 실행된다. EPM으로부터의 출력은, 다양한 이산화된 에지 지점들에 대해 계산된, 에칭이 시뮬레팅되는 시간 동안 진전하는, (도 1에 도시된 바와 같이) 피처의 단면 프로파일이다. 최종 에칭 시간까지 진행하여, EPM은 이산화의 정밀도 (또는 거칠기) 에 따라 피처의 윤곽들 주변에 피처의 EPE의 추정치를 제공한다-도 11b-2의 "시뮬레이팅된 윤곽" 참조-. 도 11b-2에 도시된 시뮬레이팅된 윤곽은 도 11b-1에 도시된 원래 포토레지스트 패턴을 사용하여 실제 플라즈마-에칭을 실제로 수행한다면 근접도 결함들이 발견될 것이라는 것을 예시한다. 그러나, EPM 계산에 기초하여, 최초 설계 레이아웃은 예상된 결함들을 보상하는 근접 보정된 설계 레이아웃을 제공하도록, 도 11b-3에 도시된 바와 같이 수정될 수도 있다. PPC 설계 레이아웃을 닮도록, 포토레지스트 층을 기판에 전사하고 패터닝하는 것 그리고 이어서 이 PPC를 사용하여 기판을 에칭하는 것은, 이제 원래 의도된 설계 레이아웃과 보다 밀접하게 정렬하는 에칭된 피처의 에지들을 예시하는, 도 11b-4에 도시된 "최종 패턴"을 발생시킬 것이다.
이 일반적인 방안에 기초하여, 다양한 방법들이 구상될 수도 있다. 일 가능한 구상은 웨이퍼 기판의 전체 패터닝된 표면이 많은 수의 에지 지점들을 사용하여 이산화되고 캘리브레이팅된 EPM은 적절한 근접도 보정들을 결정하기 위해 에지 지점들 각각에 대해 실행되는, 주먹구구식 방법이다. 이는 원리적으로 작용할 것이다. 실제로, 이러한 많은 수의 지점들의 그리드를 커버하기 위해 필요한 EPM 연산들의 수는 계산적 측면에서 꽤 비용이 많이 들 것이고 꽤 비현실적일 것이다.
그러나, 웨이퍼의 표면 상의 상이한 지점들에서 일어나는 실제 물리적 프로세스와 화학적 프로세스 간 그리고 웨이퍼의 표면 상에서 에칭될 상이한 피처들 내의 상당한 유사성이 있을 것이라는 인식으로부터 또 다른 방법이 발생한다. 에칭 챔버 내 플라즈마 플럭스의 변동들과 커플링된 설계 레이아웃과 상이한 피처 기하구조들이 물론 특정한 차이들을 야기할 것이지만, 웨이퍼에 걸친 상당한 유사성들이 있을 것이다-동일한 화학물질들이 수반되거나, 동일한 플라즈마가 수반되거나, 많은 피처들이 유사한 형상들을 갖거나, 상이한 일반적 부류들의 형상들에 속하거나 한다-. 따라서, 이러한 인식으로, 이들 유사성들의 장점들을 취하고 미리 결정된 설계 레이아웃의 모든 에지에 대한 주먹구구식 EPM 계산을 방지하기 위한 구체적인 절차가 탐구되었다. 이렇게 함으로써 막대한 계산적 비용 절약 기회를 제공하고, 이 설계의 많은 지점들이 동일한 결과를 산출할 것이기 때문에, 큰의 복잡한 포토레지스트 설계 레이아웃의 모든 피처에 대한 EPM을 재-실행할 필요가 없다. 이들 지점들을 산출하는 것이 키 (key) 이다.
이를 달성하기 위해 본 명세서에 기술된 구체적인 방법은 피처 내부의 에칭 반응 레이트들은 피처가 에칭될 때 피처 내 플라즈마, 또는 보다 일반적으로, 에칭 프로세스 동안 피처 내의 임의의 에천트 또는 패시베이션 종의 물리적 특성들과 매우 강하게 상관될 것이라는 보다 구체적인 발상의 장점을 취한다. 특히, 피처 각각 (즉, 반도체 기판 상의 재료 스택) 의 재료 조성이 통상적으로 동일할 것이기 때문에 그러하다. 즉, 피처 내 미리 결정된 에천트 (예를 들어, 플라즈마-기반) 플럭스에 대해 피처 내에서 어떤 일이 일어날지-예를 들어, 피처가 에칭될 때 피처의 에지가 얼마나 이동하는지- (최적화된 EPM을 통해) 공지된다면, 모든 가능한 동일한 결과가 에칭 동안 동일한 IFPF를 갖는 모든 피처들 (또는 적어도, 일부 총 기하학적 유사성을 갖는 피처들에 대해) 에서 일어날 것이다.
이러한 발상을 달성하기 위해-그리고 모든 에지에 대한 주먹구구식 EPM 계산을 방지하기 위해- 타깃팅된 캘리브레이션 구조체들이 어셈블된다. 도 13a는 패턴으로부터 선택된 특정한 구조체들/피처들 (1301 및 1302) 을 갖는 단순한 캘리브레이션 패턴 (1300) 의 예시를 제공한다. 타깃팅된 캘리브레이션 구조체/피처 각각에 대해, IFPF의 하나 이상의 특성들이 결정되고, 최적화된 EPM 모델이 에칭 동안 피처의 시간 진전, 보다 구체적으로 에칭 결과로서 피처의 EPE를 결정하기 위해 캘리브레이션 구조체/피처에 대해 실행된다. 타깃팅된 캘리브레이션 구조체들/피처들이 실제 포토레지스트 설계 레이아웃에서 알 수 있는, IFPF의 범위를 커버하는 IFPF 범위를 나타내면, 제한된 수의 캘리브레이션 구조체들에 대해 EPM을 실행하는 이 절차는 그럼에도 불구하고 IFPF와 EPE 간의 대략적인 맵핑을 제공한다. 맵핑은 감소된 차수의 모델 (reduced order model) 또는 ROM으로서 본 명세서에서 지칭되고, 본 명세서에 기술된 바와 같이, 맵핑은 도 13b 및 도 13c에 디스플레이된 바와 같이 LUT 포캣으로 편리하게 나타낼 수도 있다. 그러면 이러한 ROM LUT는 프로토콜이 근접도 보정을 위해 전개될 수도 있는 매우 고속의 계산 툴을 구성한다. 그러나, 다른 계산 효율적인 구현예들이 ROM 관계를 나타내도록 또한 사용될 수도 있다는 것이 이해될 것이다. 예를 들어, 이하에 보다 상세히 기술될 바와 같이, MLM (machine learning model) 이 LUT를 구성하도록 사용될 수도 있는 데이터세트와 유사한 데이터세트를 사용하여 트레이닝될 수도 있다 (또는 아마도 트레이닝 데이터세트는 훨씬 보다 포괄적일 수도 있다-이하 참조-). LUT가 EPE와 IFPF의 정량적 특성 간의 ROM 관계의 일 계산 효율적/용이한 구현예를 나타내지만, 다른 구현예들이 훨씬 보다 고속일 수도 있고 그리고/또는 트레이닝 세트의 지점들 간의 보다 우수한 인터폴레이션을 제공할 수도 있고, 따라서 실시예에 따라 선호될 수도 있다.
어떠한 경우든, 보다 일반적으로 마스킹된 에칭 프로세스의 LUT 실시예를 다시 참조하면, 도 13b에 도시된 바와 같이, LUT의 입력 각각은 통상적으로 IFPF의 특성인 정량들의 하나 이상의 값들을 위한 필드들-이 예에서, IFPIF 및 IFPNF 및 IFPDF를 위한 컬럼들/필드들-및 (캘리브레이션 구조체들에 대해 EPM을 실행함으로써 이전에 결정된 바와 같은) 상기 IFPF 관련 정량과 연관될 것으로 예상되는 발생되는 EPE (이 예에서 "△xEPE"로 라벨링됨)(또는 EPE의 정량적 특성/지표) 를 위한 필드를 갖는다. 도 13b의 표에 나타낸 바와 같이, IFPIF, IFPNF, 및 IFPDF는 피처 내 플라즈마 에천트 종의 CPM (compact physical model) 을 나타내고 기판 바로 위에 로딩된 플럭스의 효과들뿐만 아니라 피처 내부의 다양한 깊이들에서 "가시도"를 설명하는 연산들에 의해 결정된다. (도 13b의 LUT 예에서 더 나타낸 바와 같이, 플럭스 "로딩"은 중성종 플럭스 및 패시번트 (passivant) 종 플럭스를 결정하는데 중요하고, "가시도"는 이온 종 플럭스와 관련되고, 플라즈마 이온 플럭스는 프로세싱 챔버 내 전자기장으로 인해 지향성이 되고 따라서 피처의 측벽들로부터의 그림자 효과에 매우 민감할 수 있다.)
이에 더하여, LUT의 상이한 입력들은 단일 총 에칭 시간에 대응할 수도 있고 또는 상이한 입력들이 상이한 에칭 시간들에 대응할 수도 있다. 도 13b의 표에서, 에칭 동안 상이한 중간 시간들에 대한 값들을 표로 작성하기 위해, "층 깊이" 필드 (z 1, z 2, ... z N) 가 예를 들어 "에칭 시간" 필드 (t 1, t 2, ... t N) 대신 사용되지만, 원리는 동일하다. (에칭 동안 복수의 중간 시간들에 대해 EPE 데이터를 어셈블리하는 이점은 이하에 보다 상세히 기술된다.)
이를 보다 구체화하기 위해, LUT의 필드들에 보유된 정량들로 라벨링된 반도체 기판의 피처/구조체를 디스플레이하는 도 14a 및 도 14b에 단순한 예시가 제공된다. 도면들 양자는 L1 및 L2로 라벨링된, 포토레지스트 층으로부터 포토레지스트의 2 개의 선들의 단면 프로파일들을 도시하고, 에칭 프로세스에서 에칭되는 폭 'w' 및 피치 'P'를 갖는 구조체/피처 (1410) 를 규정한다. 도 14a는 피처가 대응하는 깊이 z i를 가질, 다양한 에칭 시간들 t i에서 피처의 진짜 프로파일로서 보여질 수도 있는 예시를 개략적으로 도시한다-이는 나중에 시간의 이산화 또는 도 13b에 예시된 LUT에 표로 작성될 때 등가의 깊이를 나타낸다. (상기 언급된 바와 같이, 에칭 시간과 에칭 깊이 간의 대응관계가 있다.) 도 14b는 에칭 동안 상이한 지점들에서 동일한 피처의 "디지털"/이산화된 표현 및 도 13b의 LUT에 또한 표로 작성되는 EPE, △xEPE가 연산되는 방법을 도시한다.
설계 레이아웃의 특정한 에지에 대한 EPE를 결정하기 위해, ROM LUT를 사용하도록, 따라서 에지가 연관된 피처에 대응하는 IFPF의 특성인 정량들의 하나 이상의 추정된 값들의 세트를 사용하고, 표에서 이들 정량들을 참고하라. 따라서, 예를 들어, 도 13b의 ROM LUT에 도시된 바와 같이, 이들 정량들에 대략적으로 대응하는 EPE의 추정치를 획득하기 위해 LUT 내로 인덱싱하기 위한 IFPF의 정량적 특성으로서 IFPIF, IFPNF (플라즈마-프리 라디컬 종을 포함), 및 IFPDF를 사용할 수도 있다.
그러나, 이들은 피처 내 플라즈마의 특성들의 "CPM"을 나타낼 수도 있는 가능한 유일한 정량들이 아니다. 이러한 관점에서, 구 "IFPF의 정량 (또는 정량들) 적 특성"은 (본 명세서에서 사용될 때) 계산적 모델로부터 결정 (원리적으로, 또한 실험적으로 결정될 수 있지만) 된 바와 같은 피처 내 (즉, 측벽들 간) 플라즈마/에천트의 실제 물리적 특성들을 포괄하도록 의도된다. 그러나, IFPF는 또한 (본 명세서에서 사용될 때) 보다 일반적으로 아마도 피처 자체 내 플라즈마/에천트의 실제 물리적 특성들을 나타내지 않지만, 그럼에도 불구하고 물리적 특성들과 강하게 상관되는, 다른 플라즈마/에천드 파라미터들을 포괄하도록 의도된다.
도 13c의 ROM LUT에 예가 도시된다. 이 ROM LUT에서, "로딩된 플럭스들" (피처 위로 로딩된 플럭스들을 지칭) 을 위한 명시적인 필드들 및 "가시도" (예를 들어, 피처의 각도-종속 "VC (visibility kernel)"을 반구형으로 평균/적분함으로써 획득된 피처의 측벽들의 그림자 효과들을 나타냄-이하의 추가 상세들을 참조하라-) 를 위한 필드이다. 엄격하게 말하면, 이들 필드들은 피처 내 (즉, 피처 내부 아래, 피처 측벽들 간) 실제 플라즈마 종의 실제 물리적 특성들이 아니지만, 이들 파라미터들은 함께 취해져 피처 내 플라즈마의 실제 물리적 특성들과 강하게 상관된다. 예를 들어, (이들 기술적 구들은 당업계에서 이해되는 바와 같이) 기판 표면 위에 로딩된 플럭스들 뿐만 아니라 피처의 대략적인 가시도를 안다면, 피처 내 이온 및 중성자/라디컬 플라즈마 플럭스 밀도들을 우수한 정확도로 연산할 수도 있다. 이 때문에, 이러한 피처들의 세트는 함께 취해지면, 표에 열거된 EPE을 유도할 실제 피처 내 이온 플라즈마 플럭스 및 피처 내 중성자/라디컬 플라즈마 플럭스의 "CPM"을 구성한다고 할 수도 있다. 따라서, 도 13c의 LUT의 이들 필드들은 "IFPF의 정량적 특성"으로서 본 명세서에서 또한 분류된다.
도 13b 및 도 13c에 도시된 LUT는 "에지" 필드를 포함한다는 것을 또한 주의한다. 대신 간단한 방법으로서, 예를 들어, LUT로의 키들로서 로딩된 플럭스들 또는 가시도를 사용하여, 대신 LUT의 에지를 바로 검색할 수도 있다. 통상적으로, 에지 필드는 일부 소팅의 에지 형상 지표를 포함할 것이지만, 캘리브레이션 패턴에 존재하는 피처들의 에지들과 기하구조 유사성을 갖는 설계 레이아웃에 존재하는 피처들의 에지들은 LUT에서 식별되고 발견될 수도 있다. 따라서, 일부 실시예들에서, 설계 레이아웃의 피처의 에지에 대한 에지 형상 지표는 캘리브레이션 패턴에 존재하는 피처들의 형상들에 대해 상기 피처의 형상을 매칭하는 패턴에 의해 결정될 수도 있다 (그리고 나중에 LUT로의 키로서 사용될 수도 있다). 피처 형상들이 IFPF와 강하게 상관될 것이기 때문에, 이렇게 함으로써 LUT로의 인덱싱하는 목적들을 위한 IFPF의 정량적 특성으로서 에지 형상 지표를 작성할 수도 있다. 일부 실시예들에서, LUT는 피처의 결정된 에지 형상 지표에 기초하여 먼저 검색될 수도 있다. 특정한 이러한 실시예들에서, 이러한 에지 형상 지표에 기초한 검색은 표에 열거된 다른 정량들에 기초하여 상세한 검색 (및/또는 인터폴레이션) 이 수행되기 (예컨대 IFPIF 및/또는 INPNF에 기초하여 후속한 검색) 전에 LUT의 관련 입력들을 좁히도록 처음에 사용될 수도 있다.
개념적으로, LUT는 따라서 피처가 에칭될 때-LUT를 구성하도록 사용된 미리 결정된 조건들 및 특정한 재료 스택이 주어지면- IFPF-관련 정량들과 피처의 EPE 간 매우 고속 맵핑을 제공한다. 이는 고속이기 때문 뿐만 아니라, 매우 복잡한 물리적/화학적 에칭 프로세스가 국부적인 플라즈마 플럭스의 특성들 (IFPF) (또는 에칭 프로세스와 관련된되면 비-플라즈마-기반 에천트의 플럭스) 과 EPE 간 핵심 인과 관계에 이르는 것을 감소시키도록 역할을 하기 때문에 본 명세서에서 ROM으로 지칭된다. 다시 한번, (LUT에서 구현된) 이 ROM 관계는 상기 상세히 기술된 임의의 최적화 방법들을 사용하여 캘리브레이팅된 물리 기반 EPM을 사용하여 구성될 수 있다. 그러나, 구성, 일단 이 관계가 확립되면, ROM LUT에서 구현된 에칭 프로세스의 물리 및 화학물질에 기초하여 포토레지스트에 대한 최초/시도 설계 레이아웃의 PPC를 실행하기 위한 방안이 설계될 수도 있다.
이러한 동작들의 세트는 도 15의 플로우차트에 디스플레이된다. 도면에 도시된 바와 같이, 에칭 동작에서 사용될 포토레지스트에 대한 근접 보정된 설계 레이아웃을 생성하기 위한 방법은 최초 설계 레이아웃을 수신하는 동작 1500으로 시작하고, 그 후, 동작 1520에서, 근접도 보정을 위해 설계 레이아웃의 피처가 식별된다. 물론, 많은 경우들에서, 근접도 보정을 위한 설계 레이아웃의 다수의 피처들을 선택하기 원할 것이고, 이하에 보다 상세히 기술된다. 어떤 경우든, 동작 1540에서, 이 식별된 피처에 대해, 방법은 모델링된 플라즈마 기반 에칭 프로세스 동안 시간 t에서 피처 내 IFPF의 하나 이상의 정량적 특성을 추정함으로써 진행하고, 이어서, 동작 1550에서, 이들 하나 이상의 추정된 IFPF-관련 정량들은 LUT에서 구현된 ROM을 사용하여 시간 t에서 피처의 에지의 EPE를 추정하도록 사용된다. (시간 t에서 IFPF의 정량적 특성은 ROM LUT로의 키로서 역할을 한다.) 다시, ROM LUT는 시간 t에서 EPE의 값들과 IFPF의 하나 이상의 정량적 특성을 연관시키고, 재료 스택 상에 덮인 포토레지스트의 캘리브레이션 패턴에 대해 적어도 시간 t 에 대해 프로세스 조건들의 세트 하에서 계산된 EPE을 실행함으로써 구성된다. 피처와 연관된 추정된 EPE를 사용하여 결정되고, 방법은 EPE에 기초하여 최초 설계 레이아웃을 수정하는 동작 1590으로 종료된다.
나중에 수정된 설계 레이아웃이 예를 들어, 상기 언급된 산업계 표준 "Prolith" 소프트웨어 패키지를 사용함으로써 에칭 포토마스크 설계가 생성될 수도 있는, 최종 포토레지스트에 대한 근접 보정된 설계 레이아웃으로서 역할을 할 수도 있다. 나중에 실제 포토마스크가 물리적으로 형성될 수도 있고, 이제 근접 보정된 설계 레이아웃과 매칭할 포토레지스트 층을 기판 표면으로 전사하도록, 이 포토마스크를 사용하여 포토리소그래피 동작이 수행된다. 마지막으로, 실제 플라즈마 에칭 동작이 수행될 수도 있다.
언급된 바와 같이, 대부분의 경우들에서, 최초 설계 레이아웃의 많은 피처들에 대해 PPC가 이루어지는 것이 바람직하다. 따라서, 도 16은 도 15에 도시된 것과 유사한 방법 1502를 나타내지만, (패턴들이 최초 설계 레이아웃에 있는) 복수의 피처들에 대한 패턴 근접도 보정을 수반한다. 도 16에 도시된 바와 같이, 방법 1502는 도 15의 방법 1501과 유사하게 진행하지만, 고려 중인 제 1 피처에 대해 EPE가 추정되는, 동작 1550 후에 또 다른 피처가 고려되어야 하는지 여부가 동작 1571에서 결정된다. 그렇게 하면, 방법은 최초 설계 레이아웃의 또 다른 피처가 선택되는 동작 1520으로 돌아가고 (loop back), 방법은, 다시 동작 1550에서 새롭게 부가적으로 고려된 피처에 대해 EPE의 추정치에 도달하도록 이전과 같이 진행한다. 이어서 방법 1502는 방법이 최초 설계가 고려 중인 모든 상이한 피처들에 대해 추정된 상이한 EPE들에 기초하여 수정되는 동작 1590으로 진행하는 지점에서 고려될 피처들이 더 이상 없다고 결정할 때까지 동작 1571의 결정 로직에 따라 루프를 계속할 수도 있다.
상기 기술된 바와 같이, IFPF (의 특성들) 와 관련된 다양한 정량들은 ROM LUT로의 인덱싱을 위한 키들로서 사용될 수도 있고 따라서 EPE의 추정치를 획득한다. 도 13c에 도시된 실시예에서, 플라즈마 플럭스의 CPM은 웨이퍼-위 로딩된 플라즈마 플럭스들 및 피처-내 가시도의 면에서 활용되었다. 도 13b에 도시된 실시예에서, ROM LUT는 상기 상술한 바와 같이, IFPIF, IFPNF (플라즈마 프리-라디컬 종을 포함), 및 IFPDF의 면에서 CPM의 사용을 구현한다.
이에 더하여, 본 명세서에 설명된 대부분의 예들이 ROM LUT 방법을 사용하여 플라즈마 기반 에칭 프로세스를 모델링하는 경우를 고려하지만, 일부 실시예들에서, 다른 에칭 프로세스들은 또한 LUT의 프레임워크 내에서 효과적으로 모델링될 수도 있다. 예를 들어, 플라즈마가 없다면, 그러면 보다 일반적으로, IFEF (in-feature etchant flux/concentration) 의 하나 이상의 정량적 특성이 이 비플라즈마 기반 에칭 프로세스에 대응하는 EPE의 값들을 홀딩하는 LUT로의 인덱싱을 위한 키들로서 사용될 수 있다.
프로세싱 챔버, 챔버 기하구조, 등에 대해 설정된 프로세스 조건들 세트는 기판의 표면으로부터 이격된 "전역 플라즈마 플럭스 (global plasma flux)"를 결정한다는 것을 주의한다-즉, 프로세스 조건들은 "전역 플라즈마 플럭스"가 일반적으로, 기판이 존재하지 않는 프로세싱 챔버 내에 존재할 것이라는 것을 서술한다. 기판이 존재한다면, 그러면 기판은 기판 바로 위, 기판 주변의 플라즈마 플럭스에 영향을 준다-즉, 로딩된 플라즈마 플럭스는 프로세스 조건들로부터 결정될 때 전역 플라즈마 플럭스와 관련되고, 전역 플라즈마 플럭스에 기초하여 추정될 수도 있지만, 일반적으로 동일하지는 않다. 특히, 로딩된 플라즈마 플럭스는 챔버 내의 기판의 존재로 인해 수평 방사상 의존성을 갖고; 더욱이, 방사상 의존성은 미리 결정된 설계 레이아웃에 대응하는 웨이퍼 표면 상의 포토레지스트의 패턴 밀도에 영향을 받을 수도 있다. 따라서, 로딩된 플라즈마 플럭스들-LPNF (loaded passivant deposition flux) 및/또는 LPDF (loaded passivant deposition flux)-은 에칭 프로세스를 위해 계획된 (챔버 조건들에 의해 결정된 바와 같이) 설계 레이아웃과 함께 전역 플라즈마 플럭스에 기초하여 추정될 수도 있다. 이온 종에 대한 플럭스 밀도/밀도들이 일반적으로 이들의 "전역 플라즈마 플럭스"로부터 상당히 벗어나지 않기 때문에 PIF (plasma ion fluxes) 에 대한 로딩들을 연산할 만큼 중요하지 않다는 것을 주의한다. 따라서, 이는 종종 ROM LUT에 표로 작성된 PIF 값들이 로딩되지 않은 플라즈마 플럭스들일 것이다 (그러나 또한 모든 실시예들에 대해 사실일 필요는 없고, 플럭스 로딩에 대한 보정들이 고려되는 것을 보장하기 충분히 상당한 일부 이온 종이 있을 수도 있다).
도 13c의 ROM LUT는 또한 피처 내 가시도를 위한 필드를 갖는다. 상기 나타낸 바와 같이, 가시도는 지향성 이온 플럭스를 차단하기 때문에, 온 플라즈마 밀도를 갖는 피처의 측벽들의 그림자 효과들의 정도를 명시한다. 이는 도 17에 도시된 피처의 단면도에 의해 예시된다: 시선 (lines-of-sight) (1710 및 1720) 은 피처 내 공간적 지점 (1730)-에지들 중 하나에서 특정한 깊이를 나타냄-으로 수렴하고, 지향성 이온 플럭스로의 지점의 가시적인 노출의 각도 제한들을 묘사하고, 따라서 시선 (1710 및 1720) 은 에칭 동안 공간적 지점 (1730) 이 겪는 지향성 이온 플럭스의 분율 (fraction) 을 결정한다. 보다 정밀하게, 피처 내 특정한 깊이에서 특정한 이온에 대한 이온 플럭스는 문제의 특정한 이온과 연관된 IEADF를 갖는 피처 내 특정한 깊이에 대응하는 VC의 (예를 들어, 수치적으로 이루어진) 각도 적분에 의해 주어진다 (특정한 깊이에서, VC는 각도 의존성을 갖고, 예를 들어, 도 17의 시선 (1710 및 1720) 참조). (IEADF는 전역 프라즈마 모델로부터 온다.) 따라서, 가시도는 이온 플럭스 밀도와 밀접하게 관련되고 따라서 상기 언급된 바와 같이 IFPF의 특성이라고 할 수도 있다. 미리 결정된 에지에 대해, VC는 도 13c에 도시된 바와 같이 ROM LUT에 존재하는 모든 상이한 에지 깊이들 (및/또는 에칭 시간들) 에 대해 표로 작성될 수도 있는 평균 (average)/평균 (mean) 가시도 값을 획득하도록 통합될 수도 있다. 일 예는 ROM으로의 인덱싱을 위해 (문제의 피처와 연관된) 적분된 가시도를 단순히 사용할 수도 있다. 다른 실시예들에서, 도 13b에 도시된 바와 같이 나중에 LUT로의 인덱스로서 사용될 수 있는 IFPIF를 획득하기 위해 VC와 IEADF의 곱이 (각도에 걸쳐) 적분된다.
도 17에 예시된 바와 같이, 피처의 "가시도"는 피처의 형상에 의해 주로 결정된다. 그러나, 피처의 형상은 에칭 프로세스 동안 진전되고, 따라서 ROM LUT로의 인덱싱/키잉하도록 사용될 수도 있는 대응하는 가시도를 결정하는 목적들을 위한 에칭 프로세스 동안 피처의 추정된 형상으로서 취해져야 하는 문제가 있다. 다양한 방법들이 이를 위해 채용될 수도 있다.
일 방법은 단순히 문제의 피처의 추정된 형상이 포토레지스트의 미리 결정된 최초 설계 레이아웃에 대응하는 개구부를 갖고 피처가 피처의 개구의 에지들로부터 하향 연장하는 실질적으로 수직인 측벽들을 갖는다고 가정한다. 즉, 피처는 ROM LUT로의 인덱싱을 위한 방법으로서 가시도/플럭스 로딩 CPM 픽처를 사용하는 목적들을 위해 0 EPE를 갖는다. 일부 실시예들에서, 이 개략화는 충분히 우수할 수도 있다.
피처 형상을 추정하는 보다 정교화된 방법 및 따라서 LUT로의 인덱싱 목적들을 위한 가시도는 도 18의 플로우차트로 예시된다. 도 18은 도 16의 방법 1502와 유사하게 시작하는 PPC 방법 1503을 예시하지만, 동작 1520 (피처 선택) 후에, 방법은 동작 1530에서 피처 위에 로딩된 플라즈마 플럭스를 추정하도록, 그리고 병렬 동작 1535에서 에칭 동안 시간 t에서 피처의 가시도를 추정하도록 진행한다. 후자는 (피처의 설계 레이아웃을 매칭하는 수직 측벽들을 가정하는) 방금 기술된 바와 같이 이루어질 수도 있고 또는 보다 미묘한 (nuanced) 최초 추측 (예컨대, 캘리브레이션, 등 전에 발견된 형상을 사용하여, 피처 개구부로부터 베이스로 어느 정도 디폴트된 대략적인 테이퍼를 가정하는) 이 채용될 수도 있다. 어떠한 경우든, 형상은 ROM LUT로 인덱싱하기 위해 그리고 추정된 EPE를 결정하기 위해 나중에 동작 1535로부터 로딩된 플럭스와 함께 동작 1550에서 사용되는 가시도를 추정하도록 사용된다. 그러나, 추정된 EPE는 피처의 형상을 나타낸다. 이에 따라, 도 18에서, 방법 1503은 (에칭 동안 시간 t에서) 피처의 가시도의 추정치를 업데이트/개량하는지 여부에 대한 결정이 이루어지는 동작 1572로 진행한다. 그렇다면, 방법은 동작 1535로 돌아가고, 현재 추정된 EPE에 기초하여 가시도를 재추정하고, 이어서 ROM LUT에서 새로운 재추정된 가시도를 검색함으로써 EPE의 보다 개량된 추정치가 획득되는 동작 1550으로 다시 진행한다. (가시도를 재추정하는 것 및 이로부터 EPE를 재추정하는 것의) 반복은 고정된 횟수 동안 또는 가시도 및/또는 EPE에 대해 수렴에 도달할 때까지 계속될 수도 있고, 동작 1572의 결정 로직에 의해 서술된 바와 같이, 이 후에 동작 1590에서, (이전 도면들의 방법들 1501 및 1502와 유사하게)재추정된 EPE에 기초하여 최초 설계 레이아웃이 수정된다.
물론, 로딩된 플럭스들 및 가시도는 IFPF를 평가하기 위한 우수한 CPM를 구성하지만, IFPF의 다른 정량적 특성이 양호한 대용물들, 또한 예컨대 피처 내 플라즈마 자체의 직접적인 물리적 특성일 수도 있다. 예를 들어, 피처 내 실제 이온 및 중성 플라즈마 플럭스 밀도들의 면에서 LUT를 직접적으로 구현할 수 있을 것이다. 상기 도 13b의 논의를 참조하라.
언급된 바와 같이, ROM LUT는 IFPF를 특징화하는 전술한 정량들로부터 EPE를 계산하기 위한 매우 고속의 메커니즘을 구성한다. 그러나, 일부 경우들에서, ROM LUT는 여전히 꽤 큰일 수도 있고, 따라서 다양한 최적화 절차들이 LUT 성능을 개선하기 위해 채용될 수도 있다. 예를 들어, LUT는 입력들의 하나 이상의 필드들에 기초하여 소팅되고 저장될 수도 있다. 1차 소팅 기준, 2차 소팅 기준, 등등으로 어느 필드가 사용되는지는 특정한 실시예에 따를 수도 있다. 일부 실시예들에서, 상기 언급된 바와 같이, 에지 형상 지표 필드는 1차 소팅 기준으로서 사용될 수도 있다. 유의미한 방법으로 ROM 표를 소팅하는 것은 관련 입력 또는 입력들을 찾기 위해 검색될 수도 있는 속도를 상승시킨다 (검색될 정량의 값-예를 들어, 에지 형상 지표, 플라즈마 이온 플럭스, 플라즈마 중성자 플럭스, 등-과 표의 관련 필드들에 보유된 값들 간에 요구된 비교 동작들의 수를 감소시킴으로써). 종종, 검색되는 관련 정량들의 정확한 값들은 ROM LUT 내에 존재하지 않는다. 이러한 경우라면, 가장 가까운 이웃하는 입력들 (정확한 검색된 값들에 대해 가장 가까움) 및/또는 정확한 검색된 값에 이웃하는 일부 기준에 피팅하는 입력들을 식별할 수도 있고, 이들 입력들 간을 인터폴레이션할 수도 있다. 일부 실시예들에서, 예를 들어, 다변수 다항식-기반 인터폴레이션 스킴이 채용될 수도 있다.
그러나, 일부 실시예들에서, 보다 정교한 "인터폴레이션"은 다변수 머신 러닝 모델들을 사용하여 달성될 수도 있다. 실시예에 따라, 이러한 MLM은 관리되지 않을 수도 있고 (unsupervised) 또는 부분적으로 관리될 수도 있고, 이러한 방법들은 "Gradient Boosting Machine", "Deep Learning" 및 "Distributed Random Forest"로 머신 러닝 및/또는 통계 과학 분야에 공지된 것들을 포함할 수도 있다.
"Random Forest" 기법에 대하여, 예를 들어: Breiman, Leo, "Random forests", Machine learning 45.1 (2001): 5-32; Verikas, Antanas, Adas Gelzinis, and Marija Bacauskiene, "Mining data with random forests: A survey and results of new tests", Pattern Recognition 44.2 (2011): 330-349; 및 Segal, Mark R., "Machine learning benchmarks and random forest regression", Center for Bioinformatics & Molecular Biostatistics (2004) 을 참조하라; 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
유사하게, 이들 분야에서 "Gradient Boosting Machines"로 일반적으로 지칭되는 기법들에 대해, 예를 들어: Friedman, Jerome H., "Greedy function approximation: a gradient boosting machine", Annals of statistics (2001): 1189-1232; Friedman, Jerome H., "Stochastic gradient boosting", Computational Statistics & Data Analysis 38.4 (2002): 367-378; 및 Schapire, Robert E., "The boosting approach to machine learning: An overview", Nonlinear estimation and classification, Springer New York, 2003, 149-171을 참조하라; 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
마지막으로, 이들 분야에서 "Deep Learning"으로 일반적으로 지칭된 기법들에 대해, 예를 들어: Krizhevsky, Alex, Ilya Sutskever, and Geoffrey E. Hinton, "Imagenet classification with deep convolutional neural networks", Advances in neural information processing systems, 2012; LeCun, Yann, et al. "Backpropagation applied to handwritten zip code recognition", Neural computation 1.4 (1989): 541-551; 및 Schmidhuber, J
Figure 112017079128133-pat00003
rgen, "Deep learning in neural networks: An overview", Neural Networks 61 (2015): 85-117을 참조하라; 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
이들 기법들은 (다시, 실시예에 따라) LUT로의 인덱싱을 위해 상기 기술된 바와 같이 사용될 정량들 (예컨대 플라즈마 이온 플럭스 및 중성자 플럭스) 과 EPE 간의 충분히 통계적으로 정확한 상관 관계를 결정하도록 사용될 수 있다.
일반적으로, ROM LUT를 구성하기 위해 사용되는 것과 유사한 데이터-세트는 또한 선택된 MLM을 전개 (즉, 교육) 하기 위한 트레이닝 세트로서 사용될 것이다. 그러나, MLM을 트레이닝할 때, ROM LUT에서 효율적으로 검색가능한 것보다 훨씬 보다 큰 버전의 이 데이터세트를 사용하는 것이 가능하다. 즉, MLM은 평가-효율 다변수 모델을 생성하기 위해 전체 데이터 세트를 사용하여 오프라인으로 트레이닝되는 한편, 새로운 피처의 EPE가 PPC 절차에서 목표될 때마다 전체 (트레이닝) 데이터세트를 검색하는데 효율적이지 않을 수도 있다. 물론, 일단 MLM이 데이터세트에 기초하여 트레이닝되면-데이터세트의 일부는 효과적으로 검색가능한 LUT를 구성하도록 선택될 수 있음-, MLM은 평이한 LUT에서와 같이 EPE와 플라즈마 이온 플럭스 및/또는 중성자 플럭스 (예를 들어) 간 관계를 구현하고, 따라서 일부 레벨의 MLM은 다변수 모델의 평가시, 여전히 IFPF를 나타내는 하나 이상의 정량들과 EPE의 정량적 특성 간의 비교를 하지만, 정확한 정량들 및 이루어진 비교들은 원래 데이터세트에 대해 트레이닝될 때 MLM의 동작의 맥락에서 일어날 것이다. 어떠한 경우든, 일단 MLM이 트레이닝되고 원래 데이터세트에 대해 유효성이 검사되면, PPC 절차에서 효과적으로 사용될 수도 있는 예측 수학적 관계를 확립한다.
이들 PPC 기법들의 정확도를 개선하는 또 다른 방법은 에칭 시간-기반 (또는 에칭 깊이-기반) 반복 스킴을 채용한다. 도 19a 및 도 19b는 유용한 반대되는 예시를 제공한다. 도 19a는 "일-시간-스텝 (one-time-step)" 방법을 개략적으로 예시하고 EPE를 추정하기 위해 단일 시간 스텝에서 피처의 상단으로부터 베이스로 이동하는 것을 보여준다. 따라서, IFPF의 다양한 정량적 특성의 추정, 뿐만 아니라 추정된 EPE에 도달하기 위한 ROM LUT의 이들 정량들의 검색이 단일 에칭 시간 t (또는 단일 에칭 깊이) 에서만 이루어진다. 이는 예를 들어, 도 15, 도 16 및 도 18의 동작들의 세트에 의해 예시된다. 그러나, "멀티-시간-스텝" 방법은 또한 EPE를 연산하기 위해 채용될 수도 있다. 이는 마지막으로 △xEPE의 "최종" 값이 연산되는 피처의 베이스로 복수의 에칭 깊이들 (z 1, z 2, ... z N) 에 대응하는 복수의 에칭 시간들 (t 1, t 2, ... t N) 에서 연산된 △xEPE의 복수의 값들을 도시하는 도 19b에 예시된다.
가장 단순한 다단계 버전에서, 단지 2 개의 시간 스텝들이 있을 것이다. 따라서, 제 1 에칭 시간 t = t 1에서 IFPF의 정량적 특성 (예를 들어, 로딩된 플럭스들 및 가시도) 의 추정을 수행할 것이고, 시간 t 1에 대응하는 ROM LUT의 값들에를 비교함으로써 시간 t 1에서 EPE를 추정하기 위해 정량적 특성의 추정치를 사용할 것이고, 이어서 제 2 에칭 시간 t = t 2에 대해 절차를 반복할 것이다. 그러나, 제 2 시간 근방에서, 제 1 반복 동안 얻어진 정보의 장점을 취할 것이고, 후속하는 반복들에 대해 그렇게 된다. 따라서, 예를 들어, 피처가 시간 t 2에서 시간 t 1에 비해 변화되기 때문에, 로딩된 플럭스들 및 VC는 이 정보에 기초하여 이에 따라 조정될 수도 있고, 이어서 이들 업데이트된 값들은 시간 t 2에 대응하는 ROM LUT의 입력들과 비교하기 위해 사용된다.
많은 시간 스텝들이 목표되면 유사하게 연산을 진행할 수도 있다. 이러한 멀티-시간-스텝 방법은 도 20에 예시된다. 도 20의 방법 1504는 동작들 1540 및 1550이 (동작 1550에서) 제 1 EPE를 추정하기 위해 고려된 에칭 시간 t i = t 1에서 먼저 수행되는 것을 제외하고 도 16 및 도 18의 방법들 1501 및 1502과 유사하게 진행한다. 이어서 방법 1504는 현재 에칭 시간 t i이 최종 총 에칭 시간보다 작은지 여부가 결정되는 동작 1573으로 진행한다. 그렇다면, 방법은 시간 인덱스 "i" (t i + 1 > t i) 를 증분하고, IFPF의 정량적 특성이 재추정되는 동작 1540으로 돌아가고, 이어서 업데이트된 시간에 EPE를 재추정하도록 다시 동작 1550으로 진행한다. 동작 1773에서 현재 시간 t i이 최종/총 에칭 시간 이상이라고 결정될 때까지 반복이 계속되어, 방법은 최초 설계 레이아웃이 최종 추정된 EPE 및/또는 중간 시간들에 계산된 중간 EPE에 기초하여 수정되는 동작 1590으로 진행하고, 방법이 종료된다. 부가적으로, -점점 더 우수한 가시도 추정치에 도달하기 위해-도 18에 도시된 반복 스킴과 -직전에 기술된 바와 같이 반복적인 시간/깊이 슬라이스를 수반하는-도 20의 반복 스킴을 결합하는 것이 가능하다는 것을 주의한다.
일부 마스킹된 에칭 프로세스들은 스택의 상이한 층들이 상이한 재료 조성들을 가질 수도 있는 재료의 다층 스택의 에칭을 수반할 수도 있다. 일 마스크를 사용하여 이러한 가정이 이루어지면 (즉, 다층 에칭 프로세스들이 포토레지스트의 동일한 패턴을 받고, 이어서 설계 레이아웃의 효과적인 PPC를 수행하면), 단순히 개별 층의 에칭과 연관된 EPE보다 전체 다층 에칭 프로세스 (단일 포토레지스트 패턴을 받음) 에 대응하는 누적 EPE에 관심이 많다.
ROM LUT로의 인덱싱을 위해 CPM의 사용을 통해 EPE를 연산하기 위해 상기 기술된 절차들이 이를 달성하기 위해 사용될 수도 있지만, 실제로 이를 실행할 수도 있는 방법에는 몇몇 변형들이 있다. 일 방법은, 전체 다층 에칭 프로세스에 대응하는 단지 큰 ROM LUT를 구축하는 것이다. 상기 기술된 ROM LUT는 시간/깊이 필드를 포함할 수도 있기 때문에 (예를 들어, 도 13b 및 도 13c 참조), 이 필드는 전체 프로세스 동안 누적 EPE일, 적절한 EPE를 위치시키기 위한 LUT로 인덱싱하는 방법을 제공한다. 즉, ROM을 이 방식으로 구축하는 것은 원리적으로, 상이한 재료 층들의 존재, 이들의 두께, 등을 이미 효과적으로 설명하였다. 그러나, 이는 실제로 정확해지도록, 도 20에 대해 직전에 기술된 반복적인 시간/깊이-슬라이싱 스킴을 구현하는 것이 중요할 수도 있다는 것을 주의한다. 예를 들어, 상이한 층들이 상이한 재료 조성들을 갖고, 더욱이 상이한 에칭 화학물질들이 다양한 층들을 통과하여 에칭하도록 사용될 수도 있는 다층 스택의 맥락에서, 추정된 EPE의 정확도는 상이한 층들의 깊이들 (또는 에칭-시간들) 에 시간/깊이-슬라이싱 반복들을 매칭함으로써 급격하게 개선될 수도 있다. 이 때, 화학물질들이 변화하기 때문에, 단일 층의 하단부에서 EPE는 전체 다층 스택의 상단부에서보다 동일한 층의 상단부에서 IFPF의 특성들과만 잘-상관될 수도 있다.
(누적 방식으로) 전체 다층 에칭 프로세스를 대표하는 단일 모놀리식 LUT를 사용하는 것이 많은 환경들에서 효과적일 수도 있지만, 다층 스택 문제를 다루는 잠재적으로 보다 유연한 방식은 각각 다층 스택의 상이한 재료 층들 중 하나에 대응하는 보다 작은 ROM LUT 세트를 구축하는 것이다. 유연성은 층 각각의 재료 조성에 대응하는 LUT가 있고, LUT가 특정한 스택 구성의 층의 두께를 설명하기 충분한 에칭 시간/깊이까지의 입력들을 갖는 한, 동일한 세트의 ROM LUT가 많은 상이한 재료 스택 구성들에 대해 사용될 수도 있는, 이러한 방법의 일 장점이다. 상이한 재료들의 2 개의 층들-따라서 2 개의 대응하는 ROM LUT-의 보다 단순한 경우를 고려하면, 일 층의 베이스-즉, 밑의 층의 바로 상단-로의 에칭과 연관된 EPE를 연산하기 위해 상단 층에 대응하는 LUT로 먼저 인덱싱될 것이고, 이어서, 제 1 EPE를 가지고, 두번째 층은 하단 층의 베이스에서 EPE를 연산하기 위해 제 2 LUT로의 인덱싱 목적으로 아래에 놓인 제 2 층의 가시도를 계산하기 위해 제 1 EPE를 사용한다. 후자의 EPE는 나중에 2-층 에칭 프로세스의 누적 EPE를 나타낸다. 따라서 이는 직전에 기술된 반복적인 시간/깊이-슬라이싱 방법의 2-스텝 버전과 같지만, 스텝 각각이 별도의 LUT를 사용하는데 보다 유연하다. 아래로 다음 층 등에 대한 ROM LUT로의 인덱싱을 위해 이전에 계산된 EPE를 사용하여, 3 층 이상이 부가적인 층/재료-특정 ROM LUT와 유사하게 핸들링될 수 있다. 다층 스택을 다루기 위해 단일 ROM LUT 방법 또는 복수의 ROM LUT 방법을 사용하여, 일반적으로 말하면, 당업자에게 인식될 바와 같이, CPM 및 ROM LUT의 사용을 통해 EPE를 연산하기 위해 상기 기술된 임의의 절차들이 사용될 수도 있다.
그러나, (층 당) 복수의 LUT 방법을 사용하는 다층 에칭 프로세스들의 앞서 기술된 처리에 대해, 특정한 환경에서 층들의 조성 및 수반된 에칭 프로세스(들)의 상세들에 따라 복잡성들이 발생할 수도 있다. 일부 실시예들에서, 이들 복잡성들은 일반적으로 아래에 놓인 층 (제 2 층, 제 3 층, 등) 의 에칭이 일부 특성에 의존하고 또는 이 층 위의 하나 이상의 층들의 에칭 결과가 아래에 놓인 층에 대응하는 층-특정 LUT를 설명하지 못할 때 발생할 수도 있다. 예를 들어, 에칭될 층 위의 층들 (즉, 이미 에칭쓰루된 (etched through) 층들) 의 바로 그 존재는 아래에 놓인 층을 에칭하는 프로세스의 화학물질을 변화시킬 수도 있고 그리고/또는 영향을 줄 수도 있다. 이 변경된 화학물질이 층-특정 LUT를 구축하도록 사용된 EPE에 의해 설명되지 않는다면, 그러면 상기 LUT의 사용이 누적 EPE의 정확한 추정치들을 산출할 것이다. 이러한 경우들에서, 처리 방안은 아래에 놓인 층 위의 층들의 존재를 설명하는, EPE를 사용하여 아래에 놓인 층에 특정된 LUT를 구축하는 것일 수도 있다. 에칭될 층 위의 층들의 단순한 그림자 효과들은 실제로 VC를 통해 층-특정 LUT에 의해 잘 설명될 수도 있다는 것을 또한 주의해야 한다는 것이다. 그러나, 아래에 놓인 층에 대해 동작하는 에칭 프로세스 동안 이들의 형상들의 모든 변화들을 잘 설명하도록 위에 덮인 층(들)의 그림자 효과가 또한 고려되어야 할 것이다. 다시, 당업자는 직전에 기술된 층단위 방법이 도 20에 대해 상기 기술된 바와 유사하게 시간-슬라이싱 방법이라는 것을 또한 인식할 것이다-t 1는 스택의 제 1 층의 에칭 쓰루에 대응하고, t 2는 스택의 제 2 층의 에칭 쓰루에 대응한다-.
마지막으로, 포토마스크 생성에 사용하기 위한 포토레지스트에 대한 근접 보정된 설계 레이아웃들을 생성하는 전술한 기법들 및 반도체 에칭 동작들이 컴퓨터 시스템에서 구현될 수도 있다는 것을 주의한다. 이러한 컴퓨터 시스템은 통상적으로 하나 이상의 프로세서들, 및 하나 이상의 메모리 유닛들을 가질 것이고, 후자는 통상적으로 (하나 이상의 프로세서들 상에서 실행하기 위한) 컴퓨터 판독가능 인스트럭션들을 저장할 것이고, 인스트럭션들은 모든 전술한 방법들을 구현한다. 일부 실시예들에서, 이러한 시스템들은 컴퓨터 판독가능 매체로부터 최초 설계 레이아웃을 판독함으로써, 그리고 최종 근접 보정된 설계 레이아웃을 컴퓨터 판독가능 매체에 작성함으로써 작동할 수도 있다. 본 명세서에 개시된 기법들 및 동작들은 또한 하나 이상의 컴퓨터 판독가능 매체 상에 저장된 컴퓨터 판독가능 인스트럭션들로 구현될 수도 있다.
유사하게, 반도체 기판들을 에칭하기 위한 플라즈마 에칭 시스템들은 직전에 기술된 바와 같은 컴퓨터 시스템, 뿐만 아니라 (i) 컴퓨터 시스템으로부터 포토레지스트에 대한 근접 보정된 설계 레이아웃을 수신하고, (ii) 근접 보정된 설계 레이아웃으로부터 마스크를 형성하고, 그리고 (iii) 근접 보정된 포토레지스트 설계 레이아웃에 실질적으로 순응하는 (conform) 반도체 기판에 포토레지스트 층을 전사하도록 마스크를 사용하여 포토리소그래피 동작을 수행하도록 구성된 포토리소그래피 모듈을 포함할 수도 있다. 이러한 플라즈마 에칭 시스템들은 또한 반도체 기판과 콘택트하고 포토리소그래피 모듈에 의해 전사된 포토레지스트로 커버되지 않은 기판의 표면의 부분들을 에칭하는 플라즈마를 나중에 생성하도록 구성된 (예를 들어, 반응 챔버, 플라즈마 생성 하드웨어, 웨이퍼 지지부, 등을 갖는) 플라즈마-에칭기 컴포넌트를 포함할 것이다.
앞서 기술된 원리들, 기법들 및 방법들을 적용함으로써, 물리적-자각 PPC 솔루션이 IC 디바이스 설계자들에게 제공될 수도 있다. 미리 결정된 프로세싱 레시피 및 재료 스택에 대해, EDA (electronic design automation) 툴들은 -도 12에 대해 설명된 바와 같이- 포토레지스트에 대해 미리 결정된 들어오는 설계 레이아웃에 대한 에칭 전달 함수를 (대략적으로, 그러나 우수한 정확도로) 예측하는 능력으로 구현될 수도 있고, 이는 포토마스크 현상 비용들을 상당히 낮추고 솔루션 시간을 급격하게 단축하는 능력을 갖는다. 이전에 기술된 원리들, 기법들 및 방법들을 통해, 테스트 웨이퍼들 및 시간-집약적인 물리적 실험을 최소로 소비하여 센서티비티 매트릭스들이 신속하게 생성될 수 있는 프로세스 윈도우 라이브러리들이 이용가능하게 될 수도 있다는 것이 또한 구상된다. 이들은 아마도 새로운 막들 스택들 및 프로세스들에 대해 주기적으로 업데이트되어, 시스템 판매와 묶일 수도 있고 또는 독립적으로 판매될 수도 있다. 이에 더하여, 일부 실시예들에서, 프로세스가 미리 결정된 설계와 피팅하도록 전개되어야 하는 대신, 명시된 프로세스와 피팅하도록 이루어진 설계들을 생성하는 것이 가능할 수도 있다. 이는 잠재적으로 설계시 툴 선택들이 이루어지게 (앞서 로크-인 (locked-in) (또는 로크-아웃))할 것이고, 따라서 최소 가변성 레벨이 처음부터 설계될 수 있다. 더욱이, 초기에 계산 원리들로부터 EPE를 예측하는 능력은, 그렇지 않으면 용이하게 가능하지 않은, 앞선 결함 검출 및 분류를 허용할 수도 있다.
시스템 제어기들
시스템 제어기는 도 9a 내지 도 9c에 도시된 CCP 에칭기 장치들 및/또는 도 10에 도시된 ICP 에칭기 장치와 같은, 상기 기술된 프로세싱 장치들 중 어느 하나에서 에칭 동작들 (또는 다른 프로세싱 동작들) 을 제어하도록 사용될 수도 있다. 특히, 시스템 제어기는 상기 기술된 바와 같이 최적화된 EPM을 구현할 수도 있고, (상기 기술된 바와 같이) 최적화된 EPM을 사용하여 생성된 계산된 에칭 프로파일들에 응답하여 에칭기 장치를 조정할 수도 있다.
에칭기 장치와 통신하는 시스템 제어기의 일 예는 도 10에 개략적으로 예시되었다. 도 10에 도시된 바와 같이, 시스템 제어기 (1051) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함한다. 프로세서 (1052) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들) 및/또는 특수 목적 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 연결부(들), 하나 이상의 스텝퍼 모터 제어기 보드(들), 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (예를 들어, 도 10에서 1051) 은 프로세스 툴 (예를 들어, 도 10에서 에칭기 장치 (1000)) 의 개별 프로세스 스테이션들의 동작들을 포함하여, 프로세스 툴의 동작들 중 일부 또는 전부를 제어한다. 본 명세서에 기술된 막 증착 및/또는 에칭 프로세스들을 구현/수행하기 위해 머신-판독가능 시스템 제어 인스트럭션들 (1058) 이 제공될 수도 있다. 인스트럭션들은 시스템 제어기에 커플링될 수도 있고 그리고/또는 판독될 수도 있는 머신-판독가능, 비일시적 매체 상에 제공될 수도 있다. 인스트럭션들은 프로세서 (1052) 상에서 실행될 수도 있다 -일부 실시예들에서, 시스템 제어 인스트럭션들은 대용량 저장 디바이스 (1054) 로부터 메모리 디바이스 (1056) 로 로딩된다-. 시스템 제어 인스트럭션들은 타이밍, 가스성 및 액체 반응물질들의 혼합물, 챔버 및/또는 스테이션 압력들, 챔버 및/또는 스테이션 온도들, 웨이퍼 온도들, 타깃 전력 레벨들, RF 전력 레벨들 (예를 들어, DC 전력 레벨들, RF 바이어스 전력 레벨들), RF 노출 시간들, 기판 페데스탈, 척, 및/또는 서셉터 위치들, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다.
반도체 기판 프로세싱 동작들은 이로 제한되는 것은 아니지만, 기판들 상의 막의 에칭과 관련된 프로세스들 (예컨대 표면 흡착된 에천트들의 플라즈마-활성화를 수반하는 ALE (atomic layer etch), 예를 들어, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된, 명칭이 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS"인 2014년 11월 12일 출원된 미국 특허 출원 번호 제 14/539,121 호를 참조하라), 증착 프로세스들 (예컨대 표면 흡착된 막 전구체들의 플라즈마-활성화에 의한 ALD (atomic layer deposition)), 뿐만 아니라 다른 타입들의 기판 프로세싱 동작들을 포함하는 다양한 타입들의 프로세스들을 채용할 수도 있다.
따라서, 예를 들어, 플라즈마-기반 에칭 프로세스들을 수행하기 위한 프로세싱 장치에 대해, 시스템 제어기에 의해 실행된 머신-판독가능 인스트럭션들은 최적화된 EPM으로부터 계산된 에칭 프로파일을 생성하고 계산된 에칭 프로파일에 응답하여 플라즈마 생성기의 동작을 조정하기 위한 인스트럭션들을 포함할 수도 있다.
시스템 제어 인스트럭션들 (1058) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양안 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들은 소프트웨어로 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어로 -예를 들어, ASIC (application specific integrated circuit) 의 로직으로 하드코딩되거나, 다른 실시예들에서, 소프트웨어 및 하드웨어의 조합으로 구현된다-.
일부 실시예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 및/또는 에칭 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 막 증착 및/또는 에칭 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 증착 및/또는 에칭 레시피 페이즈 내에 포함될 수도 있다. 일부 실시예들에서, 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 레시피 페이즈들이 연속적으로 배열될 수도 있다.
시스템 제어기 (1051) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터-판독가능 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 상에 기판을 로딩하고 기판과 프로세스 툴의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 기판들 상에 막을 증착하고 그리고/또는 에칭하는데 필요한대로 기판들을 반응 챔버 내외로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션들 주변의 볼륨들 내의 압력을 안정화시키기 위해 증착 및/또는 에칭 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상의 막 증착 및/또는 에칭 동작들 동안 프로세싱 챔버 내의 하나 이상의 프로세스 스테이션들 주변의 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 동일한 지속기간 동안, 동일한 레이트로 또는 증착되는 막의 조성 및/또는 수반된 에칭 프로세스의 특성에 따라 상이한 지속기간들 동안 그리고/또는 상이한 레이트들로 이들 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내에 헬륨 또는 일부 다른 캐리어 가스의 존재 시에 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 그리고/또는 기판들의 에칭 동안 동일한 또는 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
가열기 제어 프로그램은 기판들을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 그리고/또는 기판들의 에칭 동안 프로세스 스테이션들 주변의 볼륨들 및/또는 반응 챔버 내에서 동일하거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 막 증착 그리고/또는 기판들의 에칭 동안에 동일하거나 상이한 RF 전력 레벨들, 및/또는 주파수들, 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도 (예를 들어, 기판 홀더 및 샤워헤드 온도들), 압력들, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스들을 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
상기 기술된 다양한 장치들 및 방법들은 예를 들어, 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 및/또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만 공통 제조 설비 내에서 함께 및/또는 동시에 이러한 툴들이 사용되거나 프로세스들이 수행될 것이다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 (ICP 또는 CCP를 채용하는) 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
다른 실시예들
전술하여 개시된 기법들, 동작들, 프로세스들, 방법들, 시스템들, 장치들, 툴들, 막들, 화학물질들 및 조성들이 명료함과 이해를 향상시키기 위한 목적으로 구체적인 실시예들의 맥락 내에서 상세히 기술되었으나, 본 개시의 정신 및 범위 내에 있는 전술한 실시예들을 구현하는 다양한 대안적인 방법들이 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 명세서에서 기술된 실시예들은 제한적으로 보여지기 보다는 개시된 진보한 컨셉들의 예시적인 것들로 보여져야 하며, 궁극적으로 본 개시의 주제로 지향되는 임의의 청구항들의 범위를 과도하게 제한하는 허용할 수 없는 근거로 사용되서는 안 된다.

Claims (30)

  1. 에칭 동작에서 사용될 근접 보정된 설계 레이아웃을 생성하는 계산 방법에 있어서,
    (a) 반도체 기판 상의 재료가 최초 설계 레이아웃에 대응하는 패터닝된 층으로 덮일 때, 프로세스 조건들의 세트 하에서 프로세싱 챔버 내에서 수행된 플라즈마 기반 에칭 프로세스를 통해 상기 반도체 기판의 표면 상의 상기 재료 내로 에칭될 피처에 대한 상기 최초 설계 레이아웃을 수신하는 단계;
    (b) 상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 하나 이상의 피처-내 파라미터들을 추정하는 단계;
    (c) 상기 플라즈마 기반 에칭 프로세스 동안 머신 러닝 모델 또는 상기 하나 이상의 에칭 프로세스 조건들의 값들에 대한 EPE (edge placement error) 의 값들 또는 EPE의 정량적 특성을 제공하는 LUT (look-up table) 에 상기 단계 (b) 에서 추정된 상기 하나 이상의 피처-내 파라미터들을 입력함으로써 상기 EPE 또는 상기 피처의 에지의 상기 EPE의 정량적 특성을 추정하는 단계; 및
    (d) 수정된 설계 레이아웃을 생성하기 위해 상기 EPE 또는 EPE의 정량적 특성에 기초하여 상기 최초 설계 레이아웃을 수정하는 단계를 포함하는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  2. 제 1 항에 있어서,
    상기 패터닝된 층은 포토레지스트 층을 포함하는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  3. 제 1 항에 있어서,
    상기 피처가 에칭되는 상기 재료는 재료들의 스택인, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  4. 제 1 항에 있어서,
    하나 이상의 부가적인 피처들을 위해 상기 단계 (a) 내지 상기 단계 (d) 를 반복하는 단계를 더 포함하는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 상기 하나 이상의 피처-내 파라미터들은 피처-내 플라즈마 플럭스 파라미터를 포함하는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  6. 제 1 항에 있어서,
    상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 상기 하나 이상의 피처-내 파라미터들은 상기 피처 위에 로딩된 플라즈마 플럭스를 포함하는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  7. 제 6 항에 있어서,
    상기 로딩된 플라즈마 플럭스는 상기 프로세싱 챔버 내에서 원거리 전역 (far-field global) 플라즈마 플럭스들의 하나 이상의 특성들에 기초하여 상기 단계 (b) 에서 추정되는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  8. 제 7 항에 있어서,
    원거리 전역 플라즈마 플럭스들의 상기 하나 이상의 특성들은 프로세싱 챔버 조건들을 설명하는 계산된 플라즈마 모델을 사용하여 계산되는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  9. 제 1 항에 있어서,
    상기 단계 (b) 및 상기 단계 (c) 는 시간 t 1에서 상기 EPE 또는 상기 EPE의 정량적 특성을 추정하도록 t = t 1 동안 수행되고, 그리고,
    상기 방법은 시간 t 2에서 상기 EPE 또는 상기 EPE의 정량적 특성을 추정하도록 t = t 2 (> t 1) 동안 상기 단계 (b) 및 상기 단계 (c) 를 수행하는 단계를 더 포함하고,
    상기 최초 설계 레이아웃은 시간들 t1 t2 에서 상기 EPE 또는 상기 EPE의 정량적 특성의 추정된 값들에 기초하여 상기 단계 (d) 에서 수정되는, 근접 보정된 설계 레이아웃을 생성하는 계산 방법.
  10. 마스크 설계를 생성하는 방법에 있어서,
    제 1 항에 기재된 방법을 사용하여 포토레지스트에 대한 수정된 설계 레이아웃을 생성하는 단계;
    상기 수정된 설계 레이아웃에 기초하여 마스크 설계를 생성하는 단계를 포함하는, 마스크 설계를 생성하는 방법.
  11. 반도체 기판을 에칭하는 방법에 있어서,
    제 10 항에 기재된 방법을 사용하여 마스크 설계를 생성하는 단계;
    상기 마스크 설계에 기초하여 마스크를 형성하는 단계;
    상기 재료 상에 패터닝된 포토레지스트를 제공하기 위해 상기 마스크를 사용하여 포토리소그래피 동작을 수행하는 단계로서, 상기 포토레지스트 패턴은 상기 수정된 설계 레이아웃에 실질적으로 순응하는 (conform), 상기 포토리소그래피 동작을 수행하는 단계; 및
    반도체 기판을 에칭하는 플라즈마에 상기 반도체 기판을 노출하는 단계를 포함하는, 반도체 기판을 에칭하는 방법.
  12. 에칭 동작에서 사용될 근접 보정된 설계 레이아웃을 생성하기 위한 컴퓨터 판독가능 인스트럭션들을 포함하는 컴퓨터 판독가능 매체에 있어서,
    상기 컴퓨터 판독가능 인스트럭션들은,
    (a) 반도체 기판 상의 재료가 최초 설계 레이아웃에 대응하는 패터닝된 층으로 덮일 때, 프로세스 조건들의 세트 하에서 프로세싱 챔버 내에서 수행된 플라즈마 기반 에칭 프로세스를 통해 상기 반도체 기판의 표면 상의 상기 재료 내로 에칭될 피처에 대한 상기 최초 설계 레이아웃을 수신하기 위한 인스트럭션;
    (b) 상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 하나 이상의 피처-내 파라미터들을 추정하기 위한 인스트럭션;
    (c) 상기 플라즈마 기반 에칭 프로세스 동안 머신 러닝 모델 또는 상기 하나 이상의 에칭 프로세스 조건들의 값들에 대한 EPE (edge placement error) 의 값들 또는 EPE의 정량적 특성을 제공하는 LUT (look-up table) 에 상기 인스트럭션 (b) 에서 추정된 상기 하나 이상의 피처-내 파라미터들을 입력함으로써 상기 EPE 또는 상기 피처의 에지의 상기 EPE의 정량적 특성을 추정하기 위한 인스트럭션; 및
    (d) 수정된 설계 레이아웃을 생성하기 위해 상기 EPE 또는 EPE의 정량적 특성에 기초하여 상기 최초 설계 레이아웃을 수정하기 위한 인스트럭션을 포함하는, 컴퓨터 판독가능 매체.
  13. 제 12 항에 있어서,
    상기 패터닝된 층은 포토레지스트 층을 포함하는, 컴퓨터 판독가능 매체.
  14. 제 12 항에 있어서,
    상기 피처가 에칭되는 상기 재료는 재료들의 스택인, 컴퓨터 판독가능 매체.
  15. 제 12 항에 있어서,
    하나 이상의 부가적인 피처들을 위해 상기 인스트럭션 (a) 내지 상기 인스트럭션 (d) 을 반복하기 위한 인스트럭션을 더 포함하는, 컴퓨터 판독가능 매체.
  16. 제 12 항에 있어서,
    상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 상기 하나 이상의 피처-내 파라미터들은 피처-내 플라즈마 플럭스 파라미터를 포함하는, 컴퓨터 판독가능 매체.
  17. 제 12 항에 있어서,
    상기 플라즈마 기반 에칭 프로세스 동안 상기 피처 내 조건들을 반영하는 상기 하나 이상의 피처-내 파라미터들은 상기 피처 위에 로딩된 플라즈마 플럭스를 포함하는, 컴퓨터 판독가능 매체.
  18. 제 17 항에 있어서,
    상기 인스트럭션 (b) 은 상기 프로세싱 챔버 내에서 원거리 전역 플라즈마 플럭스들의 하나 이상의 특성들에 기초하여 상기 로딩된 플라즈마 플럭스를 추정하기 위한 인스트럭션을 포함하는, 컴퓨터 판독가능 매체.
  19. 제 18 항에 있어서,
    상기 인스트럭션 (b) 은 프로세싱 챔버 조건들을 설명하는 컴퓨터화된 플라즈마 모델을 사용하여 원거리 전역 플라즈마 플럭스들의 상기 하나 이상의 특성들을 계산하기 위한 인스트럭션을 포함하는, 컴퓨터 판독가능 매체.
  20. 제 12 항에 있어서,
    상기 컴퓨터 판독가능 인스트럭션들은 시간 t 1에서 상기 EPE 또는 상기 EPE의 정량적 특성을 추정하도록 t = t 1 동안 상기 인스트럭션 (b) 및 상기 인스트럭션 (c) 을 수행하기 위한 인스트럭션을 더 포함하고, 그리고,
    상기 컴퓨터 판독가능 인스트럭션들은 시간 t 2에서 상기 EPE 또는 상기 EPE의 정량적 특성을 추정하도록 t = t 2 (> t 1) 동안 상기 인스트럭션 (b) 및 상기 인스트럭션 (c) 를 수행하기 위한 인스트럭션을 더 포함하고,
    상기 인스트럭션 (d) 에서 상기 최초 설계 레이아웃을 수정하기 위한 인스트럭션은 시간들 t1 t2 에서 상기 EPE 또는 상기 EPE의 정량적 특성의 추정된 값들을 사용하기 위한 인스트럭션을 포함하는, 컴퓨터 판독가능 매체.
  21. 제 12 항에 있어서,
    상기 수정된 설계 레이아웃에 기초하여 마스크 설계를 생성하기 위한 인스트럭션들을 더 포함하는, 컴퓨터 판독가능 매체.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020170077116A 2016-06-21 2017-06-19 물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정 KR102415463B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/188,910 2016-06-21
US15/188,910 US10197908B2 (en) 2016-06-21 2016-06-21 Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework

Publications (2)

Publication Number Publication Date
KR20170143445A KR20170143445A (ko) 2017-12-29
KR102415463B1 true KR102415463B1 (ko) 2022-06-30

Family

ID=60660150

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170077116A KR102415463B1 (ko) 2016-06-21 2017-06-19 물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정

Country Status (6)

Country Link
US (2) US10197908B2 (ko)
JP (1) JP2017227892A (ko)
KR (1) KR102415463B1 (ko)
CN (2) CN113420523A (ko)
SG (1) SG10201705049VA (ko)
TW (1) TWI738796B (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102466464B1 (ko) * 2015-08-03 2022-11-14 삼성전자주식회사 광 근접 보정을 위해 초기 바이어스 값을 제공하는 방법, 및 그 초기 바이어스 값에 기초한 광 근접 보정을 수반하는 마스크 제작 방법
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
EP3475760B1 (en) 2016-12-30 2020-02-12 Google LLC Compensating deposition non-uniformities in circuit elements
US10269663B2 (en) * 2017-01-06 2019-04-23 Varian Semiconductor Equipment Associates, Inc. Critical dimensions variance compensation
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US11841391B1 (en) * 2017-09-15 2023-12-12 Eysight Technologies, Inc. Signal generator utilizing a neural network
DE102018125109B4 (de) * 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
US10692203B2 (en) 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
CN110416111B (zh) * 2018-04-28 2022-05-27 北京北方华创微电子装备有限公司 实现多个腔室匹配的方法和实现多个腔室匹配的装置
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量系统与方法
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10930531B2 (en) 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
CN112889140B (zh) * 2018-11-12 2023-06-02 株式会社日立高新技术 推定缺陷的发生的系统以及计算机可读介质
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI776015B (zh) * 2019-01-30 2022-09-01 晶喬科技股份有限公司 半導體元件的製程開發方法以及系統
KR20210130784A (ko) * 2019-03-25 2021-11-01 에이에스엠엘 네델란즈 비.브이. 패터닝 공정에서 패턴을 결정하는 방법
CN118210204A (zh) 2019-05-21 2024-06-18 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN114008533A (zh) 2019-06-20 2022-02-01 Asml荷兰有限公司 用于图案化过程建模的方法
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
US11106855B2 (en) * 2019-09-17 2021-08-31 Taiwan Semiconductor Manufacturing Company Limited Pre-characterization mixed-signal design, placement, and routing using machine learning
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
CN111308857B (zh) * 2020-02-25 2023-08-11 上海华力集成电路制造有限公司 一种转角opc检查方法
KR102416787B1 (ko) * 2020-03-25 2022-07-05 한국핵융합에너지연구원 플라즈마 시뮬레이션을 위한 데이터의 입력 장치 및 방법
KR20210133364A (ko) 2020-04-28 2021-11-08 삼성전자주식회사 반도체 장치의 제조를 위한 방법 및 컴퓨팅 장치
KR20220001262A (ko) 2020-06-29 2022-01-05 삼성전자주식회사 반도체 공정의 근접 보정 방법
US11194951B1 (en) * 2020-08-31 2021-12-07 Siemens Industry Software Inc. Optical proximity correction model verification
CN112485976B (zh) * 2020-12-11 2022-11-01 上海集成电路装备材料产业创新中心有限公司 基于逆向刻蚀模型确定光学临近修正光刻目标图案的方法
KR20230016112A (ko) 2021-07-23 2023-02-01 삼성전자주식회사 반도체 장치의 제조를 위한 전자 장치 및 전자 장치의 동작 방법
US20230092729A1 (en) * 2021-09-20 2023-03-23 Kla Corporation Semiconductor Profile Measurement Based On A Scanning Conditional Model
US20230222264A1 (en) * 2022-01-07 2023-07-13 Applied Materials, Inc. Processing chamber calibration
CN114898169B (zh) * 2022-03-10 2024-04-12 武汉大学 一种基于深度学习的光刻opc数据库建立方法
CN115408650B (zh) * 2022-08-03 2023-04-28 武汉宇微光学软件有限公司 光刻胶多级串连表征网络的建模、校准、仿真方法和系统
WO2024041831A1 (en) * 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5421934A (en) 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6268226B1 (en) 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
WO2001056072A1 (de) 2000-01-25 2001-08-02 Infineon Technologies Ag Verfahren zur überwachung eines herstellungsprozesses
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US20030113766A1 (en) 2000-10-30 2003-06-19 Sru Biosystems, Llc Amine activated colorimetric resonant biosensor
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6650423B1 (en) 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6753115B2 (en) 2001-12-20 2004-06-22 Numerical Technologies, Inc. Facilitating minimum spacing and/or width control optical proximity correction
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US7402257B1 (en) 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US20050074907A1 (en) 2003-10-06 2005-04-07 Adrian Kriz Semi-conductor wafer fabrication
US7523076B2 (en) 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
JP5112624B2 (ja) * 2004-09-01 2013-01-09 ラム リサーチ コーポレーション プロセスチャンバ及びプラズマチャンバを操作する方法
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7739651B2 (en) 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7253008B2 (en) 2004-12-28 2007-08-07 Sandia Corporation Reactive ion etched substrates and methods of making and using
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7588946B2 (en) 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN1940715A (zh) * 2005-09-27 2007-04-04 力晶半导体股份有限公司 光掩模图案的校正方法及其形成方法
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US20070249071A1 (en) 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7525673B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
RU2410828C2 (ru) * 2006-09-15 2011-01-27 Абб Швайц Аг Способ эксплуатации преобразовательной схемы и устройство для осуществления способа
US7949618B2 (en) 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5036450B2 (ja) 2007-08-16 2012-09-26 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
US7812966B2 (en) 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
KR101374932B1 (ko) 2007-09-28 2014-03-17 재단법인서울대학교산학협력재단 확산 제한 식각과정에 의한 수평 변환 다공성 실리콘 광학필터의 제조방법 및 그에 의한 필터구조
EP2223245B1 (en) 2007-11-30 2011-07-20 Coventor, Inc. System and method for three-dimensional schematic capture and result visualization of multi-physics system models
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US8649016B2 (en) 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
WO2011158239A1 (en) 2010-06-17 2011-12-22 Nova Measuring Instruments Ltd. Method and system for optimizing optical inspection of patterned structures
US8494314B2 (en) 2010-07-05 2013-07-23 Infinera Corporation Fabrication tolerant polarization converter
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
KR101780874B1 (ko) 2012-10-17 2017-09-21 도쿄엘렉트론가부시키가이샤 다변량 분석을 이용한 플라즈마 에칭 종료점 검출
JP5974840B2 (ja) * 2012-11-07 2016-08-23 ソニー株式会社 シミュレーション方法、シミュレーションプログラム、シミュレータ、加工装置、半導体装置の製造方法
TWI512389B (zh) * 2013-02-22 2015-12-11 Globalfoundries Us Inc 定向自組裝製程/鄰近校正之方法
TWI621957B (zh) 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
TWI668725B (zh) 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US10895810B2 (en) 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
JP6177671B2 (ja) * 2013-11-25 2017-08-09 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラムおよびシミュレータ
JP6318007B2 (ja) 2013-11-29 2018-04-25 株式会社日立ハイテクノロジーズ データ処理方法、データ処理装置および処理装置
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
US9659126B2 (en) 2014-01-26 2017-05-23 Coventor, Inc. Modeling pattern dependent effects for a 3-D virtual semiconductor fabrication environment
CN105225979A (zh) 2014-06-19 2016-01-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件制程预测系统和方法
US10191366B2 (en) * 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
US10191376B2 (en) * 2014-08-19 2019-01-29 Intel Corporation Cross scan proximity correction with ebeam universal cutter
CN104820787A (zh) * 2015-05-13 2015-08-05 西安电子科技大学 基于fpga芯片的电路系统功耗预测方法
KR20170047101A (ko) 2015-10-22 2017-05-04 삼성전자주식회사 Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10599789B2 (en) * 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
CN105516022B (zh) * 2016-01-27 2018-10-09 盛科网络(苏州)有限公司 在芯片中实现802.11 QoS优先级值灵活映射的方法
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10346740B2 (en) 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US20180239851A1 (en) 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US20190049937A1 (en) 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization

Also Published As

Publication number Publication date
CN107526864A (zh) 2017-12-29
CN113420523A (zh) 2021-09-21
JP2017227892A (ja) 2017-12-28
CN107526864B (zh) 2021-06-08
KR20170143445A (ko) 2017-12-29
US10585347B2 (en) 2020-03-10
US10197908B2 (en) 2019-02-05
US20170363950A1 (en) 2017-12-21
SG10201705049VA (en) 2018-01-30
US20190250501A1 (en) 2019-08-15
TWI738796B (zh) 2021-09-11
TW201809856A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
KR102415463B1 (ko) 물리-기반 에칭 프로파일 모델링 프레임워크를 통해 고속 에지 배치 에러 예측을 통한 포토레지스트 설계 레이아웃 패턴 근접 보정
KR102561374B1 (ko) 에지 배치 에러 (epe: edge placement error) 예측을 통한 설계 레이아웃 패턴 근접 보정
US10254641B2 (en) Layout pattern proximity correction through fast edge placement error prediction
US10303830B2 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10386828B2 (en) Methods and apparatuses for etch profile matching by surface kinetic model optimization
US20190049937A1 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10997345B2 (en) Method of etch model calibration using optical scatterometry
KR20200139800A (ko) Cd-sem을 사용한 프로세스 시뮬레이션 모델 캘리브레이션

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant