KR100958714B1 - 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 - Google Patents
리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 Download PDFInfo
- Publication number
- KR100958714B1 KR100958714B1 KR1020087005687A KR20087005687A KR100958714B1 KR 100958714 B1 KR100958714 B1 KR 100958714B1 KR 1020087005687 A KR1020087005687 A KR 1020087005687A KR 20087005687 A KR20087005687 A KR 20087005687A KR 100958714 B1 KR100958714 B1 KR 100958714B1
- Authority
- KR
- South Korea
- Prior art keywords
- model
- exposure
- conditions
- focus
- parameters
- Prior art date
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70641—Focus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/70516—Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
리소그래피 공정의 포커스-노광 모델을 생성하는 방법 및 시스템이 개시된다. 상기 시스템 및 상기 방법은 파라미터 변동들의 다수의 디멘션들에 따라 캘리브레이션 데이터를 이용한다. 상기 시스템 및 상기 방법은 공칭 공정 조건에서의 시뮬레이션들의 더 양호한 정확성 및 견실성을 유도하는 모델 파라미터 값들의 통합된 세트뿐만 아니라, 다양한 세팅들에서 리캘리브레이션을 필요로 하지 않고 전체 공정 윈도우 영역 전반에 걸쳐 어느 지점에서 리소그래피 성능을 계속 예측할 수 있는 능력을 제공한다. 종래 기술의 다중-모델 캘리브레이션에서 요구되는 것보다 더 적은 수의 측정으로, 포커스-노광 모델은 공정 윈도우 내의 어느 지점에서도 사용될 수 있는 더 예측적이고 더 견실한 모델 파라미터 값들을 제공한다.
Description
본 출원은 "Methodology of Unified, Through-Process Window Lithography Modeling"이라는 제목의 미국 가출원(Provisional Patent Application) 제 60/706,144호의 이익을 주장한다. 이 관련 출원의 내용은 본 명세서에서 그 전문이 인용 참조된다.
본 발명은, 일반적으로 광학 리소그래피에 관한 것으로, 더 상세하게는 리소그래피 공정의 포커스-노광 모델(focus-exposure model)을 생성하는 것에 관한 것이다.
집적 회로 산업은 시작된 이래로, 보다 낮은 비용으로 디바이스 기능성을 증가시킴으로써 현저한 성장률을 유지하였다. 오늘날, 첨단 디바이스들은 비용의 일부만으로 전체 공간을 차지하는데 사용되는 컴퓨터들의 연산 능력(computing power)을 제공한다. 오늘날 저가의 소비자 디바이스(consumer device)들 대부분은, 비디오 휴대폰(video cell phone), 초-휴대용 미디어 플레이어(ultra-portable media player), 및 무선 또는 초-광대역 인터넷 디바이스(ultra-wideband Internet device)들과 같이, 수년 전 만해도 어떠한 비용으로도 이용할 수 없었던 기능성을 포함한다. 이러한 성장을 가능하게 한 주요 요소들 중 하나는 집적 회로 패턴의 일부분으로서 패터닝될 수 있는 최소 피처 크기를 꾸준히 감소시키는 광학 리소그래피 공정들의 능력이었다. 회로당 더 많은 피처들을 프린트하는 동시에 피처 크기 및 비용의 이러한 꾸준한 감소는, 통상적으로 "무어의 법칙(Moore's Law)" 또는 리소그래피 "로드맵(roadmap)"이라고 언급된다.
리소그래피 공정은 마스크 또는 레티클 상에 마스터 이미지(master image)를 생성한 후, 디바이스 웨이퍼들 상에 그 패턴을 충실히 복사(replicate)하는 것을 수반한다. 마스터 패턴이 설계 사양 내에서 성공적으로 여러 번 복사될수록, 완성된 디바이스 또는 "칩"당 비용은 더 낮아진다. 최근까지, 마스크 레벨 패턴이 웨이퍼 레벨 패턴보다 몇 배 더 클 수 있다는 것을 제외하고는, 마스크 패턴은 웨이퍼 레벨에서 원하는 패턴의 정확한 복사물(duplicate)이었다. 이 스케일 팩터(scale factor)는 웨이퍼 노광 시에 노광 툴의 축소율에 의해 보정된다. 마스크 패턴은, 통상적으로 석영 또는 다른 투과성 기판 상에 흡광재(light absorbing material)를 증착시키고 패터닝함으로써 형성된다. 그 후, 특정 노광 파장의 광이 마스크를 통해 디바이스 웨이퍼들 상으로 지향되는 "스테퍼" 또는 스캐너"로 알려진 노광 툴 내에 마스크가 배치된다. 상기 광은 마스크의 투명 영역(clear area)을 통해 투과되고, 흡수 층으로 덮인 영역들에서, 원하는 양만큼, 통상적으로 90 % 내지 100 % 감쇠된다. 또한, 마스크의 몇몇 영역들을 통과한 광은 원하는 위상 각도만큼, 통상적으로 180 도의 정수 분수(integer fraction)만큼 위상-시프트(phase-shift)될 수 있다. 노광 툴에 의해 수집된 후, 결과적인 에어리얼 이미지 패턴(aerial image pattern)이 디바이스 웨이퍼들 상에 포커스된다. 웨이퍼 표면 상에 증착된 감광재(light sensitive material)는 웨이퍼 상에 원하는 패턴을 형성하도록 광과 상호작용하며, 그 후 상기 패턴은 잘 알려진 공정들에 따라 기능적인 전기 회로들을 형성하기 위해 웨이퍼 상의 하부 층(underlying layer)들 안으로 전사된다.
최근 몇 년 동안, 패터닝되는 피처 크기들은 패턴을 전사하는데 사용되는 광의 파장보다 훨씬 더 작아졌다. "서브-파장(sub-wavelength) 리소그래피"를 지향하는 이러한 경향은 리소그래피 공정에서 적절한 공정 마진(margin)을 유지하는데 있어서 어려움을 증폭시켰다. 마스크 및 노광 툴에 의해 생성되는 에어리얼 이미지들은, 파장에 대한 피처 크기의 비율이 감소함에 따라 선명도(sharpness) 및 콘트라스트(contrast)를 손상시킨다. 이 비율은 최소 피처 크기와 노광 툴의 개구수(numerical aperture)의 곱을 파장으로 나눈 것으로 정의된 k1 팩터에 의해 정량화된다(quantified). 선명도의 부족 또는 이미지 블러(blur)는 레지스트 내의 이미지 형성에 대한 임계값에서의 에어리얼 이미지의 슬로프(slope), "에지 슬로프"로서 알려진 메트릭(metric), 또는 흔히 "NILS"로 약기되는 "정규화된 이미지 로그 슬로프(normalized image log slope)"에 의해 정량화될 수 있다. NILS 값이 작을수록, 다수의 디바이스 패턴들 상에 충분한 제어로 이미지를 충실히 복사하여, 경제적으로 늘어날 수 있는 개수의 기능성 디바이스들을 산출하기가 더 어렵다. 성공적인 "낮은-k1 리소그래피" 공정들의 목표는 k1 값의 감소에도 불구하고, 가능한 한 가장 높은 NILS를 유지하여, 결과적인 공정의 제조가능성을 가능하게 하는 것이다.
낮은-k1 리소그래피에서 NILS를 증가시키는 새로운 방법은, 마스크 상의 마 스터 패턴들이 최종 웨이퍼 레벨 패턴의 정확한 카피(copy)가 아닌 결과를 초래하였다. 마스크 패턴은 흔히 패턴 밀도 또는 피치(pitch)의 함수로서 패턴의 크기에 관하여 조정된다. 다른 기술들은 마스크 패턴 상의 여분의 코너(extra corner)들["세리프(serif)", "해머헤드(hammerhead)", 및 다른 패턴들]의 추가 또는 배제를 수반하며, 심지어는 웨이퍼 상에 복사되지 않을 지오메트리(geometry)들의 추가도 수반한다. 의도된 피처들의 프린트적성(printability)을 향상시키기 위해, 이러한 비-프린팅(non-printing) "어시스트 피처(assist feature)들"은 배경 광 세기(background light intensity)("그레이 스케일링(gray scaling)")를 변화시키도록 스캐터링 바아(scattering bar), 홀, 링, 바둑판 또는 "얼룩 줄무늬(zebra stripe)", 및 다른 문헌에 이미 개시된 다른 구조들을 포함할 수 있다. 이 모든 방법들은 흔히 집합적으로 "광 근접성 보정(Optical Proximity Correction)" 또는 "OPC"라고 언급된다.
또한, 마스크는 웨이퍼 상에 복사될 수 있거나 복사될 수 없는 위상-시프팅 영역들의 추가에 의해 변경될 수 있다. 교번 어퍼처 시프터(alternate aperture shifter), 이중 노광 마스킹 공정(double expose masking process), 다중 위상 전이(multiple phase transition), 및 감쇠 위상-시프팅 마스크(attenuating phase-shifting mask)를 포함하는 다양한 위상-시프팅 기술들이 문헌에 상세하게 설명되어 있다. 이러한 방법들에 의해 형성된 마스크들은 "위상 시프팅 마스크" 또는 "PSM"으로 알려져 있다. OPC, PSM 등을 포함하여 낮은-k1에서 NILS를 증가시키는 이 모든 기술들은 집합적으로 "분해능 향상 기술(Resolution Enhancement Technology)" 또는 "RET"라고 언급된다. 흔히 다양한 조합들로 마스크에 적용되는 이 모든 RET들의 결과는, 웨이퍼 레벨에 형성된 최종 패턴이 더 이상 마스크 레벨 패턴의 간단한 복사가 아니라는 것이다. 실제로, 마스크 패턴을 보고 최종 웨이퍼 패턴이 어떻게 나타날지를 쉽게 결정하는 것이 불가능해지고 있다. 이는 마스크가 만들어지고 웨이퍼가 노광되기 이전에 설계 데이터가 올바른지를 검증하는 것뿐만 아니라, RET들이 올바르게 적용되었고 상기 마스크가 그 타겟 사양을 만족하는지를 검증하는데 있어서 어려움을 더욱 증가시킨다.
진보된 마스크 세트들을 제조하는 비용은 꾸준히 증가하고 있다. 현재, 상기 비용은 진보된 디바이스에 대해 마스크 세트당 100만 달러를 이미 초과하였다. 또한, 소요 시간(turn-around time)이 항상 중대한 관심사이다. 그 결과로, 비용 및 소요 시간을 모두 감소시키도록 돕는 리소그래피 공정의 컴퓨터 시뮬레이션들이 반도체 제조의 통합 부분이 되었다. 리소그래피 시뮬레이션의 요구들에 대처하는 다수의 컴퓨터 소프트웨어 기술들이 존재한다. 예를 들어, 물리적 및 화학적 공정들의 세부적인 시뮬레이션을 실행하는 제1-원리-모델링-기반(first-principle-modeling-based) 시뮬레이션 소프트웨어가 존재한다. 하지만, 이러한 소프트웨어는 흔히 매우 느리게 실행되므로, 칩 설계의 (수 제곱 미크론 급의) 매우 작은 영역들로 제한된다. 이 카테고리에서의 소프트웨어 툴들은 Sigma-C(Santa Clara, Calif., USA)의 "SOLID-C", 및 KLA-Tencor(San Jose, Calif., USA)의 "Prolith"를 포함한다. 시뮬레이션 결과값들을 더 빠르게 실행시키고 제공하는 컴퓨터 소프트웨어가 존재하지만, 이러한 소프트웨어는 실험 데이터로 캘리브레이션되는(calibrated) 경 험 모델(empirical model)(예를 들어, "Calibre": Mentor-Graphics in Wilsonville, OR, USA)을 이용한다. 경험 모델들을 이용하는 "빠른" 시뮬레이션이라도, 풀-칩 레벨(full-chip level)에서의 시뮬레이션은 흔히 수십 시간 내지 수 일을 필요로 한다. 본 명세서에서 그 전문이 인용참조되는 "System and Method for Lithography Simulation"이라는 제목의 미국 특허 제 7,003,758호에는 새롭고, 빠르며, 정확한 접근법이 개시되어 있으며, 이는 본 명세서에서 "리소그래피 시뮬레이션 시스템"이라고 언급된다.
도 1a에 개략적으로 예시된 바와 같이, 리소그래피 시뮬레이션은 통상적으로 수 개의 기능적 단계들로 구성되며, 설계/시뮬레이션 공정은 선형 흐름도(linear flow: 100)와 유사하다. 단계(110)에서는, 확산 층, 금속 트레이스(metal trace), 콘택(contact), 및 전계-효과 트랜지스터(field-effect transistor)들의 게이트와 같이, 반도체 디바이스의 기능적 요소들에 대응하는 패턴들의 형상 및 크기를 설명하는 설계 레이아웃(layout)이 생성된다. 이 패턴들은 최종 디바이스의 소정의 전기적 기능성 및 사양들을 달성하기 위하여 리소그래피 공정에 의해 기판 상에 재현될 필요가 있는 물리적 형상 및 크기의 "설계 의도(design intent)"를 나타낸다.
앞서 설명된 바와 같이, 원하는 구조체들을 프린트하는데 사용되는 마스크 또는 레티클 상에 패턴들을 생성하기 위해서는, 이 설계 레이아웃에 대한 많은 변형들이 요구된다. 단계(120)에서는, 실제 프린트되는 패턴들의 설계 의도에 가까워지기 위해 다양한 RET 방법들이 적용된다. 결과적인 "후(post)-RET" 마스크 레이아웃은 단계(110)에서 생성된 "전(pre)-RET" 설계와 상당히 다르다. 전-RET 레이아웃 및 후-RET 레이아웃은, 예를 들어 GDS 또는 OASIS 포맷의 다각형-기반 계층적 데이터 파일(polygon-based hierarchical data file)로 시뮬레이션 시스템에 제공될 수 있다.
또한, 실제 마스크는 근본적인 물리적 한계뿐만 아니라 마스크 제조 공정의 불완전성으로 인해, 기하학적이고 이상적인 다각형-기반 마스크 레이아웃과 다를 것이다. 이러한 한계 및 불완전성은, 예를 들어 마스크 기록 툴(mask writing tool)의 유한 공간 분해능, 가능한 선폭 바이어스들 또는 오프셋들, 및 웨이퍼 기판으로의 투영 시에 겪게 된 영향들과 유사한 근접성 영향들로 인한 코너 라운딩(corner rounding)을 포함한다. 단계(130)에서, 마스크의 실제 물리적 특성들은 마스크 모델에서 다양한 복잡도(degree of complexity)와 가까워질 수 있다. 감쇠, 위상 시프팅 설계 등과 같은 마스크-타입 특정 특성들은 마스크 모델에 의해 캡처되어야 한다. 미국 특허 제 7,003,758호에 개시된 리소그래피 시뮬레이션 시스템은, 예를 들어 실제 마스크 특성들을 설명하는 이미지/픽셀-기반 그레이스케일 표현을 이용할 수 있다.
리소그래피 시뮬레이션의 중심 부분은 노광 툴에서 투영 및 이미지 형성 공정을 시뮬레이션하는 광학 모델이다. 단계(140)에서, 광학 모델이 생성된다. 광학 모델은 조명 및 투영 시스템의 임계 파라미터들: 개구수 및 부분 간섭성 세팅(partial coherence setting), 조명 파장, 일루미네이터 소스 형상, 및 가능하게는 광학 수차 또는 플래어(flare)와 같은 시스템의 불완전성을 통합하는데 필요하다. 투영 시스템 및 다양한 광학 영향들, 예를 들어 높은-NA 회절, 스칼라 또는 벡 터, 편광, 및 박막 다중 반사는 투과 교차 계수(transmission cross coefficient: TCC)들에 의해 모델링될 수 있다. TCC는 고유-급수 전개(eigen-series expansion)를 이용하여 콘볼루션 커널(convolution kernel)들로 분해될 수 있다. 연산 속도를 위해, 급수는 통상적으로 고유-값들의 서열(ranking)을 기초로 트런케이션되어(truncated), 유한 세트의 커널들을 유도한다. 더 많은 커널들이 유지될수록, 더 적은 오차가 트런케이션(truncation)에 의해 도입된다. 미국 특허 제 7,003,758호에 개시된 리소그래피 시뮬레이션 시스템은 연산 시간에 부정적인 영향을 주지 않으면서 매우 많은 수의 콘볼루션 커널들을 이용하여 광학 시뮬레이션들을 허용하므로, 매우 정확한 광학 모델링을 가능하게 한다. 참조 - "Optimized Hardware and Software for Fast, Full Chip Simulation", Y. Cao 외, Proc. SPIE Vol.5754, 407(2005). 본 명세서에서는 단계(130)에서 생성된 마스크 모델 및 단계(140)에서 생성된 광학 모델이 별도의 모델들인 것으로 간주되지만, 마스크 모델은 개념적으로 통합 광학 모델의 일부분으로서 간주될 수 있다.
또한, 기판 상에 형성되는 구조들의 형상들 및 크기들을 예측하기 위하여, 단계(160)에서는 감광 레지스트 층과 상호작용하는 투영 광의 영향, 및 후속 노광 후 베이크(post-exposure bake: PEB) 및 현상 공정을 시뮬레이션하기 위해 레지스트 모델이 사용된다. 레지스트 내의 3-차원 광 분포뿐만 아니라, 상기 층 내의 분자 확산 및 반응과 같은 미시적, 물리적 또는 화학적 영향들을 평가함으로써, 3-차원 레지스트 구조들을 예측하고자 시도하는 우선-원리 시뮬레이션 접근법들이 구별될 수 있다. 한편, 풀-칩 시뮬레이션을 허용할 수 있는 모든 "빠른" 시뮬레이션 접 근법들은 현재 시뮬레이터의 광학 모델 부분에 의해 제공된 2-차원 에어리얼 이미지를 입력값으로서 채택하는 더 경험적인 레지스트 모델들로 제한한다. 에어리얼 이미지(150)에 의해 결합된 광학 모델과 레지스트 모델 간의 이러한 차이는 도 1a에 개략적으로 도시되어 있다. 간명함을 위해, 본 명세서에는 레지스트 모델 이후에 또 다른 공정들, 예를 들어 에칭, 이온 주입 또는 유사한 단계들의 모델링이 수행될 수 있다는 사실이 생략된다.
마지막으로, 단계(170)에서 시뮬레이션 공정의 출력값은 예측된 임계 치수(CD) 및 윤곽(contour)과 같이, 웨이퍼 상의 프린트된 피처들의 예측된 형상들 및 크기들에 관한 정보를 제공할 것이다. 이러한 예측은 리소그래피 프린팅 공정과, 상기 공정이 의도된 결과값들을 생성할 것인지에 관한 정량적 평가(quantitative evaluation)를 허용한다.
방금 설명한 예측 능력들을 제공하기 위하여, 선험적으로(a priori) 알려지지 않은 다수의 피팅 파라미터(fitting parameter)들이 캘리브레이션 공정에서 발견되거나 조정되어야 한다. 리소그래피 모델들을 캘리브레이션하는 다수의 방법들은 문헌에 개시되어 있다. 일반적으로, 이러한 캘리브레이션 방법들은 시뮬레이션된 테스트 패턴들과, 실제 기판들 상에 프린트되고 메트롤로지 툴, 예를 들어 CD-SEM 또는 스캐터로메트리 툴에 의해 측정된 대응하는 테스트 패턴들 사이에 최적의 전체 매치(match)를 검색한다.
캘리브레이션의 정확성 및 견실성(robustness)은 프린트된 패턴들, 에지 배치들, 및 라인 엔드 배치(line end placement)들의 CD들을 예측하는데 요구된다. 캘리브레이션된 모델은 일반적으로 1-차원 및 2-차원 광학 및 처리 관련 근접성 효과들을 충분한 정확성으로 예측하기 위해 예상된다. 경험적 모델들의 예측가능성은 주로 캘리브레이션 과정에 사용된 테스트 또는 게이지(gauge) 구조들의 형상 및 크기 변동들에 의해 포괄된 패턴 지오메트리 공간으로 제한된다고 알려져 있다. 현재의 실행 및 추세는 실행할 수 있는 한 넓고 조밀한(dense) 지오메트리 공간을 포괄하도록 더더욱 많은 테스트 구조 변동들을 포함하는 것이다. 통상적으로, 모델 캘리브레이션들을 위해 수천 개의 측정 지점들이 이용된다. 하지만, 현재의 모델 캘리브레이션들은 주로 공칭적(nominal) 또는 "최적" 광학 세팅들에서 수행되므로, 2-차원 지오메트리 공간만을 포괄한다. 어떤 비(non)-지오메트리 파라미터들, 예를 들어 광학 파라미터들 또는 리소그래피 공정 파라미터들이 변화되는 때에, 사용을 위해 이러한 모델들을 외삽(extrapolating)하는 것은 어렵다.
한편, 리소그래피 공정들은 일반적으로 그들의 공정 윈도우에 의해, 더 정확하게는 모든 관련 구조들의 공통 공정 윈도우에 의해 평가되어야 하는 것으로 잘 알려져 있다. 공정 윈도우(PW)의 크기는 공통적으로 CD 또는 에치 배치의 변동들이 허용가능한 범위 내에 있는 노광-디포커스(exposure-defocus: E-D)의 영역에 의해 측정된다. 참조 - "The Exposure-Defocus Forest", B.J. Lin, Jpn, J. Appl. Phys. 33, 6756(1994). 공정 윈도우 분석은, 어떤 실제 제조 공정이 리소그래피 투영 시스템의 포커스 세팅 및 노광 도즈(exposure dose)와 같이, 실제 파라미터 값들의 불가피한 변동들을 겪게 되는지를 고려한다. 디바이스 설계 상의 모든 구조들의 공통 공정 윈도우는 공정 마진(process margin), 즉 공정 파라미터 변동들에 대한 공 차를 정의한다.
"최적" 세팅들에서 레지스트 모델을 캘리브레이션하고 도즈 및 디포커스의 변동들에 대해 외삽함으로써 OPC 모델들의 스루-공정 윈도우 현상(through-process window behavior)을 예측하고자 하는 최근 몇몇 시도들은, 별도의 이산(discrete) 모델 캘리브레이션들이 상이한 디포커스 세팅들에서 수행되지 않는다면, 매우 성공적이지 않았다. 참조 - "High accuracy 65 nm OPC verification: full process window model vs. critical failure OPC", A. Borjon 외, Proc. SPIE Vol. 5754, 1190(2005). 도 1b는 별도의 모델 캘리브레이션들이 각각의 위치에서 수행되는 공정 윈도우 공간을 포괄하는 다중 위치들을 예시한다. 다른 작업으로, 수 개의 포커스-노광-매트릭스 데이터 세트들에 대한 모델들을 캘리브레이션하기 위해 몇몇 시도들이 행해졌지만, 1-차원 선폭 데이터에 대해서만 얻어졌다. 참조 - "Do we need complex resist models for predictive simulation of lithographic process performance?", B. 외, Proc. SPIE Vol. 5376, 983(2004).
또한, 광학 모델 파라미터들을 인위적으로 변화시킴으로써 레지스트 현상 효과들에 대한 시스템의 응답이 근사화되는 "럼프된(lumped)" 파라미터 모델들이 존재하며, 이러한 모델들은 하나의 단일 공정 윈도우 조건에서 한 세트의 테스트 파라미터들에 대해 여전히 잘-캘리브레이션될 수 있다. 설명을 위한 또 다른 예시로서, 투영 시스템의 구면 수차(spherical aberration)는 패턴-피치 의존적 포커스 시프트(pattern-pitch dependent focus shift)를 유발한다는 것이 잘 알려져 있다. 결과적으로, 단일 포커스 세팅에서 측정된 경우, (CD 대 피치를 플로팅한) 스루-피 치(through-pitch) "OPC" 곡선은 구면 수차의 광학 영향으로 인해 어떤 변조를 겪게 될 것이다. 충분히 많은 수의 조정가능한 파라미터들을 갖는 충분히 복잡한 레지스트 모델은 여전히 OPC 곡선을 재현할 수 있으며, 실제로는 캘리브레이션에 사용된 그 동일한 포커스 세팅에서 프린트된 CD 스루 피치를 예측할 수 있다. 하지만, 캘리브레이션에 의해 포괄된 직접적인(immediate) 파라미터 공간 외부의 어떠한 곳에서도 외삽할 수 있는 모델의 능력은 엄격히 제한될 것이다.
리소그래피 모델링의 증가된 정확성 및 견실성에 대한 끊임없는 요구가 존재한다. 분명하게는, 지오메트리 변동들, 그러나 시뮬레이션에 의해 진보된 반도체 설계들의 제조가능성을 입증하기 위한 PW-관련 공정 변동들 또한 능가하여, 다차원 파라미터 공간에서의 모델링을 예측할 수 있는 모델 캘리브레이션 방법론들에 대한 요구 또한 존재한다.
포커스-노광 모델을 생성하는 방법 및 시스템이 리소그래피 시뮬레이션 모델들의 캘리브레이션을 위해 도입된다. 상기 시스템 및 상기 방법은, 특히 노광-디포커스 공정 윈도우 공간 내에서의 다차원 파라미터 변동들에 따라 캘리브레이션 데이터를 이용한다. 상기 시스템 및 상기 방법은 공칭 공정 조건(nominal process condition)의 더 양호한 정확성 및 견실성을 유도할 뿐만 아니라, 리캘리브레이션(recalibration)을 필요로 하지 않고 전체(complete) 공정 윈도우 영역 전반에 걸쳐 어느 지점에서도 리소그래피 성능을 예측할 수 있게 하는 모델 파라미터 값들의 통합된 세트(unified set)를 제공한다.
일 실시예에서, 리소그래피 공정의 포커스-노광 모델을 생성하는 방법은, 리소그래피 공정의 모델을 선택하는 단계를 포함하고, 상기 모델은 광학 모델 모듈을 포함하며, 상기 모델은 포커스 및 노광을 포함하는 모델 파라미터들의 세트, 및 변수 값들을 갖는 피팅 파라미터(fitting parameter)들의 세트를 가지며, 포커스-노광 공간에서 상기 리소그래피 공정에 대한 공정 윈도우를 정의하는 단계, 상기 모델에 대한 초기 피팅 파라미터 값들의 세트를 선택하는 단계, 상기 공정 윈도우 내의 복수의 샘플링 위치들을 선택하는 단계를 포함하고, 상기 복수의 샘플링 위치들은 공칭 조건을 포함하며, 상기 초기 피팅 파라미터 값들의 세트를 갖는 상기 모델을 이용하여, 상기 초기 피팅 파라미터 값들을 일정하게 유지하면서, 상기 복수의 샘플링 위치들에 대응하는 노광 및 포커스의 값들을 변동시킴으로써, 상기 공정 윈도우 내의 상기 복수의 샘들링 위치들 각각에서 상기 리소그래피 공정의 시뮬레이션된 결과값들을 생성하는 단계, 상기 공정 윈도우 내의 상기 복수의 모든 샘플링 위치들에서 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교하여, 상기 실제 결과값들과 상기 시뮬레이션된 결과값들 간의 전체 차이 측도(difference measure)를 생성하는 단계, 최적의 피팅 파라미터를 식별(identify)하여, 상기 최적의 피팅 파라미터 값들을 이용하여 생성된 시뮬레이션된 결과값들과 상기 실제 결과값들 간의 상기 전체 차이 측도가 최소화되거나 사전설정된 임계값 이하로 낮아지도록, 상기 피팅 파라미터 값들의 세트를 수정하고, 상기 공정 윈도우 내의 상기 복수의 샘플링 위치들 각각에서의 추가 시뮬레이션된 결과값들을 생성하는 단계, 및 상기 최적의 피팅 파라미터 값들을 포함하는 상기 모델로서 상기 포커스-노광 모델을 정의하는 단계를 포함하고, 상기 포커스-노광 모델은 상기 전체 공정 윈도우 내의 어느 위치에서도 상기 리소그래피 공정을 시뮬레이션할 수 있다.
일 실시예에서, 리소그래피 공정 능력을 예측하도록 단일 공정 윈도우 모델을 생성하는 시스템은, 정보를 저장하는 저장 영역, 입력 디바이스, 출력 디바이스, 상기 저장 영역 내에 저장된 물리적 모델 정보, 및 모델 캘리브레이션 모듈을 포함한다. 상기 저장 영역은 상기 선택된 물리적 모델 정보가 상기 모델 캘리브레이션 모듈에 의해 액세스될 수 있도록 상기 모델 캘리브레이션 모듈과 통신(communication)한다. 상기 입력 디바이스는, 공정 윈도우를 정의하는 공정 윈도우 정의 정보가 상기 모델 캘리브레이션 모듈에 적용되도록 만들어질 수 있고, 상기 정의된 공정 윈도우에서의 상이한 테스트 조건들 하에서 웨이퍼의 측정값들로부터 얻어진 이산(discrete) 측정 정보가 상기 모델 캘리브레이션 모듈에 의해 액세스될 수 있도록 상기 모델 캘리브레이션 모듈과 통신한다. 또한, 상기 모델 캘리브레이션 모듈은, 상기 정의된 공정 윈도우에 걸쳐 포토리소그래피 공정의 특성이 계속 조정가능한 2 개의 광학 파라미터들로 설명될 수 있도록, 상기 공정 윈도우 정의 정보 및 상기 이산 측정 정보를 이용함으로써 단일 공정 윈도우 모델을 생성하여, 상기 선택된 물리적 모델 정보를 캘리브레이션하도록 구성된다. 상기 단일 공정 윈도우 모델을 생성하는 것은 시뮬레이션된 측정값들과 상기 이산 측정 정보를 비교하는 것을 포함하고, 상기 시뮬레이션된 측정값들은, 상기 선택된 물리적 모델 정보를 이용하여, 상기 물리적 모델 정보의 다른 모든 파라미터들을 일정하게 유지하면서 상기 계속 조정가능한 2 개의 광학 파라미터들을 변동시켜, 상기 리소그래피 공정을 시뮬레이션함으로써 생성된다.
도 1a는 종래 기술의 리소그래피 시뮬레이션 방법 단계들의 흐름도;
도 1b는 종래 기술의 방법론에 따른 다중 리소그래피 시뮬레이션 모델들의 캘리브레이션 위치들을 도시하는 도면;
도 2a는 본 발명의 일 실시예에 따른 리소그래피 공정의 포커스-노광 모델을 생성하는 방법 단계들의 흐름도;
도 2b는 본 발명의 일 실시예에 따른, 공정 윈도우 내의 어느 임의의 위치에서 포커스-노광 모델을 생성하는 방법 단계들의 흐름도;
도 3a는 본 발명에 따른 리소그래피 공정의 공정 윈도우 내의 샘플링 위치들의 영역들의 일 실시예를 도시하는 도면;
도 3b는 본 발명에 따른 리소그래피 공정의 공정 윈도우의 샘플링 위치들의 일 실시예를 도시하는 도면;
도 4a는 본 발명에 따른 리소그래피 공정의 공정 윈도우 내의 샘플링 위치들의 또 다른 실시예를 도시하는 도면;
도 4b는 본 발명의 일 실시예에 따른 포커스-노광 모델을 캘리브레이션하는 샘플링 위치들을 나타내는 차트;
도 5는 본 발명의 일 실시예에 따른 상이한 샘플링 방식(scheme)들을 이용하여 포커스-노광 모델의 캘리브레이션들의 결과값들을 도시하는 도면;
도 6은 종래 기술의 다중-모델 캘리브레이션과 포커스-노광 모델 캘리브레이션의 일 실시예 간의 비교를 요약한 차트;
도 7은 본 발명에 따른 포커스-노광 모델을 생성하는 시스템의 일 실시예의 블록도; 및
도 8은 본 발명에 따른 리소그래피 시뮬레이션 시스템의 일 실시예의 블록도이다.
공정 윈도우의 중심에서의 공칭 조건뿐만 아니라 상기 중심으로부터 소정 거리에 있는 공정 윈도우 내의 상이한 위치들에서도 데이터 지점들을 통합하는 것을 신뢰하는 모델 캘리브레이션 및 모델 캘리브레이션에 기초한 시스템 및 방법이 개시된다. 최소값에서, 1 이상의 공정 파라미터(예를 들어, 디포커스 파라미터)를 변동시키면서 캘리브레이션 데이터가 수집되며, 변동된 공정 파라미터의 상이한 값들을 갖는 모든 수집된 캘리브레이션 데이터는 모델의 피팅 파라미터들의 캘리브레이션에 이용된다. 리소그래피 공정의 모델은 광학 모델 모듈을 포함하며, 선택적으로는 레지스트 모델 모듈, 마스크 모델 모듈 및 다른 적절한 모델 모듈들을 포함할 수 있다. 본 명세서에서, 리소그래피 공정의 모델의 모델 모듈들은, 간명함을 위해, 모델들, 예를 들어 광학 모델 및 레지스트 모델로서 언급될 것이다.
바람직한 구현예에서는, 공정 윈도우 공간을 형성하기 위해, 노광 도즈 및 디포커스의 파라미터들을 변동시키면서 캘리브레이션 데이터가 수집된다. 상기 방법은 공정 윈도우의 어느 위치에서도 리소그래피 프린팅 공정을 예측하는 캘리브레 이션된 피팅 파라미터들의 단일 세트를 찾아내기 위해, 노광 도즈 및 디포커스의 모든 값들에서 테스트 구조체들의 조인트 피팅(joint fitting)을 제공한다. 캘리브레이션 방법은 포커스 및 노광 파라미터들, 및 피팅 파라미터들의 세트를 포함하는 리소그래피 공정의 모델을 이용하여, 공정 윈도우 내의 샘플링 위치들의 세트 각각에서 리소그래피 공정의 성능을 시뮬레이션하는 단계를 포함하고, 광학 모델의 포커스 및 노광 파라미터들은 피팅 파라미터들(즉, 다른 모든 모델 파라미터들)이 변화되지 않으면서 제 1 원리들에 따라 변동된다. 본 명세서에서, 캘리브레이션된 피팅 파라미터 값들의 세트를 갖는 모델은 포커스-노광 모델(FEM)이라고 언급된다. 포커스는 노광 툴의 광학 파라미터의 세팅이며, 때로는 디포커스라고도 칭해진다. 본 명세서에서, 포커스 및 디포커스라는 용어는 상호교환적으로 사용된다.
전체 공정 윈도우 전반에 걸친 다수의 위치들에서 캘리브레이션된 포커스-노광 모델은 물리적 현실성(physical reality)을 더 가깝게 반영하며, 하나의 공칭 공정 조건에서만 캘리브레이션된 모델보다 더 예측적이고, 정확하며 견실성이 있다. 다차원 모델 캘리브레이션으로부터, 1) 공정 윈도우 내의 잘-특성화된 샘플링 위치들 간의 보간(interpolation)을 신뢰함으로써, 공칭 또는 최적의 세팅들에서의 더 양호한 모델 정확성, 예측가능성 및 견실성, 2) 공정 윈도우 내의 어느 보간된 위치에서도 패턴 양상(pattern behavior)을 예측할 수 있는 능력, 및 3) 포커스-노광 모델 캘리브레이션을 갖는 리소그래피 시뮬레이션 이점들은, 공정 윈도우 내의 상이한 이산 위치들에서 다수의 분리(separate) 모델들의 캘리브레이션보다 더 작은 전체 개수의 측정값들로 달성될 수 있다.
포커스-노광 모델을 캘리브레이션하는 방법의 주요 특성은, 광학 모델이 실제로 실제 광학 영향들을 정확히 캡처하는 한편, 레지스트-관련 파라미터들은, 예를 들어 포커스 세팅들을 통해 광학 세팅들로 변경하지 않는다는 점에서, 광학 및 레지스트 모델들의 양호한 분리성(separability)이다. 디포커스 데이터를 포함하는 조인트 캘리브레이션 없이, 프린트된 패턴의 디포커스 양상이 몇몇 물리적 레지스트 영향들, 예를 들어 산 확산(acid diffusion)에 의해 부분적으로 보상될 수 있기 때문에, 디포커스-레지스트 파라미터 공간 내에는 다수의 제곱 평균(root mean square: RMS) 최소값들이 존재할 것이다. 이들 최소값들 중 하나만이 물리적이고 올바르다. 공정 윈도우 전반에 걸친 조인트 캘리브레이션은 자동적으로 상기 모델을 올바른 최소 지점들로 제약하며, 이는 디포커스의 추세 방식(trend behavior)이 레지스트 방식들에 대해 수직이기 때문이다. 그러므로, 거짓(false) "파라미터 럼핑(parameter lumping)" 영향들이 회피되며, 결과적인 캘리브레이션된 모델은 더 정확하고, 공칭 노광 조건들에서도 견실성이 있다. 부연하면, 공칭 공정 조건들에서도, 캘리브레이션된 포커스-노광 모델은 공칭 공정 조건들에서만 캘리브레이션된 모델보다 더 양호한 패턴 양상을 예측할 수 있을 것이다.
"공정 윈도우"가 2-차원 노광-디포커스 공간에서 가장 빈번하게 정의되더라도, 본 명세서에 설명된 방법은 이 정의로 제한되지 않는다. 이 정의는 본질적으로, 포커스 및 도즈 변동들이 통상적으로 리소그래피 공정 성능에 지배적인 영향을 주지 않는다는 것을 반영한다. 하지만, 조정되거나 변동될 수 있는 더 많은, 또는 상이한 파라미터 디멘션(parameter dimension)들을 이용함으로써 공정 윈도우 개념 을 일반화할 수 있다. 이러한 일반화는 이들 추가 파라미터 변동들에 대한 공정 마진을 획득하는데 도움을 줄 것이며, 또한 모델 피팅(model fitting)에 또 다른 제약들을 추가할 수도 있다. 추가 제약들은 캘리브레이션된 모델을 더 물리적으로, 따라서 더 정확하고 예측적으로 만드는데 도움을 준다. 예를 들어, 현대의 노광 툴에서는, 예를 들어 조명 파장 또는 선폭, 렌즈 세팅들 및 이에 따른 광학 수차들, 및 광범위한 일루미네이터 조정들을 포함하는 다수의 광학 세팅들은 어느 정도 조정될 수 있다. 이와 유사하게, 레지스트 층 특성들과 직접 관련된 파라미터들이 변동되거나 조정될 수 있다. 이들 또는 유사한 파라미터들 중 어느 것이라도, 개선된 모델 견실성 또는 정확성의 이점을 위해 모델 캘리브레이션에 포함될 수 있다. 또한, 캘리브레이션 공정은 생산 환경에서 공칭적으로 동일한 노광 툴들의 세트로부터 테스트 데이터를 이용할 수 있다.
도 2a는 본 발명의 일 실시예에 따른 리소그래피 공정의 포커스-노광 모델을 생성하는 방법 단계들(200)의 흐름도이다. 단계(212)에서는, 테스트 마스크 상에 제조되고, 리소그래피 노광 툴을 이용하여 테스트 웨이퍼들 상으로 프린트될 테스트 패턴들의 세트가 정의된다. 이들 테스트 패턴들은 고려중인 리소그래피 공정의 특징적인 전체 범위의 근접성 상호작용들을 포괄할 필요가 있다. 다양한(격리된 것에서부터 조밀한) 피치들을 갖는 광범위한 라인/공간 패턴들, 및 다양한 갭 크기들을 갖는 라인/공간 엔드(end)와 같은 2-차원 패턴들이 포함되어야 한다. 라인/공간 패턴들은 1-차원 공간 주파수 공간에 걸쳐 있는 한편, 라인 엔드 패턴들은 2-차원 영향들, 특히 라인-엔드 풀 백(line-end pull back), 핀칭(pinching) 등을 포괄한 다. 또한, "패턴 곡률"에 의해 2-차원 공간을 정의하고, 이에 따른 테스트 패턴들을 구성할 수 있거나, 리소그래피 모델이 사용될 설계들에서 발견된 통상적인 형상들을 나타내는 더 복잡한 2-차원 테스트 패턴들을 사용할 수 있다.
앞서 설명된 바와 같이, 광학 및 레지스트 영향들을 분리시키는 중요성을 고려한다면, 다른 것들보다 어떤 영향들에 더 민감한 패턴 타입들을 포함시킴으로써 캘리브레이션을 향상시킬 수 있다. 가능한 예시는, 광학 영향들이 시뮬레이션 툴의 광학 모델에 통합된 경우, 특정한 광학 수차들 또는 미광(stray light)(플래어)과 같은 광학 영향들에 특히 민감한 테스트 패턴들일 수 있다. 어떤 패턴 타입들은 광학 영향들, 예를 들어 코마(coma)에 대한 라인 쌍(line pair) 및 3-포일(three-foil)에 대한 브릭-벽 패턴(brick-wall pattern)에 대해 특정한 민감성을 나타내는 것으로 잘 알려져 있다. 이러한 광학 수차 또는 플래어 테스트 패턴은, 가능하게는 대응하는 공정과 조합하여 모델 분리 및 캘리브레이션 성능을 더욱 개선시킬 수 있다. 일반적으로, 특정 패턴 타입들은 특정 모델 파라미터들에 대해 구체적으로 상관(correlate)시킬 수 있다. 이들 패턴 타입들은, 예를 들어 민감도 분석에 의해 식별(identify)될 수 있으며, 모델 최적화 동안에 대응하는 가중(weight)이 주어질 수 있다.
모델 캘리브레이션에서 광학 수차들을 고려하는 또 다른 방법은, 광학 수차 측정을 위해 특별히 설계된 툴들을 이용하여 따로 측정된 광학 수차들을 바로 이용하는 것이다. 광학 수차 측정 툴들의 몇몇 예시들은 ASML의 ILIAS 및 Litel Corp의 다른 툴들과 같은 스캐너 벤더(scanner vender)들에 의해 제공된 온-스캐너-스테이 지 셀프-메트롤로지 툴(on-scanner-stage self-metroloy tool)들을 포함한다. 이 경우, 모델 캘리브레이션 시 광학 수차-민감성 테스트 패턴들을 포함할 필요가 없다. 광학 수차 파라미터들은 모델 캘리브레이션 동안에 캘리브레이션될 조정가능한 파라미터들이 아니라, 광학 모델에 알려진 파라미터들로서 고정된다. 고정된 광학 파라미터들의 통상적인 예시는, 흔히 측정되며, 모델 캘리브레이션 동안에 조정되지 않도록 알려진 개체(entity)로서 제공되는 소스 맵, 즉 정확한 그레이-스케일 형상 및 일루미네이터의 값이다. 공지된 광학 파라미터들(예를 들어, 소스 맵, 광학 수차들 및 퓨필 형상)의 경우, 상기 파라미터들은 모델 캘리브레이션 동안에 광학 모델에서 고정된 광학 파라미터들로서 취급된다.
테스트 패턴들의 세트가 정의된 후, 단계(214)에서는 공정 윈도우가 정의되고, 캘리브레이션들을 위해 공정 윈도우 내의 위치들이 선택된다. 공정 윈도우는 모델 캘리브레이션을 위해 변동될 공정 조건들 및 이들 변동들의 범위를 선택함으로써 정의된다. 통상적인 적용을 위해, 거의 일치하거나 초과하는 노광-디포커스 공간에서의 예상된 공정 윈도우는 샘플링 위치들에 의해 포괄될 것이다. 이 포괄(coverage)은 도 3a에 예시되며, 노광-디포커스 공간(300)에서의 샘플링 위치들의 5 개의 영역들(312, 314, 316, 318 및 320)을 나타낸다. 도 3b는 노광-디포커스(E-D) 공간(320)에서의 예상된 공정 윈도우(322), 공정 윈도우(322)의 중심(332)에서 공칭 또는 최적의 조건들을 포함하는 5 개의 샘플링 위치들(324, 326, 328, 330 및 332), 및 그 경계에 가까운 4 개의 추가 샘플링 위치들(324, 326, 328, 330)의 일 실시예를 도시한다. 실제로는, 5 개보다 더 많거나 적은 샘플링 위치들 이 사용될 수 있다.
각각의 샘플링 위치(324 내지 332)에 대해, 단계(212)에서 정의된 테스트 패턴들의 세트는 피팅 파라미터들을 생성하는데 사용된다. 양호한 피팅은 몇몇 샘플링 위치들에서 감소된 개수의 패턴 타입들로 얻어질 수 있다. 통상적으로, 수천 개 정도의 테스트 패턴들의 전체 세트가 공정 윈도우(322)의 중심(332)에서 측정될 수 있는 한편, 훨씬 감소된, 예를 들어 전체 중 10 내지 20 퍼센트 감소된 개수의 패턴들이 공정 윈도우(322) 주변의 샘플링 위치들(324 내지 330)에서 이용된다. 그 결과로, 포커스-노광 모델 캘리브레이션에 요구되는 테스트 패턴 측정값들의 전체 개수는 현존하는 다른 캘리브레이션 방법들에 의해 요구되는 각각의 개별 샘플링 위치에 대해 별도로 행해진 다중-모델 캘리브레이션보다 훨씬 더 작다.
이전에 언급된 바와 같이, 도 3a 및 도 3b에 도시된 노광-디포커스 공간은 통상적으로 다차원 캘리브레이션에 대한 기초로서 사용될 수 있지만, 같은 방식으로 대안적인 또한 추가적인 파라미터 디멘션들이 사용될 수 있다.
도 2a를 참조하면, 단계(216)에서는 관련 파라미터 공간이 충분히 잘 포괄되는 것을 보장하기 위해 테스트 패턴들 및 공정 조건들의 정의된 세트가 분석된다. 단계(216)의 구현은 테스트 패턴들의 2-차원 주파수 공간 분석, 공칭, 최선의-노력(best-effort) 또는 디폴트(default) 시뮬레이션 파라미터들을 이용하여 평가된 공정 윈도우의 분석, 또는 다른 방법들을 수반할 수 있다. 정의된 테스트 패턴들 및 공정 조건들이 파라미터 공간의 충분한 포괄을 제공하지 않는다면, 단계(218)에서 공정 윈도우의 추가 테스트 패턴들 또는 위치들이 정의된다. 그 후, 상기 방법 은 단계(216)로 복귀된다. 단계(216)의 분석이 패턴 및 파라미터 선택의 통합 부분으로 고려될 수 있지만, 이러한 고려의 중요성을 강조하기 위해 도 2a에서 별도의 단계로서 나타내었다. 본문에서는, 추가 데이터 지점들이 관련 파라미터 공간의 전체 포괄을 제공하지 않는다면, 캘리브레이션에 더 많은 패턴들을 추가한다고 해서 저절로 정확성이 개선되지 않는다는 것을 보여주었다.
정의된 테스트 패턴들 및 공정 조건들이 파라미터 공간의 충분한 커버리지를 제공한다면, 상기 방법은 단계(220)로 진행한다. 단계(220)에서, 반도체 제조 분야에서 현재 최첨단 기술인 광학 투영 리소그래피의 적용을 위해, 동일한 마스크 기술 및 제조 방법을 이용하여 테스트 마스크가 제조되며, 이는 캘리브레이션된 포커스-노광 모델에 의해 설명될 리소그래피 공정에 사용될 것이다. 테스트 마스크는 이전에 정의된 테스트 패턴들의 전체 세트를 포함한다. 예를 들어, 이는 고려중인 리소그래피 공정에 따라 바이너리 마스크, 감쇠 위상-시프트 마스크 또는 교번 위상-시프트 또는 무크롬(chromeless) 위상-시프트 마스크일 수 있다. 향후의 리소그래피의 경우, 예를 들어 고정되고 변하지 않는 템플레이트 레티클 대신에 공간 광 변조기들을 이용하는 마스크없는 광학 기술들이 도입될 수 있다. 포커스-노광 모델 캘리브레이션은 이러한 기술들에 동일하게 적용되고, 유익할 것이며, 마스크 제조 단계는 가상으로 고려될 수 있다. 광학 무마스크 리소그래피(OML)에서는, 테스트 마스크 대신에, (OML 시스템에 대한 여하한의 선택된 래스터화 알고리즘(rasterization algorithm)에 의해 연산된) 선택된 테스트 패턴들에 대응하는 공간 광 변조기 세팅들이 사용된다.
단계(222)에서, 테스트 마스크를 이용하여, 또한 디바이스 제조 공정과 동일한 레지스트 파라미터들 및 처리 조건들을 이용하여, 고려중인 리소그래피 공정을 나타내는 노광 툴에서 테스트 웨이터들이 프린트된다. 이 프린팅 공정은, 통상적으로 1 이상의 비-반사(anti-reflection) 층들을 갖는 웨이퍼들 상으로 레지스트 층의 도포, 노광-전(pre-exposure) 베이크 단계, 테스트 마스크로부터 레지스트-코팅된 웨이퍼 상으로 이미지를 투영하는 스캐너 또는 스테퍼에서의 노광, 노광 후(post exposure) 베이크 단계, 및 레지스트 현상을 포함할 것이다. 또한, 프린팅 공정은, 이러한 것 또한 시뮬레이션 모델의 일부분이라면, 웨이퍼를 에칭하는 추가 단계를 포함할 수 있다. 테스트 패턴들의 프린팅은 공정 윈도우 내의 샘플링 위치들로서 이전에 정의된 모든 공정 세팅들을 이용하여 수행된다. 모든 샘플링 위치들에 대한 테스트 패턴들을 프린트하는 것은, 단일 기판 상의 반복되는 노광들 간의 파미터들을 점차 변동시키거나, 다수의 테스트 웨이퍼들을 따로 노광함으로써 달성될 수 있다.
단계(224)에서, 완전히 처리된 웨이퍼들 상의 테스트 패턴들은 실제 결과값들을 생성하기 위해 적절한 메트롤로지를 이용하여 측정된다. 단계(224)는 CD-SEM 또는 CD-AFM을 이용한 선폭 및 라인-엔드-풀백(line-end-pullback) 측정들, 2-차원 SEM 이미지 데이터의 생성 및 분석, CD의 광학 스캐터로메트리(scatterometry) 분석, 또는 포커스-노광 모델로부터 도출된 예측된 패턴 파라미터들에 상관될 수 있는 다른 측정들을 포함할 수 있다.
프린트된 테스트 패턴들로부터 도출된 실제 결과값들은 리소그래피 공정의 선택된 모델로부터의 시뮬레이션된 테스트 패턴들에 의해 매칭되어야 한다. 리소그래피 공정의 모델은 리소그래피 공정을 나타내는 1 이상의 모델 모듈을 포함한다. 상기 모델은 1 이상의 광학 모델을 포함하며, 선택적으로는 레지스트 모델, 마스크 모델, 및 적용가능하다면, 여하한의 다른 적절한 모델들, 예를 들어 레지스트 모델 후의 에칭 모델을 포함할 수 있다. 도 2a의 방법에서, 상기 모델은 쉽게 설명하기 위해 광학 모델 및 레지스트 모델만을 포함한다. 단계(226)에서, 광학 모델에 대한 초기 피팅 파라미터 값들이 선택되고, 단계(228)에서 레지스트 모델에 대한 초기 피팅 파라미터 값들이 선택된다. 광학 모델 및 레지스트 모델에 대한 초기 피팅 파라미터 값들은 피팅 파라미터들에 대해 공칭적, 디폴트 또는 최적-추측(best-guess) 값들일 수 있다. 광학 모델의 경우, 피팅 파라미터들은 광학 모델의 모든 조정가능한 파라미터들이다. 노광 도즈 및 디포커스는 조정가능한 파라미터들로서 간주되지 않지만, 제 1 원리들에 따라 공정 윈도우 내의 선택된 샘플링 위치들에 대응하도록 변동될 것이다. 단계(230)에서, 프린트된 테스트 패턴들은 광학 모델 및 레지스트 모델을 이용하여 시뮬레이션된다. 바람직한 실시예에서, 단계(230)의 시뮬레이션은 미국 특허 제 7,003,758호에 개시된 시스템 및 방법을 이용하여 구현된다. 일 실시예에서, 이하 도 8과 연계하여 설명되는 리소그래피 시뮬레이션 시스템(800)은 단계(230)를 수행하기 위해 이용된다. 단계(230)에서는, 단계들(212 내지 216)에서 정의된 공정 윈도우 내의 모든 위치들 및 모든 테스트 패턴들에 대해 시뮬레이션들이 수행되어, 시뮬레이션된 결과값들을 생성한다. 시뮬레이션들 동안에, 상기 모델의 노광 도즈 및 디포커스 파라미터들은 제 1 원리들에 따라 변동되 며, 레지스트 모델의 모든 피팅 파라미터들을 포함하는 상기 모델의 피팅 파라미터들의 값들은 변하지 않고 유지된다.
그 후, 다음 단계(232)에서는, 예를 들어 시뮬레이션된 라인/공간 또는 갭 폭들을 대응하는 CD-SEM 측정값들과 비교함으로써 시뮬레이션된 결과값들의 패턴 파라미터들이 실제 결과값들에 대해 비교된다. 대안적으로, "측정값들"은 예측된 2-차원의 프린트된 패턴들을 나타내는 시뮬레이션된 레지스트(또는 에칭된) 윤곽 라인들 상에서 수행될 수 있으며, 시뮬레이션된 패턴들 상에서의 이러한 측정값들은 프린트된 패턴들의 등가 측정값들에 대해 비교된다. 상기 측정값들은 CD 또는 라인-엔드 풀백, 에지 배치 오차, 또는 대응하는 2-차원 형상들의 더 복잡한 평가값들과 같은 스칼라 값들을 수반할 수 있다. 예시를 위해 아래의 설명에서는 CD 측정값이 사용되며, 또한 여하한의 다른 패턴 파라미터들의 측정값들이 유사한 방식으로 사용될 수 있으며, 이는 본 발명의 범위내에 있다.
시뮬레이션된 결과값들과 실제 결과값들 간의 합의(agreement)를 정량화하기 위하여, 단계(232)에서는, 공정 윈도우의 각각의 샘플링 위치에 대해 프린트된 테스트 패턴들과 시뮬레이션된 테스트 패턴들 간의 차이 측도가 계산된다. 일 실시예에서, 차이 측도는 아래의 방정식(1)에 정의된 RMS(Root-Mean-Square) 양식(sense)에서 시뮬레이션된 값들과 측정된 값들 간의 "차이"를 반영하도록, 계산될 수 있는 비용 함수(cost function)으로 표현된다. 방정식(1)에서, RMS(k)는 비용 함수의 k-번째 반복 후의 시뮬레이션된 CD 값들과 측정된 CD 값들 간의 "차이"이며, M은 공정 윈도우의 샘플링 위치들의 전체 개수이고, N은 공정 윈도우 내의 각각의 샘플링 위치에서 측정될 테스트 패턴들의 개수이며, CDmeas(Ei, Fi, TPj)는 공정 윈도우 내이 i-번째 샘플링 위치에서의 포커스 및 노광 값들(Ei, Fi)로 제조된 j-번째 테스트 패턴(TP)에서의 실제 CD 측정값으로, E는 노광 도즈 값이고 F는 포커스 값이며, CDsimu(Ei, Fi, TPj, )는 공정 윈도우 내의 i-번째 샘플링 위치에서의 포커스 및 노광 값들을 이용하여 대응하는 테스트 패턴의 시뮬레이션된 CD 측정값으로, 는 피팅 파라미터들의 세트이고, , L은 광학 모델 및 레지스트 모델의 피팅 파라미터들의 전체 개수이며, k는 k-번째 반복 후의 조정된 피팅 파라미터들을 나타낸다. 비용 함수의 정의는 다양한 데이터 지점들 또는 다른 조정들에 대한 상이한 가중 인자(weight factor: Wi ,j)들을 포함할 수 있다.
방정식(1):
방정식(1)에 의해 계산된 비용 함수 값은 시뮬레이션된 결과값들과 실제 결과값들 간의 RMS라고 칭해지며, 일 실시예에서는 단계(232)에서의 차이 측도로서 사용된다. 비용 함수의 크기는 시뮬레이션된 결과값들과 실제 결과값들 간의 피팅 품질(quality of the fitting)의 측도이고, 캘리브레이션 공정의 목적은, 방정식(2)에 나타낸 바와 같이, 조정가능한 피팅 파라미터들을 변동시켜 비용 함수, 예를 들어 RMS(k)를 최소화함으로써, 포커스-노광 모델을 최적화하는 것이다.
방정식(2):
MIN = Minimize ( RMS (k)), k = 1, 2,....
단계(234)에서, 계산된 차이 측도가 사전설정된 임계값 이하로 내려가는지를 결정한다. 대안적으로, 차이 측도의 전체 최소값이 구해진다. 차이 측도가 최소화되지 않거나 사전설정된 임계값 이하로 내려가지 않으면, 상기 방법은 광학 모델 모듈 및 레지스트 모델 모듈의 피팅 파라미터 값들이 소정 시퀀스에서 조정되거나 조율되는 단계(236)로 진행한다. 그 후, 상기 방법은 광학 모델 및 레지스트 모델에 대한 조정된 피팅 파라미터 값들을 이용하여 프린트된 테스트 패턴들을 시뮬레이션하도록 단계(230)로 복귀된다. 그 후, 단계(232)에서는, 새로운 시뮬레이션된 테스트 패턴들과 프린트된 테스트 패턴들 간의 차이 측도가 계산되고, 상기 차이 측도는 단계(234)에서 평가된다. 단계들(236, 230, 232 및 234)은 현재 차이 측도가 최소화되거나 사전설정된 임계값 이하가 될 때까지 반복된다.
그 후, 단계(238)에서, 광학 모델 및 레지스트 모델의 현재 피팅 파라미터 값들은 캘리브레이션된 포커스-노광 모델에 대한 피팅 파라미터 값들로서 지정된다. 그 후, 캘리브레이션된 포커스-노광 모델은 공정 윈도우 내의 어느 위치에서도 리소그래피 공정을 시뮬레이션하는데 사용될 수 있다.
포커스-노광 모델의 캘리브레이션의 주요 특성은, 테스트 패턴들을 시뮬레이션하는 동안 공정 파라미터들의 여러 디멘션들을 따라 데이터 지점들을 포함하는 것으로, 통상적으로는 노광-디포커스 공정 윈도우 공간에서의 수 개의 공정 세팅들을 포함하는 한편, 대응하는 제약들은 캘리브레이션 과정 동안에 피팅 파라미터 값 들에 놓인다. 이는 단순히, 샘플링 위치들 사이의, 실제로 테스트 웨이퍼 프린팅 공정에 적용된 광학 모델의 공정 조건들만이, 샘플링 위치들, 예를 들어 도 2a의 방법에서의 포커스 및 노광 도즈에서 테스트 패턴들의 시뮬레이션들에서 제 1 원리들에 따라 변화하도록 허용되며, 모델의 다른 모든 피팅 파라미터들은 공정 윈도우 내의 샘플링 위치들 사이에서 일정하게 유지된다는 것을 의미한다. 따라서, 모델 파라미터 값들의 단일한 전체 세트가 캘리브레이션에 사용되는 정확한 위치에서가 아닌, 공정 윈도우 내의 초기 샘플링 영역의 합당한 주변 내의 어느 노광-도즈 세팅에서 "새로운" 모델들(즉, 예측된 패턴들)을 생성하는데 사용될 수 있는 캘리브레이션 공정으로부터 도출된다. 리소그래피 공정이 시뮬레이션된 공칭 조건에서만 시뮬레이션되더라도, 공정 윈도우의 중심에서뿐만 아니라, 공정 윈도우의 중심에서 다소 떨어진 다수의 위치들에서도 수집된 데이터를 이용하여 포커스-노광 모델이 캘리브레이션되는 때에, 더 양호한 성능이 달성된다.
도 2b는 본 발명의 일 실시예에 따른 공정 윈도우 내의 어느 위치에서 모델을 생성하는 방법 단계들의 흐름도이다. 단계(252)에서, 포커스-노광 모델은 도 2a와 연계하여 앞서 설명된 방법에 따라 캘리브레이션된다. 선택된 위치는 공정 윈도우 내의 어느 위치일 수 있으며, 부연하면 선택된 위치는 포커스-노광 모델의 캘리브레이션 동안에 사용된 샘플링 위치들 중 하나이도록 요구될 수 있으나 그러하지 않을 수도 있다. 그 후, 단계(256)에서는, 공정 윈도우의 선택된 위치에 대응하는 변동된 모델 파라미터들(예를 들어, 노광 및 포커스)에 대한 값들의 세트를, 제 1 원리들에 따라 캘리브레이션된 포커스-노광 모델에 적용함으로써 모델이 생성되는 한편, 다른 모든 캘리브레이션된 모델 파라미터들(즉, 피팅 파라미터들)은 FEM의 최종 피팅된 값들로 유지된다. 그 후, 상기 모델은 공정 윈도우 내의 선택된 위치에서 리소그래피 공정의 성능을 시뮬레이션하는데 사용된다.
65 nm 리소그래피 공정에 대한 포커스-노광 모델의 예시적인 캘리브레이션이 수행되었다. 이 65 nm 리소그래피 공정을 위해, 거의 2000 개의 1-차원 및 2-차원 테스트 패턴들의 전체 세트가 정의되었다. 캘리브레이션을 위해, 공정 윈도우 내에 11 개의 위치들이 선택되었다. 상기 위치들은 도 4a에 개략적으로 도시되어 있다. 테스트 웨이퍼들은 공정 윈도우 내의 상기 11 개의 위치들에 대해 프린트되었다. 도 4b에 도시된 바와 같이, 상기 위치들은 +/-100 nm 및 +/-150 nm의 포커스 오프셋(focus offset)들을 포함하고, 공칭 값들로부터 +/-2.41 % 내지 +/-4.82 %의 노광 변동들을 포함한다. 수 개의 캘리브레이션 실행(run)들은 도 4b에 도시된 11 개의 위치들의 상이한 서브세트들에 대해 수행되었다. 총 11 개보다 적은 위치들이 캘리브레이션을 위해 사용된 경우, 나머지 데이터는 측정된 테스트 파라미터 값들로부터 시뮬레이션된 테스트 파라미터 값들의 편차(deviation)를 결정함으로써 모델 검증(model verification)에 사용되었다. 거의 2000 개의 테스트 패턴들의 풀 세트는 공칭 조건(공정 윈도우의 중심)에서 사용된 한편, 다른 모든 샘플링 위치들에서는 300 개, 즉 테스트 패턴들의 15 %만이 포함된다. 모든 측정값들은 스칼라 CD 측정값이고, 모델의 정확성은 시뮬레이션된 CD 값들과 측정된 CD 값들 간의 RMS 편차에 의해 정량화된다.
도 5는 캘리브레이션 실행들의 결과값들을 나타낸다. 제 2 열은 캘리브레이 션에 사용된 공정 윈도우 내의 샘플링 위치들의 그래프도를 제공하며; 나머지 모든 위치들로부터의 데이터는 모델 검증에 사용되었다. 제 2 열 각각의 셀에 도시된 점들은 도 4b에 도시된 같은 위치들에 대응한다. 캘리브레이션 및 검증 위치들의 개수는 각각 도 5의 제 3 열 및 제 4 열에 제공된다. 제 5 열은 모든 위치들 및 모든 패턴들에 걸쳐 nm 크기의 전체 RMS를 리스트한 한편, 어느 단일 샘플링 위치에서의 최대 RMS는 최종 열에 제공된다. 상기 개수들은, 11 개의 총 샘플링 위치들로부터의 데이터를 이용하는 때에 최적의 전체 피팅이 얻어지나, 디포커스 방향을 따라 샘플링 위치들의 개수를 3 개로 감소시킨 후에만, 피트 품질(fit quality)의 매우 적은 저하(minor degradation)가 존재한다는 것을 나타낸다. 그러므로, 공정 윈도우 내의 3 개의 샘플링 위치들에서만 수집된 데이터 - 공칭 조건, 공칭 노광에서의 양의 디포커스 조건 및 공칭 노광에서의 음의 디포커스 조건 - 를 이용하여 포커스-노광 모델을 캘리브레이션하는 것이 가장 바람직하다. 또한, 노광 변동들을 갖는 샘플링 위치들만이 선택된 노광 경우만을 제외하고, 다른 모든 결과들은 모델 정확성이 공정 윈도우 내의 샘플링 위치들의 정확한 선택에 대해 민감하지 않다는 것을 나타낸다. 더욱이, 캘리브레이션된 포커스-노광 모델을 생성하는 방법은 캘리브레이션 데이터에 의해 포괄된 파라미터 범위 밖의 온건한 외삽(moderate extrapolation)을 허용한다.
캘리브레이션 데이터 내에 디포커스 데이터가 포함되지 않고, 매우 적은 도즈 변동들만이 포함된 노광 경우에서만, 파라미터 피팅 공정은 잘못된 광학 파라미터들을 유도하였다. 이 결과는 너무 놀랄만한 것은 아니다. 이전에 설명된 바와 같 이, 디포커스 영향들은 레지스트 파라미터들, 예를 들어 확산 상수들에 의해 흡사해질 수 있으며, 스루-포커스 데이터(through-focus data) 없이, 상기 피팅은 정확한 모델 파라미터 값들을 생성하도록 충분히 제약될 수 없다. 그러므로, 모델 캘리브레이션을 위해 비-공칭(off-nominal) 샘플링 위치들을 선택하는 기준은, 피팅 파라미터들의 정확한 최종 값들을 얻기 위해 공칭 포커스를 벗어난(off) 1 이상의 샘플링 위치를 포함하는 것이다.
본 발명의 방법은 공정 윈도우 내의 상이한 이산 지점들에 대해 별도로 모델들을 캘리브레이션하는 현재의 방식을 능가하는 충분한 이점들을 제공한다. 도 6은 2 개의 캘리브레이션 접근법, 즉 포커스-노광 모델의 캘리브레이션 및 다중 이산 모델들의 캘리브레이션 간의 비교를 제공한다. 도 6에서는, 각각의 샘플링 위치들이 N 번의 측정을 필요로 하고, 공칭 샘플링 위치 이외의 여분의 샘플링 위치들의 개수는 x라고 가정한다. 종래 기술의 다중 이산 모델에서, 모든(1+x) 위치들에 요구되는 측정들의 전체 회수는 (1+x)N이다. 이와 반대로, 상기 언급된 바와 같이 포커스-노광 모델은 여분의(즉,비(non)-공칭) 샘플링 위치들에서 15 %만의 측정들을 요구하기 때문에, 모든(1+x) 위치들에 요구되는 측정들의 개수는 따라서 (1+0.15x)N이 된다. 또한, 종래 기술의 다중 이산 모델은 (1+x) 위치들 각각에서 별도의 캘리브레이션을 요구하지만, 포커스-노광 모델은 동시에 고려된 공정 윈도우 내의 모든 위치들에서의 측정들 대해 하나의 캘리브레이션만을 요구한다. 또한, 종래 기술의 다중 이산 모델과 달리, 포커스-노광 모델은 분리가능한 공통 마스크(separable common mask), 광학(optical), 및 레지스트 구간(resist term)을 갖 는다. 또한, 포커스-노광 모델은 도 2b에 도시된 바와 같이, 샘플링 위치들에 의해 정의된 전체 경계 내에 비제한적인 추가 모델들을 생성(즉, 고정 윈도우 내에 비제한적인 개수의 위치들에서 정확한 예측들을 수행)할 수 있지만, 종래 기술의 다중 이산 모델은 별도의 캘리브레이션들이 수행된 (1+x) 위치들에서만 정확할 수 있다.
도 7은 본 발명에 따른 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템(700)의 일 실시예의 블록도이다. 시스템(700)은 입력 디바이스(712), 모델 캘리브레이션 모듈(714), 출력 디바이스(716) 및 저장 영역(718)을 포함하나, 이것으로 제한되지 않는다. 저장 영역(718)은 물리적 모델 정보(720)를 포함하나, 이것으로 제한되지 않는다. 물리적 모델 정보(720)는 광학 모델 정보(722) 및 레지스트 모델 정보(724)를 포함하나, 이것으로 제한되지 않는다. 광학 모델 정보(722)는 광학 모델 및 각각의 광학 모델 파라미터에 대한 가능한 값들의 세트를 포함하며, 레지스트 모델 정보(724)는 레지스트 모델 및 각각의 레지스트 모델 파라미터에 대한 가능한 값들의 세트를 포함한다. 모델 캘리브레이션 모듈(714)은 입력 디바이스(712)를 통해 공정 윈도우 정의 정보 및 프린트된 테스트 패턴 측정값들을 수신한다. 모델 캘리브레이션 모듈(714)은 광학 모델 정보(722) 및 레지스트 모델 정보(724)와 연계하여 공정 윈도우 정의 정보 및 프린트된 테스트 패턴 측정값들을 이용하여, 캘리브레이션된 포커스-노광 모델을 생성한다. 캘리브레이션된 포커스-노광 모델은 계속 조정가능한 2 이상의 광학 파라미터들에 의해 설명된 공정 윈도우에 걸쳐 리소그래피 공정의 성능을 설명할 수 있다.
도 8은 본 발명에 따른 리소그래피 시뮬레이션 시스템(800)의 일 실시예의 도면이다. 시스템(800)은 제한하는 것은 아니지만, 어플리케이션 처리 시스템(814a) 및 프론트-엔드(front-end) 처리 시스템(814b)을 포함하는 1 이상의 범용형(general purpose-type) 연산 시스템들을 포함하나, 이것으로 제한되지 않는다. 어플리케이션 처리 시스템(814a)은 시스템(800)의 전체 작동들의 작업 관리(job management)를 다루도록 적절히 구성된다. 특히, 일 실시예에서 어플리케이션 처리 시스템(814a)은 어플리케이션 처리 디바이스(836) 및 어플리케이션 SCSI RAID(838a)를 포함한다. 어플리케이션 처리 디바이스(836)는 시스템(800)의 다양한 구성요소의 작동들의 관리를 제공하도록 적절히 프로그램된다. 이와 관련하여, 예를 들어, 어플리케이션 처리 디바이스(836)는 가속기 시스템(816)의 다양한 구성요소들에 대한 설계 데이터베이스를 분할하도록 프로그램될 수 있으므로, 가속기 시스템(816)의 구성요소들에 의해 수행된 개개의 작업들, 기능들 또는 공정들을 구체화할 수 있다. 어플리케이션 SCSI RAID 하드-디스크 어레이(838a)는 어플리케이션 처리 디바이스(836)에 의해 사용된 프로그램들 및 데이터(예를 들어, 설계 데이터베이스)의 저장을 제공한다.
프론트-엔드 처리 시스템(814b)은, 예를 들어 작업 셋업 및/또는 결과값 검토/분석을 위해 시스템(800)에 오퍼레이터(operator) 또는 유저(user) 액세스를 제공하는 (예시되지 않은) 클라이언트 컴퓨터(들)를 통해 유저 또는 오퍼레이터(즉, "외부 세상(outside world))"와의 직접적인 상호작용을 다루거나 수행하도록 적절히 프로그램된 프론트-엔드 처리 디바이스(840)를 포함한다. 다수의 시뮬레이션 작업들의 결과값들 및 이미지들을 저장하기 위해 프론트-엔드 SCSI RAID(838b)가 사 용되기 때문에, 프론트-엔드 처리 디바이스(840)와 연계된 프론트-엔드 SCSI RAID 하드-디스크 어레이(838b)는 고용량 저장 디바이스이어야 한다. 또한, 프론트-엔드 처리 시스템(814b)은 어플리케이션 SCSI RAID(838a)(예를 들어, 설계 데이터베이스)로 또는 그로부터 데이터를 제공하거나 검색하기 위해 어플리케이션 처리 시스템(814a)과 통신하며, 유저 또는 오퍼레이터에 의해 명령된 작업을 시작하기 위해 어플리케이션 처리 시스템(814a)을 명령한다.
어플리케이션 처리 시스템(814a) 및 프론트-엔드 처리 시스템(814b)은, 예를 들어 고속 스위치들(예를 들어, 기가비트-이더넷 스위치들(842a 및 842b))을 통해 가속기 시스템(816)에 접속한다. 스위치들(842a 및 842b)은 Dell Computer(Austin, Tex, USA)에 의해 제조되고 제공된 Dell 5224 Power Connect일 수 있다. Dell 5224 Power Connect의 구현 및 작동은, 본 명세서에서 전문이 인용 참조되는, 어플리케이션 노트, 테크니컬/저널 아티클 및 데이터 시트에 상세히 설명되어 있다.
일 실시예에서, 리소그래피 시뮬레이션의 모든 또는 실질적으로 모든 실제 연산 집중적 작업들은 가속기 시스템(816)에 의해, 특히 1 이상의 가속기 구성요소들(816a-n)에 의해 행해질 수 있다. 이 아키텍처는 가속기 하드웨어 구성요소(816a-n)의 개수를 변화시킴으로써 스케일링할 수 있는(scalable) 연산 능력을 허용한다. 또한, 이 아키텍처는 시스템(800)의 전반적인 폴트-톨러런스(fault-tolerance)를 가능하게 하거나 향상시킨다. 예를 들어, 주어진 가속기 하드웨어 구성요소(816a-n)가 고장난다면, 그 작업들은 다른 가속기 하드웨어 구성요소들(816a-n)에 재-할당될 수 있으며, 이러한 방식으로 시스템(800)은 그 작업 조건/ 상태를 유지한다.
특히, 가속기 시스템(816)은 1 이사의 가속기 구성요소(816a-n)를 포함할 수 있으며, 그 각각은 (1 이상의 마이크로프로세서들을 포함하는) 1 이상의 마이크로프로세서 서브시스템(844a-n), 1 이상의 가속기 서브시스템(846a-n), 및 연계된 마이크로프로세서 서브시스템(844a-n)에 커플링된 로컬 또는 레지던트(resident) 메모리 저장부(848a-n) 중 하나를 갖는다. 하드웨어 가속 능력의 정도(extent) 또는 양은 수행될 연산의 정도 또는 양에 의존하여 마이크로프로세서 서브시스템(844a-n)들과 밸런싱될 수 있다.
일 실시예에서, 마이크로프로세서 서브시스템(844a-n)들 각각은 Intel(Santa Clara, Calif, USA)에 의해 제조된 2 개의 Xeon 마이크로프로세서를 포함한다. 가속기 시스템(846a-n)들 각각은 복수의 ASIC(Application-Specific Integrated Circuit), 특정-용도의 DSP 집적 회로들, 및/또는 프로그램가능한 게이트 어레이들(예를 들어, 필드-프로그램가능한 게이트 어레이("FPGA"))들을 포함한다. 실제로, 각각의 가속기 서브시스템들(846a-n)은 다수의 가속기 시스템들을 포함할 수 있으며, 예를 들어 가속기 서브시스템(846a)은 도 8에 예시된 바와 같이, 모든 가속기 서브시스템들(846a1-6ax)을 포함할 수 있다. 이러한 방식으로, 완전히 이용될 때, 각각의 가속기 서브시스템들(846a-n)은 대략적으로 25 개의 Xeon 마이크로프로세서들의 연산 능력을 포함한다.
버스(850a-n)는 마이크로프로세서 서브시스템(844a-n) 및 연계된 가속기 서브시스템(들)(846a-n) 간의 고속 통신을 용이하게 한다. 버스(850a-n) 상에서의 통 신 프로토콜들 및 기술들은 PCI, PCIX, 또는 다른 고속 통신 프로토콜들 및 기술들일 수 있다. 실제로, 지금 공지되어 있거나 이후에 개발될 어떠한 고속 기술도 버스(850a-n) 상에서 구현될 수 있다. 주목할 것은, 일 실시예에서, 버스 인터페이스는 Business Machines Corporation(Armonk, N.Y., USA)의 21P100BGC PCI-X 브리지(64 비트/133 MHz)를 이용하여 구현될 수 있다. 상기 21 P100BGC의 구현 및 작동은 본 명세서에서 인용 참조되는 어플리케이션 노트, 테크니컬/저널 아티클 및 데이터 시트에 상세히 설명되어 있다.
이상, 본 발명은 특정 실시예들에 대해 설명되었다. 하지만, 설명된 바와 같은 본 발명의 더 넓은 사상과 범위를 벗어나지 않고, 다양한 수정들과 변형들이 행해질 수 있음은 분명하다. 따라서, 이전의 설명과 도면들은 제한적인 취지보다는 예시적인 취지로 간주되어야 한다.
Claims (61)
- 리소그래피 공정의 포커스-노광 모델(focus-exposure model)을 생성하는 방법에 있어서,리소그래피 공정의 모델을 선택하는 단계를 포함하고, 상기 모델은 광학 모델 모듈을 포함하며, 상기 모델은 포커스 및 노광을 포함하는 모델 파라미터들의 세트, 및 변수 값들을 갖는 피팅 파라미터(fitting parameter)들의 세트를 가지며;포커스-노광 공간에서 상기 리소그래피 공정에 대한 공정 윈도우를 정의하는 단계;상기 모델에 대한 초기 피팅 파라미터 값들의 세트를 선택하는 단계;상기 공정 윈도우 내의 복수의 샘플링 위치들을 선택하는 단계를 포함하고, 상기 복수의 샘플링 위치들은 공칭 조건(nominal condition)을 포함하며, 상기 공정 윈도우 내의 모든 가능한 공정 조건들의 서브세트(subset)이고;상기 초기 피팅 파라미터 값들의 세트를 갖는 상기 모델을 이용하여, 상기 초기 피팅 파라미터 값들을 일정하게 유지하면서, 상기 공정 윈도우 내의 상기 복수의 샘플링 위치들에 대응하는 노광 및 포커스의 변화 값들로 상기 리소그래피 공정을 시뮬레이션함으로써, 상기 공정 윈도우 내의 상기 복수의 샘플링 위치들 각각에서 상기 리소그래피 공정의 시뮬레이션된 결과값들을 생성하는 단계;상기 공정 윈도우 내의 상기 복수의 샘플링 위치들 각각에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교하여, 상기 복수의 모든 샘플링 위치들에서의 상기 실제 결과값들과 상기 시뮬레이션된 결과값들 간의 전체 차이 측도(difference measure)를 생성하는 단계;최적의 피팅 파라미터 값들을 식별(identify)하여, 상기 최적의 피팅 파라미터 값들을 이용하여 생성된 시뮬레이션된 결과값들과 상기 실제 결과값들 간의 상기 전체 차이 측도가 최소화되거나 사전설정된 임계값 이하로 낮아지도록, 상기 피팅 파라미터 값들의 세트를 수정하고, 상기 공정 윈도우 내의 상기 복수의 샘플링 위치들 각각에서 추가 시뮬레이션된 결과값들을 생성하는 단계; 및상기 최적의 피팅 파라미터 값들을 포함하는 상기 모델로서 상기 포커스-노광 모델을 정의하는 단계를 포함하고, 상기 포커스-노광 모델은 상기 전체 공정 윈도우 내의 어느 위치에서도 상기 리소그래피 공정을 시뮬레이션할 수 있는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 1 항에 있어서,상기 포커스-노광 모델은 상기 공정 윈도우 내의 단일 위치에서 상기 리소그래피 공정을 시뮬레이션하는데 사용되는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 1 항에 있어서,상기 포커스-노광 모델은, 상기 복수의 샘플링 위치들 중 하나가 아닌 상기 공정 윈도우 내의 어느 한 위치에서, 상기 최적의 피팅 파라미터 값들을 변화시키지 않고 상기 복수의 샘플링 위치들을 이용하여 상기 공정 윈도우 내의 상기 어느 한 위치에 대응하는 포커스 및 노광 값들을 상기 포커스-노광 모델에 적용함으로써, 상기 리소그래피 공정을 시뮬레이션하는데 사용되는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 4은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 모델 파라미터들의 세트는 포커스 및 노광 이외에도, 1 이상의 파라미터들을 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 5은(는) 설정등록료 납부시 포기되었습니다.제 4 항에 있어서,상기 1 이상의 파라미터들은 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 6은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 리소그래피 공정의 모델은 레지스트 모델 모듈을 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 7은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 리소그래피 공정의 모델은 마스크 모델 모듈을 더 포함하는 것을 특징 으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 8은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 복수의 샘플링 위치들은 공칭 노광 및 변동하는 포커스 값들에서만 샘플링 위치들을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 9은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 복수의 샘플링 위치들은 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 및 공칭 노광 조건에서의 음의 디포커스 조건만을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 10은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,테스트 마스크용 테스트 패턴들의 세트를 선택하는 단계를 더 포함하고, 상기 테스트 패턴들의 세트는 상기 리소그래피 공정의 특징적인 근접성 상호작용들의 전체 범위를 포괄(cover)하며;상기 테스트 패턴들의 세트를 웨이퍼 상에 프린트하여, 테스트 구조체들의 세트를 형성하는 단계; 및상기 테스트 구조체들의 세트를 이용하여, 상기 실제 결과값들을 생성하는 단계를 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 11은(는) 설정등록료 납부시 포기되었습니다.상기 시뮬레이션된 결과값들 및 상기 실제 결과값들은 임계 치수 측정값들인 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 12은(는) 설정등록료 납부시 포기되었습니다.제 1 항에 있어서,상기 전체 차이 측도는 제곱 평균 차이(root mean square difference)인 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 리소그래피 공정의 포커스-노광 모델을 생성하는 방법에 있어서,리소그래피 공정의 사전설정된 공정 윈도우 내의 공정 조건들의 세트를 선택하는 단계를 포함하고, 상기 공정 조건들의 세트는 상기 공정 윈도우 내의 모든 가능한 공정 조건들의 서브세트이며, 각각의 공정 조건은 노광 값 및 디포커스 값이고,상기 리소그래피 공정의 모델을 선택하는 단계를 포함하고, 상기 모델은 광학 모델 모듈을 포함하며, 상기 모델은 포커스 및 노광을 포함하는 모델 파라미터들의 세트, 및 변수 값들을 갖는 피팅 파라미터들의 세트를 포함하고;상기 모델을 이용하여 상기 공정 조건들의 세트의 각각에서 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과값들을 생성하는 단계를 포함하고, 상기 포커스 및 노광 파라미터들의 값들은 상기 공정 조건들의 세트에 대응하도록 변화되며, 상기 피팅 파라미터 값들은 일정하게 유지되고; 및상기 공정 조건들의 세트 모두에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교함으로써 상기 모델을 캘리브레이션하여, 상기 사전설정된 공정 윈도우 내의 모든 가능한 공정 조건들에서 상기 리소그래피 공정을 시뮬레이션할 수 있는 단일 포커스-노광 모델을 생성하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 13 항에 있어서,상기 포커스-노광 모델은 상기 공정 조건들의 세트 중 하나가 아닌 사전설정된 공정 윈도우 내의 어느 한 공정 조건에서 상기 리소그래피 공정을 시뮬레이션하는데 사용되는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 13 항에 있어서,상기 모델 파라미터들의 세트는 포커스 및 노광 이외에도, 1 이상의 파라미터들을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 15 항에 있어서,상기 1 이상의 파라미터들은 1 이상의 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 17은(는) 설정등록료 납부시 포기되었습니다.상기 리소그래피 공정의 모델은 레지스트 모델 모듈을 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 18은(는) 설정등록료 납부시 포기되었습니다.제 13 항에 있어서,상기 리소그래피 공정의 모델은 마스크 모델 모듈을 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 19은(는) 설정등록료 납부시 포기되었습니다.제 13 항에 있어서,상기 공정 조건들의 세트는 공칭 노광 및 포커스의 변동 값들에서의 공정 조건들만을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 20은(는) 설정등록료 납부시 포기되었습니다.제 13 항에 있어서,상기 공정 조건들의 세트는 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 및 공칭 노광 조건에서의 음의 디포커스 조건만을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 13 항에 있어서,테스트 마스크용 테스트 패턴들의 세트를 선택하는 단계를 더 포함하고, 상기 테스트 패턴들의 세트는 상기 리소그래피 공정의 특징적인 근접성 상호작용들의 전체 범위를 포괄하며;상기 테스트 패턴들의 세트를 웨이퍼 상에 프린트하여, 테스트 구조체들의 세트를 형성하는 단계; 및상기 테스트 구조체들의 세트를 이용하여, 상기 실제 결과값들을 생성하는 단계를 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 13 항에 있어서,상기 시뮬레이션된 결과값들 및 상기 실제 결과값들은 임계 치수 측정값들인 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 전체 공정 윈도우에 걸쳐 리소그래피 공정을 시뮬레이션할 수 있는 상기 리소그래피 공정의 포커스-노광 모델을 생성하는 방법에 있어서,상기 리소그래피 공정을 이용하여 공정 조건들의 세트의 각각에서 웨이퍼 상에 프린트된 테스트 구조체들의 세트의 측정값들을 얻는 단계를 포함하고, 상기 상기 공정 조건들의 세트는 노광-디포커스 공간에서 공정 윈도우 내의 모든 가능한 조건들의 서브세트이고;상기 리소그래피 공정의 모델을 이용하여 상기 공정 조건들의 세트의 각각에서 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계를 포함하고, 상기 모델은 포커스 및 노광을 포함하는 모델 파라미터들, 및 변수 값들을 갖는 피팅 파라미터들의 세트를 포함하며;상기 공정 조건들의 세트 모두에서 상기 테스트 구조체들의 세트의 상기 측정값들과 최적 피트(best fit)인 시뮬레이션 결과값들을 생성하는 상기 피팅 파라미터들의 최적 값들을 결정하는 단계;상기 피팅 파라미터들의 최적 값들을 갖는 상기 모델로서 상기 포커스-노광 모델을 정의하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 23 항에 있어서,상기 포커스-노광 모델은, 상기 공정 조건들의 세트 중 하나가 아닌 상기 공정 윈도우 내의 어느 한 공정 조건에서, 상기 피팅 파라미터들의 최적 값들을 변화시키지 않고 상기 공정 조건들의 세트를 이용하여 상기 공정 윈도우 내의 상기 어느 한 공정 조건에 대응하는 포커스 및 노광 값들을 상기 포커스-노광 모델에 적용함으로써, 상기 리소그래피 공정을 시뮬레이션하는데 사용되는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 25은(는) 설정등록료 납부시 포기되었습니다.제 23 항에 있어서,상기 모델 파라미터들은 포커스 및 노광 이외에도, 1 이상의 파라미터들을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 26은(는) 설정등록료 납부시 포기되었습니다.제 25 항에 있어서,상기 1 이상의 파라미터들은 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 23 항에 있어서,상기 리소그래피 공정의 모델은 레지스트 모델 모듈을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 제 23 항에 있어서,상기 리소그래피 공정의 모델은 마스크 모델 모듈을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 29은(는) 설정등록료 납부시 포기되었습니다.제 23 항에 있어서,상기 공정 조건들의 세트는 공칭 노광 및 변동하는 포커스 값들에서의 공정 조건들만을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 30은(는) 설정등록료 납부시 포기되었습니다.제 23 항에 있어서,상기 공정 조건들의 세트는 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 및 공칭 노광 조건에서의 음의 디포커스 조건만을 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 31은(는) 설정등록료 납부시 포기되었습니다.제 23 항에 있어서,상기 테스트 패턴들의 세트를 상기 웨이퍼 상에 프린트하여, 테스트 구조체들의 세트를 형성하는 단계를 더 포함하는 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 청구항 32은(는) 설정등록료 납부시 포기되었습니다.제 23 항에 있어서,상기 시뮬레이션된 결과값들 및 상기 테스트 구조체들의 세트의 측정값들은 임계 치수 측정값들인 것을 특징으로 하는 포커스-노광 모델을 생성하는 방법.
- 리소그래피 공정 능력을 예측하는 단일 공정 윈도우 모델을 생성하는 시스템에 있어서,정보를 저장하는 저장 영역;입력 디바이스;출력 디바이스;상기 저장 영역 내에 저장된 물리적 모델 정보; 및모델 캘리브레이션 모듈을 포함하고,상기 저장 영역은, 선택된 물리적 모델 정보가 상기 모델 캘리브레이션 모듈에 의해 액세스될 수 있도록 상기 모델 캘리브레이션 모듈과 통신(communication) 하고;상기 입력 디바이스는, 공정 윈도우를 정의하는 공정 윈도우 정의 정보가 상기 모델 캘리브레이션 모듈에 적용하게 될 수 있고, 상기 정의된 공정 윈도우에서의 상이한 테스트 조건들 하에서 웨이퍼의 측정값들로부터 얻어진 이산(discrete) 측정 정보가 상기 모델 캘리브레이션 모듈에 의해 액세스될 수 있도록 상기 모델 캘리브레이션 모듈과 통신하며;상기 캘리브레이션 모듈은, 상기 정의된 공정 윈도우 상에서의 리소그래피 공정의 특성이 계속 조정가능한 2 개의 광학 파라미터들로 설명(describe)될 수 있도록, 상기 공정 윈도우 정의 정보 및 상기 이산 측정 정보를 이용함으로써 단일 공정 윈도우 모델을 생성하여, 상기 선택된 물리적 모델 정보를 캘리브레이션하도록 구성되고,상기 단일 공정 윈도우 모델을 생성하는 것은, 시뮬레이션된 측정값들과 상기 이산 측정 정보를 비교하는 것을 포함하고, 상기 시뮬레이션된 측정값들은, 상기 선택된 물리적 모델 정보를 이용하여, 상기 물리적 모델 정보의 다른 모든 파라미터들을 일정하게 유지하면서 상기 계속 조정가능한 2 개의 광학 파라미터들을 변동시키는 방식으로, 상기 리소그래피 공정을 시뮬레이션함으로써 생성되는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 제 33 항에 있어서,상기 계속 조정가능한 2 개의 광학 파라미터들은 포커스 및 노광인 것을 특 징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 청구항 35은(는) 설정등록료 납부시 포기되었습니다.제 34 항에 있어서,상기 시뮬레이션된 측정값들은 공칭 노광 및 변동하는 포커스 값들에서만 상기 계속 조정가능한 2 개의 광학 파라미터들의 값들을 이용하여 생성되는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 청구항 36은(는) 설정등록료 납부시 포기되었습니다.제 34 항에 있어서,상기 시뮬레이션된 측정값들은 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 공칭 노광 조건에서의 음의 디포커스 조건에서만 상기 계속 조정가능한 2 개의 광학 파라미터들의 값들을 이용하여 생성되는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 제 33 항에 있어서,상기 물리적 모델 정보는 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 청구항 38은(는) 설정등록료 납부시 포기되었습니다.제 33 항에 있어서,상기 물리적 모델 정보는 레지스트 모델 정보를 포함하는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 청구항 39은(는) 설정등록료 납부시 포기되었습니다.제 33 항에 있어서,상기 물리적 모델 정보는 마스크 모델 정보를 포함하는 것을 특징으로 하는 단일 공정 윈도우 모델을 생성하는 시스템.
- 리소그래피 공정의 모델을 생성하는 방법에 있어서,리소그래피 공정의 사전설정된 공정 윈도우 내의 공정 조건들의 세트를 선택하는 단계를 포함하고, 상기 공정 조건들의 세트는 상기 공정 윈도우 내의 모든 가능한 공정 조건들의 서브세트이며, 각각의 공정 조건은 1 이상의 파라미터에 대한 값이고;상기 리소그래피 공정의 모델을 선택하는 단계를 포함하고, 상기 모델은 상기 공정 조건의 상기 1 이상의 파라미터를 포함하는 모델 파라미터들의 세트 및 피팅 파라미터들의 세트를 가지며;상기 모델을 이용하여 상기 공정 조건들의 세트의 각각에서 상기 리소그래피 공정을 시뮬레이션하여, 상기 시뮬레이션 결과들을 생성하는 단계를 포함하고, 상기 1 이상의 파라미터의 값은 상기 피팅 파라미터 값들이 일정하게 유지되는 동안에 상기 공정 조건들의 세트에 대응하도록 변동되며; 및상기 공정 조건들 모두에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교하여, 상기 사전설정된 공정 윈도우 내의 모든 가능한 공정 조건들에서 상기 리소그래피 공정을 시뮬레이션할 수 있는 단일 모델을 생 성하도록, 상기 모델을 캘리브레이션하는 단계를 포함하는 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 제 40 항에 있어서,상기 1 이상의 파라미터는 광학 파라미터인 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 청구항 42은(는) 설정등록료 납부시 포기되었습니다.제 41 항에 있어서,상기 광학 파라미터는 포커스인 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 청구항 43은(는) 설정등록료 납부시 포기되었습니다.제 41 항에 있어서,상기 광학 파라미터는 리소그래피 노광 툴의 개구수인 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 청구항 44은(는) 설정등록료 납부시 포기되었습니다.제 40 항에 있어서,상기 1 이상의 파라미터는 레지스트 파라미터인 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 제 40 항에 있어서,상기 1 이상의 파라미터는 광학 파라미터 및 레지스트 파라미터를 포함하는 것을 특징으로 하는 리소그래피 공정의 모델을 생성하는 방법.
- 공칭 조건에서 사용하기 위한 리소그래피 공정의 단일 모델을 생성하는 방법에 있어서,리소그래피 공정의 사전설정된 공정 윈도우 내의 공정 조건들의 세트를 선택하는 단계를 포함하고, 상기 공정 조건들의 세트는 상기 사전설정된 공정 윈도우 내의 모든 가능한 공정 조건들의 서브세트이며, 상기 공정 조건들의 세트는 공칭 조건을 포함하고, 각각의 공정 조건은 1 이상의 파라미터에 대한 값이며;상기 공정 조건의 1 이상의 파라미터를 포함하는 모델 파라미터들, 및 피팅 파라미터들의 세트를 갖는 리소그래피 공정의 모델을 선택하는 단계;상기 모델을 이용하여 상기 각각의 공정 조건들의 세트에서 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계를 포함하고, 상기 1 이상의 파라미터의 값은 상기 피팅 파라미터 값들이 일정하게 유지되는 동안에 상기 공정 조건들의 세트에 대응하도록 변동되고; 및단일 모델을 생성하기 위해, 상기 공정 조건들의 세트 모두에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들 간의 전체 차이 측도를 최소화함으로써 상기 모델을 캘리브레이션하는 단계를 포함하고,상기 단일 모델은 상기 공칭 조건에서 상기 리소그래피 공정을 모델링하는데 사용되는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 47은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,상기 1 이상의 파라미터는 포커스인 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 48은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,상기 1 이상의 파라미터는 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 49은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,테스트 마스크용 테스트 패턴들의 세트를 선택하는 단계;상기 테스트 패턴들의 세트를 웨이퍼 상에 프린트하여, 테스트 구조체들의 세트를 형성하는 단계; 및상기 테스트 구조체들의 세트를 이용하여, 상기 실제 결과들을 생성하는 단계를 더 포함하는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 제 46 항에 있어서,상기 모델을 캘리브레이션하는 단계는:상기 공정 조건들의 세트 모두에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교하여, 상기 실제 결과값들과 상기 시뮬레이 션된 결과값들 간의 전체 차이 측도를 생성하는 단계;최적의 피팅 파라미터 값들을 식별하여, 상기 최적의 피팅 파라미터 값들을 이용하여 생성된 상기 시뮬레이션된 결과값들과 상기 실제 결과값들 간의 상기 전체 차이 측도가 최소화되거나 사전설정된 임계값 이하로 낮아지도록, 상기 피팅 파라미터 값들을 수정하고, 상기 공정 조건들의 세트에서 추가 시뮬레이션된 결과값들을 생성하는 단계; 및상기 최적의 피팅 파라미터 값들을 포함하는 상기 모델로서 상기 단일 모델을 정의하는 단계를 더 포함하는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 51은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,상기 전체 차이 측도는 제곱 평균 차이인 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 52은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,상기 공정 조건들의 세트는 공칭 노광 및 변동하는 포커스 값들에서의 공정 조건들만을 포함하는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 청구항 53은(는) 설정등록료 납부시 포기되었습니다.제 46 항에 있어서,상기 공정 조건들의 세트는 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 및 공칭 노광 조건에서의 음의 디포커스 조건만을 포함하는 것을 특징으로 하는 단일 모델을 생성하는 방법.
- 컴퓨터가 리소그래피 공정의 포커스-노광 모델을 생성하도록 하는 명령어들을 저장하는 컴퓨터-판독가능한 매체에 있어서,리소그래피 공정의 모델을 저장하는 단계로서, 상기 모델은 광학 모델 모듈을 포함하고, 상기 모델은 포커스 및 노광을 포함하는 모델 파라미터들의 세트, 및 변수 값들을 갖는 피팅 파라미터들의 세트를 가지며;상기 모델에 대한 초기 피팅 파라미터 값들의 세트를 저장하는 단계;포커스-노광 공간에서 공정 윈도우 내의 복수의 샘플링 위치들을 저장하는 단계로서, 상기 복수의 샘플링 위치들은 공칭 조건을 포함하고, 상기 공정 윈도우 내의 모든 가능한 공정 조건들의 서브세트이며;상기 초기 피팅 파라미터 값들의 세트를 갖는 상기 모델을 이용하여, 상기 초기 피팅 파라미터 값들을 일정하게 유지하면서, 상기 복수의 샘플링 위치들에 대응하는 노광 및 변동하는 포커스 값들로 상기 리소그래피 공정을 시뮬레이션함으로써, 상기 복수의 샘플링 위치들 각각에서 상기 리소그래피 공정의 시뮬레이션된 결과들을 생성하는 단계;상기 복수의 샘플링 위치들 각각에서의 상기 리소그래피 공정의 실제 결과값들과 상기 시뮬레이션된 결과값들을 비교하여, 상기 복수의 샘플링 위치들 모두에서의 상기 실제 결과값들과 상기 시뮬레이션된 결과값들 간의 전체 차이 측도를 생성하는 단계;상기 최적의 피팅 파라미터 값들을 이용하여 생성된 실제 결과값들 간의 전체 차이 측도가 최소화되거나 사전설정된 임계값 이하가 되도록, 상기 피팅 파라미터 값들의 세트를 수정하고, 상기 복수의 샘플링 위치들 각각에서 추가 시뮬레이션된 결과값들을 생성하는 단계; 및상기 최적의 피팅 파라미터 값들을 포함하는 상기 모델로서, 상기 공정 윈도우 내의 임의의 지점에서 상기 리소그래피 공정을 시뮬레이션할 수 있는 상기 포커스-노광 모델을 정의하는 단계를 수행함으로써, 컴퓨터가 리소그래피 공정의 포커스-노광 모델을 생성하도록 하는 명령어들을 저장하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 모델 파라미터들의 세트는 포커스 및 노광 이외에도, 1 이상의 파라미터들을 더 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 55 항에 있어서,상기 1 이상의 파라미터들은 조명원, 개구수 및 광학 수차들 중 1 이상을 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 리소그래피 공정의 모델은 레지스트 모델 모듈을 더 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 리소그래피 공정의 모델은 마스크 모델 모듈을 더 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 복수의 샘플링 위치들은 공칭 노광 및 변동하는 포커스 값들에서만 샘플링 위치들을 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 복수의 샘플링 위치들은 공칭 조건, 공칭 노광 조건에서의 양의 디포커스 조건, 및 공칭 노광 조건에서의 음의 디포커스 조건만을 포함하는 것을 특징으로 하는 컴퓨터-판독가능한 매체.
- 제 54 항에 있어서,상기 전체 차이 측도는 제곱 평균 차이인 것을 특징으로 하는 컴퓨터-판독가능한 매체.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US70614405P | 2005-08-08 | 2005-08-08 | |
US60/706,144 | 2005-08-08 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20080043335A KR20080043335A (ko) | 2008-05-16 |
KR100958714B1 true KR100958714B1 (ko) | 2010-05-18 |
Family
ID=37727903
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020087005687A KR100958714B1 (ko) | 2005-08-08 | 2006-08-02 | 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 |
Country Status (6)
Country | Link |
---|---|
US (3) | US7747978B2 (ko) |
EP (1) | EP1920369A2 (ko) |
JP (2) | JP4806020B2 (ko) |
KR (1) | KR100958714B1 (ko) |
CN (1) | CN101258498B (ko) |
WO (1) | WO2007019269A2 (ko) |
Families Citing this family (223)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7382447B2 (en) * | 2001-06-26 | 2008-06-03 | Kla-Tencor Technologies Corporation | Method for determining lithographic focus and exposure |
KR101056142B1 (ko) * | 2004-01-29 | 2011-08-10 | 케이엘에이-텐코 코포레이션 | 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 |
US9188974B1 (en) | 2004-02-13 | 2015-11-17 | Kla-Tencor Technologies Corp. | Methods for improved monitor and control of lithography processes |
JP4904034B2 (ja) | 2004-09-14 | 2012-03-28 | ケーエルエー−テンカー コーポレイション | レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 |
US7769225B2 (en) * | 2005-08-02 | 2010-08-03 | Kla-Tencor Technologies Corp. | Methods and systems for detecting defects in a reticle design pattern |
KR100958714B1 (ko) * | 2005-08-08 | 2010-05-18 | 브라이언 테크놀로지스, 인코포레이티드 | 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 |
CN102662309B (zh) * | 2005-09-09 | 2014-10-01 | Asml荷兰有限公司 | 采用独立掩模误差模型的掩模验证系统和方法 |
WO2007048442A1 (en) * | 2005-10-28 | 2007-05-03 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device using optical proximity correction for the optical lithography |
US7570796B2 (en) | 2005-11-18 | 2009-08-04 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US8041103B2 (en) * | 2005-11-18 | 2011-10-18 | Kla-Tencor Technologies Corp. | Methods and systems for determining a position of inspection data in design data space |
US7676077B2 (en) | 2005-11-18 | 2010-03-09 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
EP1804119A1 (en) * | 2005-12-27 | 2007-07-04 | Interuniversitair Microelektronica Centrum | Method for manufacturing attenuated phase- shift masks and devices obtained therefrom |
US7493589B2 (en) * | 2005-12-29 | 2009-02-17 | Asml Masktools B.V. | Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process |
US7694267B1 (en) * | 2006-02-03 | 2010-04-06 | Brion Technologies, Inc. | Method for process window optimized optical proximity correction |
US8370773B2 (en) * | 2006-08-16 | 2013-02-05 | Freescale Semiconductor, Inc. | Method and apparatus for designing an integrated circuit using inverse lithography technology |
US7642020B2 (en) * | 2006-08-17 | 2010-01-05 | International Business Machines Corporation | Method for separating optical and resist effects in process models |
US7900165B2 (en) * | 2007-03-30 | 2011-03-01 | Synopsys, Inc. | Determining a design attribute by estimation and by calibration of estimated value |
US7716627B1 (en) | 2006-09-28 | 2010-05-11 | Guada, Inc. | Solution-dependent regularization method for quantizing continuous-tone lithography masks |
WO2008077100A2 (en) * | 2006-12-19 | 2008-06-26 | Kla-Tencor Corporation | Systems and methods for creating inspection recipes |
WO2008086282A2 (en) * | 2007-01-05 | 2008-07-17 | Kla-Tencor Corporation | Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions |
WO2008089222A1 (en) * | 2007-01-18 | 2008-07-24 | Nikon Corporation | Scanner based optical proximity correction system and method of use |
US20080180696A1 (en) * | 2007-01-30 | 2008-07-31 | Sony Corporation | Process window for EUV lithography |
JP4328811B2 (ja) | 2007-02-27 | 2009-09-09 | キヤノン株式会社 | レジストパターン形状予測方法、プログラム及びコンピュータ |
US7738093B2 (en) | 2007-05-07 | 2010-06-15 | Kla-Tencor Corp. | Methods for detecting and classifying defects on a reticle |
US7962863B2 (en) | 2007-05-07 | 2011-06-14 | Kla-Tencor Corp. | Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer |
US8213704B2 (en) * | 2007-05-09 | 2012-07-03 | Kla-Tencor Corp. | Methods and systems for detecting defects in a reticle design pattern |
US7913196B2 (en) * | 2007-05-23 | 2011-03-22 | United Microelectronics Corp. | Method of verifying a layout pattern |
US8001492B2 (en) * | 2007-06-28 | 2011-08-16 | Linden Design Technologies, Inc. | Evaluation method for interconnects interacted with integrated-circuit manufacture |
US7796804B2 (en) * | 2007-07-20 | 2010-09-14 | Kla-Tencor Corp. | Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer |
US7711514B2 (en) * | 2007-08-10 | 2010-05-04 | Kla-Tencor Technologies Corp. | Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan |
TWI469235B (zh) * | 2007-08-20 | 2015-01-11 | Kla Tencor Corp | 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法 |
US7999920B2 (en) | 2007-08-22 | 2011-08-16 | Asml Netherlands B.V. | Method of performing model-based scanner tuning |
US7707539B2 (en) * | 2007-09-28 | 2010-04-27 | Synopsys, Inc. | Facilitating process model accuracy by modeling mask corner rounding effects |
US7805699B2 (en) * | 2007-10-11 | 2010-09-28 | Mentor Graphics Corporation | Shape-based photolithographic model calibration |
NL1036189A1 (nl) * | 2007-12-05 | 2009-06-08 | Brion Tech Inc | Methods and System for Lithography Process Window Simulation. |
JP4568341B2 (ja) * | 2008-03-19 | 2010-10-27 | 株式会社東芝 | シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法 |
JP2009231767A (ja) * | 2008-03-25 | 2009-10-08 | Toshiba Corp | リソグラフィープロセスウィンドー解析方法およびその解析プログラム |
DE102008015806B4 (de) * | 2008-03-27 | 2015-07-16 | Infineon Technologies Ag | Verfahren zum Kalibrieren eines Simulations- oder Entwurfsverfahrens, zum Entwerfen oder Herstellen einer Maske oder zum Herstellen eines Bauelements |
US8139844B2 (en) * | 2008-04-14 | 2012-03-20 | Kla-Tencor Corp. | Methods and systems for determining a defect criticality index for defects on wafers |
US7974819B2 (en) * | 2008-05-13 | 2011-07-05 | Aptina Imaging Corporation | Methods and systems for intensity modeling including polarization |
EP2286447A2 (en) * | 2008-05-21 | 2011-02-23 | KLA-Tencor Corporation | Substrate matrix to decouple tool and process effects |
US8015513B2 (en) * | 2008-05-30 | 2011-09-06 | Texas Instruments Incorporated | OPC models generated from 2D high frequency test patterns |
KR101749987B1 (ko) * | 2008-06-03 | 2017-06-22 | 에이에스엠엘 네델란즈 비.브이. | 모델-기반 공정 시뮬레이션 시스템들 및 방법들 |
JP2009302206A (ja) * | 2008-06-11 | 2009-12-24 | Canon Inc | 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法 |
US8542340B2 (en) | 2008-07-07 | 2013-09-24 | Asml Netherlands B.V. | Illumination optimization |
US7966583B2 (en) * | 2008-07-08 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for determining the effect of process variations |
KR101729669B1 (ko) | 2008-07-28 | 2017-04-24 | 케이엘에이-텐코어 코오포레이션 | 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들 |
NL2003654A (en) * | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
NL2003702A (en) * | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Pattern selection for lithographic model calibration. |
NL2003719A (en) | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Delta tcc for fast sensitivity model computation. |
KR100990880B1 (ko) | 2008-11-12 | 2010-11-01 | 주식회사 동부하이텍 | 핫 스팟 라이브러리 생성 방법 |
US8516401B2 (en) * | 2008-11-19 | 2013-08-20 | Mentor Graphics Corporation | Mask model calibration technologies involving etch effect and exposure effect |
US8136054B2 (en) * | 2009-01-29 | 2012-03-13 | Synopsys, Inc. | Compact abbe's kernel generation using principal component analysis |
US8775101B2 (en) | 2009-02-13 | 2014-07-08 | Kla-Tencor Corp. | Detecting defects on a wafer |
US8204297B1 (en) | 2009-02-27 | 2012-06-19 | Kla-Tencor Corp. | Methods and systems for classifying defects detected on a reticle |
US8112241B2 (en) * | 2009-03-13 | 2012-02-07 | Kla-Tencor Corp. | Methods and systems for generating an inspection process for a wafer |
US8196068B2 (en) * | 2009-04-30 | 2012-06-05 | Synopsys, Inc. | Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction |
US8255838B2 (en) * | 2010-01-15 | 2012-08-28 | Synopsys, Inc. | Etch-aware OPC model calibration by using an etch bias filter |
US8607168B2 (en) * | 2010-02-16 | 2013-12-10 | Mentor Graphics Corporation | Contour alignment for model calibration |
US9620426B2 (en) * | 2010-02-18 | 2017-04-11 | Kla-Tencor Corporation | Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation |
US8276102B2 (en) * | 2010-03-05 | 2012-09-25 | International Business Machines Corporation | Spatial correlation-based estimation of yield of integrated circuits |
US8285030B2 (en) * | 2010-03-15 | 2012-10-09 | Synopsys, Inc. | Determining calibration parameters for a lithographic process |
US8234601B2 (en) * | 2010-05-14 | 2012-07-31 | International Business Machines Corporation | Test pattern for contour calibration in OPC model build |
US8781781B2 (en) | 2010-07-30 | 2014-07-15 | Kla-Tencor Corp. | Dynamic care areas |
NL2007577A (en) | 2010-11-10 | 2012-05-14 | Asml Netherlands Bv | Optimization of source, mask and projection optics. |
US8619236B2 (en) | 2010-11-24 | 2013-12-31 | International Business Machines Corporation | Determining lithographic set point using optical proximity correction verification simulation |
US9588439B1 (en) * | 2010-12-21 | 2017-03-07 | Asml Netherlands B.V. | Information matrix creation and calibration test pattern selection based on computational lithography model parameters |
US8577489B2 (en) | 2011-01-26 | 2013-11-05 | International Business Machines Corporation | Diagnosing in-line critical dimension control adjustments using optical proximity correction verification |
US8499260B2 (en) | 2011-01-26 | 2013-07-30 | International Business Machines Corporation | Optical proximity correction verification accounting for mask deviations |
NL2008041A (en) * | 2011-01-28 | 2012-07-31 | Asml Netherlands Bv | Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus. |
KR20120090362A (ko) * | 2011-02-07 | 2012-08-17 | 삼성전자주식회사 | 마스크 레이아웃 보정 방법 및 장치 |
US8443309B2 (en) * | 2011-03-04 | 2013-05-14 | International Business Machines Corporation | Multifeature test pattern for optical proximity correction model verification |
US9170211B2 (en) | 2011-03-25 | 2015-10-27 | Kla-Tencor Corp. | Design-based inspection using repeating structures |
JP2013004672A (ja) * | 2011-06-15 | 2013-01-07 | Toshiba Corp | シミュレーションモデル作成方法 |
US8572518B2 (en) * | 2011-06-23 | 2013-10-29 | Nikon Precision Inc. | Predicting pattern critical dimensions in a lithographic exposure process |
NL2008957A (en) * | 2011-07-08 | 2013-01-09 | Asml Netherlands Bv | Methods and systems for pattern design with tailored response to wavefront aberration. |
US9087367B2 (en) | 2011-09-13 | 2015-07-21 | Kla-Tencor Corp. | Determining design coordinates for wafer defects |
US8468471B2 (en) * | 2011-09-23 | 2013-06-18 | Kla-Tencor Corp. | Process aware metrology |
JP5988569B2 (ja) * | 2011-12-07 | 2016-09-07 | キヤノン株式会社 | 決定方法、決定装置およびプログラム |
US8831334B2 (en) | 2012-01-20 | 2014-09-09 | Kla-Tencor Corp. | Segmentation for wafer inspection |
US8464193B1 (en) | 2012-05-18 | 2013-06-11 | International Business Machines Corporation | Optical proximity correction (OPC) methodology employing multiple OPC programs |
US8826200B2 (en) | 2012-05-25 | 2014-09-02 | Kla-Tencor Corp. | Alteration for wafer inspection |
CN103472672B (zh) * | 2012-06-06 | 2016-01-06 | 中芯国际集成电路制造(上海)有限公司 | 校正光学邻近校正模型的方法 |
US9424372B1 (en) * | 2012-06-11 | 2016-08-23 | D2S, Inc. | System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process |
US8631359B1 (en) * | 2012-08-07 | 2014-01-14 | Synopsys, Inc. | System and technique for modeling resist profile change sensitivity at different heights |
JP5917337B2 (ja) | 2012-08-24 | 2016-05-11 | 株式会社東芝 | パターンデータ作成方法 |
US9291920B2 (en) | 2012-09-06 | 2016-03-22 | Kla-Tencor Corporation | Focus recipe determination for a lithographic scanner |
US8739076B2 (en) * | 2012-09-11 | 2014-05-27 | Synopsys, Inc. | Method and apparatus for process window modeling |
US9189844B2 (en) | 2012-10-15 | 2015-11-17 | Kla-Tencor Corp. | Detecting defects on a wafer using defect-specific information |
US9404743B2 (en) | 2012-11-01 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for validating measurement data |
US10769320B2 (en) | 2012-12-18 | 2020-09-08 | Kla-Tencor Corporation | Integrated use of model-based metrology and a process model |
US8741511B1 (en) | 2012-12-19 | 2014-06-03 | Globalfoundries Singapore Pte. Ltd. | Determination of lithography tool process condition |
US9053527B2 (en) | 2013-01-02 | 2015-06-09 | Kla-Tencor Corp. | Detecting defects on a wafer |
US9134254B2 (en) | 2013-01-07 | 2015-09-15 | Kla-Tencor Corp. | Determining a position of inspection system output in design data space |
US9311698B2 (en) | 2013-01-09 | 2016-04-12 | Kla-Tencor Corp. | Detecting defects on a wafer using template image matching |
KR102019534B1 (ko) | 2013-02-01 | 2019-09-09 | 케이엘에이 코포레이션 | 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출 |
US10274839B2 (en) * | 2013-03-11 | 2019-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two-dimensional marks |
US8782572B1 (en) | 2013-03-13 | 2014-07-15 | United Microelectronics Corp. | Method of optical proximity correction |
US10242142B2 (en) | 2013-03-14 | 2019-03-26 | Coventor, Inc. | Predictive 3-D virtual fabrication system and method |
US9317632B2 (en) | 2013-03-14 | 2016-04-19 | Coventor, Inc. | System and method for modeling epitaxial growth in a 3-D virtual fabrication environment |
WO2014146724A1 (en) | 2013-03-22 | 2014-09-25 | Eth Zurich | Laser ablation cell |
US9865512B2 (en) | 2013-04-08 | 2018-01-09 | Kla-Tencor Corp. | Dynamic design attributes for wafer inspection |
US9310320B2 (en) | 2013-04-15 | 2016-04-12 | Kla-Tencor Corp. | Based sampling and binning for yield critical defects |
US8910089B1 (en) * | 2013-06-19 | 2014-12-09 | International Business Machines Corporation | Printing process calibration and correction |
US9383661B2 (en) * | 2013-08-10 | 2016-07-05 | Kla-Tencor Corporation | Methods and apparatus for determining focus |
US9070622B2 (en) * | 2013-09-13 | 2015-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for similarity-based semiconductor process control |
US10133191B2 (en) * | 2014-07-21 | 2018-11-20 | Asml Netherlands B.V. | Method for determining a process window for a lithographic process, associated apparatuses and a computer program |
KR102238708B1 (ko) | 2014-08-19 | 2021-04-12 | 삼성전자주식회사 | 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법 |
CN107077077B (zh) * | 2014-09-22 | 2019-03-12 | Asml荷兰有限公司 | 过程窗口识别符 |
WO2016202559A1 (en) | 2015-06-16 | 2016-12-22 | Asml Netherlands B.V. | Process window tracking |
US9910348B2 (en) | 2015-06-30 | 2018-03-06 | Globalfoundries Inc. | Method of simultaneous lithography and etch correction flow |
US10699971B2 (en) * | 2015-08-17 | 2020-06-30 | Qoniac Gmbh | Method for processing of a further layer on a semiconductor wafer |
US10008422B2 (en) * | 2015-08-17 | 2018-06-26 | Qoniac Gmbh | Method for assessing the usability of an exposed and developed semiconductor wafer |
US9875534B2 (en) | 2015-09-04 | 2018-01-23 | Kla-Tencor Corporation | Techniques and systems for model-based critical dimension measurements |
US10394136B2 (en) | 2015-09-30 | 2019-08-27 | Asml Netherlands B.V. | Metrology method for process window definition |
US11126092B2 (en) * | 2015-11-13 | 2021-09-21 | Asml Netherlands B.V. | Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value |
KR102160217B1 (ko) * | 2015-12-22 | 2020-09-28 | 에이에스엠엘 네델란즈 비.브이. | 프로세스-윈도우 특성화를 위한 장치 및 방법 |
US10762267B2 (en) | 2016-05-30 | 2020-09-01 | Coventor, Inc. | System and method for electrical behavior modeling in a 3D virtual fabrication environment |
US10197908B2 (en) | 2016-06-21 | 2019-02-05 | Lam Research Corporation | Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework |
TWI631415B (zh) * | 2016-07-01 | 2018-08-01 | 美商格羅方德半導體公司 | 同時微影及蝕刻校正流程之方法 |
CN106094423B (zh) * | 2016-08-22 | 2019-11-22 | 上海华力微电子有限公司 | 一种光刻工艺优化方法 |
KR102334937B1 (ko) * | 2016-10-21 | 2021-12-03 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 프로세스용 보정 결정 방법 |
CN110121681B (zh) * | 2016-12-28 | 2022-04-01 | Asml荷兰有限公司 | 在制造过程中引导过程模型和检查的方法 |
CN110325921B (zh) * | 2017-01-26 | 2022-02-18 | Asml荷兰有限公司 | 微调过程模型的方法 |
US10599046B2 (en) | 2017-06-02 | 2020-03-24 | Samsung Electronics Co., Ltd. | Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure |
US11144701B2 (en) | 2017-06-18 | 2021-10-12 | Coventor, Inc. | System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment |
KR102405686B1 (ko) | 2017-09-08 | 2022-06-07 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들 |
WO2019063245A1 (en) * | 2017-09-28 | 2019-04-04 | Asml Netherlands B.V. | LITHOGRAPHIC METHOD |
US11137690B2 (en) | 2017-10-11 | 2021-10-05 | Asml Netherlands B.V. | Flows of optimization for patterning processes |
WO2019115426A1 (en) | 2017-12-13 | 2019-06-20 | Asml Netherlands B.V. | Prediction of out of specification physical items |
KR102585064B1 (ko) | 2017-12-22 | 2023-10-05 | 에이에스엠엘 네델란즈 비.브이. | 결함 확률에 기초한 프로세스 윈도우 |
WO2019121491A1 (en) | 2017-12-22 | 2019-06-27 | Asml Netherlands B.V. | Patterning process improvement involving optical aberration |
TWI687781B (zh) * | 2017-12-22 | 2020-03-11 | 荷蘭商Asml荷蘭公司 | 用於減少光阻模型預測錯誤之系統及方法 |
US10990003B2 (en) | 2018-02-18 | 2021-04-27 | Asml Netherlands B.V. | Binarization method and freeform mask optimization flow |
KR102481727B1 (ko) | 2018-03-19 | 2022-12-29 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법 |
US11314172B2 (en) * | 2018-03-20 | 2022-04-26 | Asml Netherlands B.V. | Instant tuning method for accelerating resist and etch model calibration |
US10572697B2 (en) * | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
KR102708927B1 (ko) | 2018-04-10 | 2024-09-23 | 램 리써치 코포레이션 | 피처들을 특징화하기 위한 머신 러닝의 광학 계측 |
WO2019199697A1 (en) | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Resist and etch modeling |
CN112236722B (zh) | 2018-05-07 | 2023-07-21 | Asml荷兰有限公司 | 用于确定与计算光刻掩模模型相关联的电磁场的方法 |
KR102585069B1 (ko) | 2018-06-04 | 2023-10-05 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 공정을 위한 공정 모델을 개선하는 방법 |
US11544440B2 (en) * | 2018-06-15 | 2023-01-03 | Asml Netherlands B.V. | Machine learning based inverse optical proximity correction and process model calibration |
EP3588191A1 (en) | 2018-06-29 | 2020-01-01 | ASML Netherlands B.V. | Tuning patterning apparatus based on optical characteristic |
EP3594750A1 (en) | 2018-07-10 | 2020-01-15 | ASML Netherlands B.V. | Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images |
WO2020011513A1 (en) | 2018-07-12 | 2020-01-16 | Asml Netherlands B.V. | Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically |
KR20240005965A (ko) | 2018-08-15 | 2024-01-12 | 에이에스엠엘 네델란즈 비.브이. | 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용 |
TWI794544B (zh) | 2018-10-09 | 2023-03-01 | 荷蘭商Asml荷蘭公司 | 用於高數值孔徑穿縫源光罩最佳化之方法 |
US20210349404A1 (en) | 2018-10-19 | 2021-11-11 | Asml Netherlands B.V. | Method to create the ideal source spectra with source and mask optimization |
US12111581B2 (en) | 2018-11-05 | 2024-10-08 | Asml Holding N.V. | Method to manufacture nano ridges in hard ceramic coatings |
WO2020094385A1 (en) | 2018-11-08 | 2020-05-14 | Asml Netherlands B.V. | Prediction of out of specification based on spatial characteristic of process variability |
EP3657257A1 (en) * | 2018-11-26 | 2020-05-27 | ASML Netherlands B.V. | Method for of measuring a focus parameter relating to a structure formed using a lithographic process |
CN113168556A (zh) | 2018-11-30 | 2021-07-23 | Asml荷兰有限公司 | 用于降低机器学习模型预测中的不确定性的方法 |
US11580289B2 (en) | 2018-11-30 | 2023-02-14 | Asml Netherlands B.V. | Method for determining patterning device pattern based on manufacturability |
EP3660744A1 (en) | 2018-11-30 | 2020-06-03 | ASML Netherlands B.V. | Method for decreasing uncertainty in machine learning model predictions |
EP3663855A1 (en) | 2018-12-04 | 2020-06-10 | ASML Netherlands B.V. | Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices |
KR102656552B1 (ko) | 2018-12-28 | 2024-04-12 | 에이에스엠엘 네델란즈 비.브이. | 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법 |
CN113260925A (zh) | 2018-12-31 | 2021-08-13 | Asml荷兰有限公司 | 确定图案形成装置的光学特性的分量的子集 |
TWI738169B (zh) | 2019-01-29 | 2021-09-01 | 荷蘭商Asml荷蘭公司 | 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品 |
US10977405B2 (en) | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
US11086230B2 (en) | 2019-02-01 | 2021-08-10 | Asml Netherlands B.V. | Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process |
WO2020169326A1 (en) | 2019-02-19 | 2020-08-27 | Asml Holding N.V. | Laser roughening: engineering the roughness of the burl top |
CN113508339B (zh) | 2019-02-27 | 2024-07-30 | Asml荷兰有限公司 | 用于模型校准的改进量规选择 |
WO2020177979A1 (en) | 2019-03-03 | 2020-09-10 | Asml Netherlands B.V. | Method and apparatus for imaging using narrowed bandwidth |
US11846889B2 (en) | 2019-03-08 | 2023-12-19 | Asml Netherlands B.V. | Method and apparatus for diffraction pattern guided source mask optimization |
WO2020187578A1 (en) | 2019-03-21 | 2020-09-24 | Asml Netherlands B.V. | Training method for machine learning assisted optical proximity error correction |
EP3742229A1 (en) | 2019-05-21 | 2020-11-25 | ASML Netherlands B.V. | Systems and methods for adjusting prediction models between facility locations |
CN113678064B (zh) | 2019-04-09 | 2023-12-08 | Asml荷兰有限公司 | 用于在设施位置之间调整预测模型的系统和方法 |
CN118068659A (zh) | 2019-04-30 | 2024-05-24 | Asml荷兰有限公司 | 用于光刻成像的方法和设备 |
EP3734365A1 (en) | 2019-04-30 | 2020-11-04 | ASML Netherlands B.V. | Method and apparatus for photolithographic imaging |
US20220276563A1 (en) | 2019-07-10 | 2022-09-01 | Asml Netherlands B.V. | Prediction data selection for model calibration to reduce model prediction uncertainty |
KR20220042450A (ko) | 2019-08-08 | 2022-04-05 | 에이에스엠엘 네델란즈 비.브이. | 포토리소그래피 이미징을 위한 장치 및 방법 |
US20220327364A1 (en) | 2019-08-30 | 2022-10-13 | Asml Netherlands B.V. | Semiconductor device geometry method and system |
US20220335290A1 (en) * | 2019-09-06 | 2022-10-20 | Asml Netherlands B.V. | Method for increasing certainty in parameterized model predictions |
EP3789923A1 (en) | 2019-09-06 | 2021-03-10 | ASML Netherlands B.V. | Method for increasing certainty in parameterized model predictions |
WO2021083608A1 (en) | 2019-11-01 | 2021-05-06 | Asml Netherlands B.V. | Machine learning based image generation for model base alignments |
CN114746806A (zh) | 2019-11-19 | 2022-07-12 | Asml控股股份有限公司 | 使用非均匀照射强度分布进行优化 |
CN114787715A (zh) | 2019-12-02 | 2022-07-22 | 西默有限公司 | 用于增强成像到衬底上的图案的目标特征的方法和系统 |
WO2021140020A2 (en) | 2020-01-07 | 2021-07-15 | Asml Netherlands B.V. | High brightness low energy spread pulsed electron source |
EP3848953A1 (en) | 2020-01-07 | 2021-07-14 | ASML Netherlands B.V. | High brightness electron source |
US20230100578A1 (en) | 2020-02-12 | 2023-03-30 | Asml Netherlands B.V. | Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model |
US20230076218A1 (en) | 2020-02-21 | 2023-03-09 | Asml Netherlands B.V. | Method for calibrating simulation process based on defect-based process window |
EP3872567A1 (en) | 2020-02-25 | 2021-09-01 | ASML Netherlands B.V. | Systems and methods for process metric aware process control |
US20230107556A1 (en) | 2020-03-03 | 2023-04-06 | Asml Netherlands B.V. | Machine learning based subresolution assist feature placement |
CN115516381A (zh) | 2020-05-09 | 2022-12-23 | Asml荷兰有限公司 | 确定衬底上的图案的部分的指标 |
WO2021244830A1 (en) | 2020-06-02 | 2021-12-09 | Asml Netherlands B.V. | Verifying freeform curvilinear features of a mask design |
WO2021244820A1 (en) | 2020-06-03 | 2021-12-09 | Asml Netherlands B.V. | Systems, products, and methods for generating patterning devices and patterns therefor |
WO2021249720A1 (en) | 2020-06-10 | 2021-12-16 | Asml Netherlands B.V. | Aberration impact systems, models, and manufacturing processes |
EP3951496A1 (en) | 2020-08-07 | 2022-02-09 | ASML Netherlands B.V. | Apparatus and method for selecting informative patterns for training machine learning models |
CN115885216A (zh) | 2020-08-19 | 2023-03-31 | Asml荷兰有限公司 | 用于基于图像的图案选择的系统、产品和方法 |
WO2022037875A1 (en) | 2020-08-19 | 2022-02-24 | Asml Netherlands B.V. | Apparatus and method for selecting high quality images from raw images automatically |
US20230333483A1 (en) | 2020-09-25 | 2023-10-19 | Asml Netherlands B.V. | Optimization of scanner throughput and imaging quality for a patterning process |
TW202421923A (zh) | 2020-09-30 | 2024-06-01 | 荷蘭商Asml荷蘭公司 | 真空系統及在幫浦失效之事件中緩解損害及安全風險之系統 |
CN116391157A (zh) | 2020-10-23 | 2023-07-04 | Asml荷兰有限公司 | 用于产生掩模图案的方法 |
KR20230117366A (ko) | 2020-12-18 | 2023-08-08 | 에이에스엠엘 네델란즈 비.브이. | 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법 |
WO2022135818A1 (en) | 2020-12-21 | 2022-06-30 | Asml Netherlands B.V. | Feature based cell extraction for pattern regions |
US20240119582A1 (en) | 2021-02-23 | 2024-04-11 | Asml Netherlands B.V. | A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask |
US20240184213A1 (en) | 2021-03-08 | 2024-06-06 | Asml Netherlands B.V. | Method of pattern selection for a semiconductor manufacturing related process |
TWI833241B (zh) | 2021-06-18 | 2024-02-21 | 荷蘭商Asml荷蘭公司 | 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體 |
WO2022268434A1 (en) | 2021-06-23 | 2022-12-29 | Asml Netherlands B.V. | Etch simulation model including a correlation between etch biases and curvatures of contours |
US20240288764A1 (en) | 2021-07-06 | 2024-08-29 | Asml Netherlands B.V. | Determining localized image prediction errors to improve a machine learning model in predicting an image |
KR20240031314A (ko) | 2021-07-13 | 2024-03-07 | 에이에스엠엘 네델란즈 비.브이. | 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택 |
KR20240035491A (ko) | 2021-07-21 | 2024-03-15 | 에이에스엠엘 네델란즈 비.브이. | 광학 컬럼의 열적으로 안정적인 장착을 위한 시스템 및 방법 |
US20240310718A1 (en) | 2021-07-30 | 2024-09-19 | Asml Netherlands B.V. | Method for generating mask pattern |
WO2023016752A1 (en) | 2021-08-10 | 2023-02-16 | Asml Netherlands B.V. | Match the aberration sensitivity of the metrology mark and the device pattern |
US20240345487A1 (en) | 2021-09-02 | 2024-10-17 | Asml Netherlands B.V. | Method of evaluating selected set of patterns |
KR20240064651A (ko) | 2021-09-22 | 2024-05-13 | 에이에스엠엘 네델란즈 비.브이. | 패턴 선택 시스템들 및 방법들 |
CN113985705B (zh) * | 2021-10-18 | 2022-11-11 | 中国科学院微电子研究所 | 一种快速实现光刻系统精密校准的光学方法及装置 |
WO2023084063A1 (en) | 2021-11-15 | 2023-05-19 | Asml Netherlands B.V. | Generating augmented data to train machine learning models to preserve physical trends |
EP4433869A1 (en) | 2021-11-17 | 2024-09-25 | ASML Netherlands B.V. | Determining an etch effect based on an etch bias direction |
WO2023088641A1 (en) | 2021-11-19 | 2023-05-25 | Asml Netherlands B.V. | Simulation model stability determination method |
KR20240113965A (ko) | 2021-12-14 | 2024-07-23 | 에이에스엠엘 네델란즈 비.브이. | 일정한 폭의 서브-분해능 어시스트 피처 결정을 위한 방법, 소프트웨어, 및 시스템 |
KR20240113966A (ko) | 2021-12-14 | 2024-07-23 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피에서의 열 제어 시스템, 모델, 및 제조 공정 |
KR20240129206A (ko) | 2022-01-05 | 2024-08-27 | 에이에스엠엘 네델란즈 비.브이. | LFP(local focus point) 결정을 위한 소프트웨어, 방법, 및 시스템 |
WO2023169806A1 (en) | 2022-03-09 | 2023-09-14 | Asml Netherlands B.V. | Methods, systems, and software for determination of failure rates of lithographic processes |
WO2023180020A1 (en) | 2022-03-22 | 2023-09-28 | Asml Netherlands B.V. | Lithographic pattern representation with curvilinear elements |
WO2023222368A1 (en) | 2022-05-17 | 2023-11-23 | Asml Netherlands B.V. | Diffraction-based pupil determination for optimization of lithographic processes |
WO2024013038A1 (en) | 2022-07-12 | 2024-01-18 | Asml Netherlands B.V. | Stochastic-aware source mask optimization based on edge placement probability distribution |
WO2024017807A1 (en) | 2022-07-19 | 2024-01-25 | Asml Netherlands B.V. | Systems and methods for optimizing metrology marks |
WO2024037859A1 (en) | 2022-08-15 | 2024-02-22 | Asml Netherlands B.V. | Method for radiation spectrum aware souce mask optimization for lithography |
WO2024041831A1 (en) | 2022-08-25 | 2024-02-29 | Asml Netherlands B.V. | Modelling of multi-level etch processes |
WO2024088666A1 (en) | 2022-10-26 | 2024-05-02 | Asml Netherlands B.V. | Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes |
WO2024094385A1 (en) | 2022-10-31 | 2024-05-10 | Asml Netherlands B.V. | Source optimization for mitigating mask error impact |
WO2024110141A1 (en) | 2022-11-22 | 2024-05-30 | Asml Netherlands B.V. | Curvilinear polygon recovery for opc mask design |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040190008A1 (en) | 2003-01-17 | 2004-09-30 | Kla-Tencor Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
US6884984B2 (en) * | 2002-06-07 | 2005-04-26 | Brion Technologies, Inc. | System and method for lithography process monitoring and control |
US20050166174A1 (en) | 2003-10-07 | 2005-07-28 | Jun Ye | System and method for lithography simulation |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3339174B2 (ja) * | 1993-11-08 | 2002-10-28 | ソニー株式会社 | フォトマスクの製造方法、露光方法及び半導体装置の製造方法 |
JP2000232057A (ja) * | 1999-02-10 | 2000-08-22 | Hitachi Ltd | レジストパターンのシミュレーション方法およびパターン形成方法 |
JP2005513757A (ja) * | 2001-06-26 | 2005-05-12 | ケーエルエー−テンカー・コーポレーション | リソグラフィのフォーカスおよび露光を決定する方法 |
JP3706364B2 (ja) * | 2001-10-09 | 2005-10-12 | アスムル マスクツールズ ビー.ブイ. | 2次元フィーチャ・モデルの較正および最適化方法 |
JP2003164797A (ja) * | 2001-11-30 | 2003-06-10 | Japan Science & Technology Corp | 高分子溶液膜の塗布・乾燥方法 |
US6749972B2 (en) * | 2002-01-15 | 2004-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Optical proximity correction common process window maximization over varying feature pitch |
JP3971937B2 (ja) * | 2002-02-18 | 2007-09-05 | 株式会社日立ハイテクノロジーズ | 露光条件監視方法およびその装置並びに半導体デバイスの製造方法 |
US6954911B2 (en) * | 2002-05-01 | 2005-10-11 | Synopsys, Inc. | Method and system for simulating resist and etch edges |
US20030229875A1 (en) * | 2002-06-07 | 2003-12-11 | Smith Taber H. | Use of models in integrated circuit fabrication |
US7363099B2 (en) * | 2002-06-07 | 2008-04-22 | Cadence Design Systems, Inc. | Integrated circuit metrology |
WO2003104921A2 (en) * | 2002-06-07 | 2003-12-18 | Praesagus, Inc. | Characterization adn reduction of variation for integrated circuits |
JP2004157160A (ja) * | 2002-11-01 | 2004-06-03 | Sony Corp | プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法 |
AU2003303356A1 (en) * | 2002-12-30 | 2004-07-22 | Koninklijke Philips Electronics N.V. | Determining lithographic parameters to optimise a process window |
US7030966B2 (en) * | 2003-02-11 | 2006-04-18 | Asml Netherlands B.V. | Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations |
JP4364524B2 (ja) * | 2003-02-20 | 2009-11-18 | 株式会社日立製作所 | パターン検査方法 |
JP2005099765A (ja) * | 2003-08-28 | 2005-04-14 | Toshiba Corp | プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム |
JP4166166B2 (ja) * | 2004-02-02 | 2008-10-15 | 株式会社東芝 | 露光投影像予測システム及び露光投影像予測方法 |
US7042551B2 (en) * | 2004-02-03 | 2006-05-09 | International Business Machines Corporation | Method of patterning process metrology based on the intrinsic focus offset |
US7791727B2 (en) * | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
US7588868B2 (en) * | 2004-10-06 | 2009-09-15 | Cadence Design Systems, Inc. | Method and system for reducing the impact of across-wafer variations on critical dimension measurements |
US7470492B2 (en) * | 2004-10-29 | 2008-12-30 | Intel Corporation | Process window-based correction for photolithography masks |
US7224437B2 (en) * | 2005-05-31 | 2007-05-29 | Invarium, Inc | Method for measuring and verifying stepper illumination |
US7444615B2 (en) * | 2005-05-31 | 2008-10-28 | Invarium, Inc. | Calibration on wafer sweet spots |
US7853920B2 (en) * | 2005-06-03 | 2010-12-14 | Asml Netherlands B.V. | Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing |
US7488933B2 (en) * | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
KR100958714B1 (ko) * | 2005-08-08 | 2010-05-18 | 브라이언 테크놀로지스, 인코포레이티드 | 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 |
-
2006
- 2006-08-02 KR KR1020087005687A patent/KR100958714B1/ko active IP Right Grant
- 2006-08-02 US US11/461,994 patent/US7747978B2/en active Active
- 2006-08-02 CN CN2006800295124A patent/CN101258498B/zh active Active
- 2006-08-02 JP JP2008526083A patent/JP4806020B2/ja active Active
- 2006-08-02 WO PCT/US2006/030364 patent/WO2007019269A2/en active Application Filing
- 2006-08-02 EP EP06789361A patent/EP1920369A2/en not_active Withdrawn
-
2010
- 2010-05-18 US US12/782,666 patent/US8065636B2/en active Active
-
2011
- 2011-05-27 JP JP2011119390A patent/JP5960953B2/ja active Active
- 2011-09-23 US US13/244,051 patent/US8245160B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6884984B2 (en) * | 2002-06-07 | 2005-04-26 | Brion Technologies, Inc. | System and method for lithography process monitoring and control |
US20040190008A1 (en) | 2003-01-17 | 2004-09-30 | Kla-Tencor Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
US20050166174A1 (en) | 2003-10-07 | 2005-07-28 | Jun Ye | System and method for lithography simulation |
Also Published As
Publication number | Publication date |
---|---|
JP5960953B2 (ja) | 2016-08-02 |
US7747978B2 (en) | 2010-06-29 |
WO2007019269A2 (en) | 2007-02-15 |
KR20080043335A (ko) | 2008-05-16 |
US8245160B2 (en) | 2012-08-14 |
US20100229147A1 (en) | 2010-09-09 |
US8065636B2 (en) | 2011-11-22 |
CN101258498A (zh) | 2008-09-03 |
CN101258498B (zh) | 2011-04-13 |
JP2009505400A (ja) | 2009-02-05 |
JP4806020B2 (ja) | 2011-11-02 |
EP1920369A2 (en) | 2008-05-14 |
US20120017183A1 (en) | 2012-01-19 |
US20070031745A1 (en) | 2007-02-08 |
JP2011205118A (ja) | 2011-10-13 |
WO2007019269A3 (en) | 2007-11-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100958714B1 (ko) | 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법 | |
US11461532B2 (en) | Three-dimensional mask model for photolithography simulation | |
TWI486801B (zh) | 光罩、光罩佈線資料、非暫態之電腦可讀取儲存媒體及用於在一光罩佈線中放置次解析度輔助特徵之方法 | |
US7488933B2 (en) | Method for lithography model calibration | |
KR101043016B1 (ko) | 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들 | |
US8542340B2 (en) | Illumination optimization | |
JP2004133426A (ja) | 自動光近接補正(opc)ルール作成 | |
US7642022B2 (en) | Parameter determination method, exposure method, device fabrication method, and storage medium | |
US9779186B2 (en) | Methods for performing model-based lithography guided layout design | |
TWI654476B (zh) | 使用圖案化裝置形貌誘導相位之方法及設備 | |
US20170315441A1 (en) | Method and apparatus for using patterning device topography induced phase | |
CN111512236A (zh) | 涉及光学像差的图案化过程改进 | |
US20170285483A1 (en) | Method and apparatus for using patterning device topography induced phase | |
Schellenberg | Modeling and computational lithography |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130503 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140507 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150506 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20180504 Year of fee payment: 9 |